TWI575573B - 鰭式場效電晶體及其形成方法 - Google Patents

鰭式場效電晶體及其形成方法 Download PDF

Info

Publication number
TWI575573B
TWI575573B TW104132483A TW104132483A TWI575573B TW I575573 B TWI575573 B TW I575573B TW 104132483 A TW104132483 A TW 104132483A TW 104132483 A TW104132483 A TW 104132483A TW I575573 B TWI575573 B TW I575573B
Authority
TW
Taiwan
Prior art keywords
fin
region
fins
forming
doping
Prior art date
Application number
TW104132483A
Other languages
English (en)
Other versions
TW201624544A (zh
Inventor
蔡俊雄
王參群
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201624544A publication Critical patent/TW201624544A/zh
Application granted granted Critical
Publication of TWI575573B publication Critical patent/TWI575573B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • H01L21/2236Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase from or into a plasma phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02694Controlling the interface between substrate and epitaxial layer, e.g. by ion implantation followed by annealing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2252Diffusion into or out of group IV semiconductors using predeposition of impurities into the semiconductor surface, e.g. from a gaseous phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/3115Doping the insulating layers
    • H01L21/31155Doping the insulating layers by ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/36Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the concentration or distribution of impurities in the bulk material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66803Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with a step of doping the vertical sidewall, e.g. using tilted or multi-angled implants

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)

Description

鰭式場效電晶體及其形成方法
本發明係關於一種鰭式場效電晶體(Fin Field-Effect Transistor,FinFET)及其形成方法。
半導體裝置用於大量的電子裝置中,諸如電腦、手機等。半導體裝置包含積體電路,積體電路藉由以下方法形成在半導體晶圓上:在半導體晶圓上方沉積許多類型之材料的薄膜,並將材料的薄膜圖案化以形成積體電路。積體電路通常包含場效電晶體(FET)。
通常,平面FET已經用於積體電路中。然而,由於現代半導體處理的不斷增加的密度及不斷減小的覆蓋區需求,當平面FET的尺寸減小時,其通常可能產生問題。此等問題中包含次臨界擺幅衰退(subthreshold swing degradation)、顯著的汲極引致能障下降(DIBL)、裝置特性的波動、以及漏洩。前人已研究鰭式場效電晶體(FinFET)以克服其等中之一些問題。
為了解決現有技術中存在的問題,根據本申請案之一方面,提供一種鰭式場效電晶體之形成方法,包含:於基板上形成鰭;於該鰭之頂部形成第一摻雜區,該第一摻雜區具有第一摻雜濃度;以及於該鰭之中間部與底部形成第二摻雜區,該第二摻雜區具有 第二摻雜濃度,該第二摻雜濃度小於該第一摻雜濃度。
在上述方法中,該第一摻雜區之形成更包含對該鰭實施電漿摻雜製程。
在上述方法中,該電漿摻雜製程之實施係利用約0.1%至約0.9%的AsH3或PH3/He、及約99.1%至約99.9%的H2
在上述方法中,該電漿摻雜製程之實施係利用約0.1%至約0.9%的B2H6或BF3/H2、及約99.1%至約99.9%的He。
在上述方法中,該第二摻雜區之形成更包含:於該鰭之頂面與側壁上形成一摻雜源層;於該摻雜源層上方形成一覆蓋層;以及將該鰭退火,該退火製程使摻雜物從該摻雜源層起擴散至該鰭內。
在上述方法中,該摻雜源層之形成更包含對該鰭實施一電漿沉積製程。
在上述方法中,該第一摻雜濃度位於約1E20原子/cm3至約3E21原子/cm3之範圍內,且該第二摻雜濃度位於約5E19原子/cm3至約2E20原子/cm3之範圍內。
在上述方法中,該第二摻雜區沿著該鰭之側壁延伸,該第一摻雜區與該第二摻雜區在該鰭之源極與汲極區中形成輕摻雜汲極(lightly doped drain,LDD)區。
在上述方法中,更包含:於該鰭上方形成閘極結構;以及於該閘極結構之相對兩側上的該鰭中形成源極/汲極區,該第一摻雜區與該第二摻雜區位於該源極/汲極區中。
根據本申請案之另一方面,提供一種鰭式場效電晶體之形成方法,包含:於一基板上形成一第一組鰭與一第二組鰭,該第一組鰭位於該基板之一第一區中,且該第二組鰭位於該基板之一第二區中;於該第一組鰭上方形成一第一閘極並於該第二組鰭上方形成一 第二閘極;於該基板之該第二區上方形成一第一遮罩;對該第一組鰭實施一第一電漿摻雜製程以於該第一組鰭之頂部中形成第一摻雜區;去除該基板之該第二區上方之該第一遮罩;於該第一組鰭之頂面與側壁上形成一第一摻雜源層;於該第一摻雜源層上方形成一第一覆蓋層;以及將該第一組鰭退火以形成沿著該第一組鰭之側壁的第二摻雜區。
在上述方法中,於該第一組鰭之頂面及側壁上形成該第一摻雜源層之前,對該第一組鰭實施電漿摻雜製程。
在上述方法中,該第一摻雜區與該第二摻雜區均包含第一摻雜物,該第一摻雜區較該第二摻雜區具有更高之該第一摻雜物的濃度。
在上述方法中,更包含:於該基板之第二區上方形成該第一遮罩之前,在該第一組鰭及該第二組鰭上方形成密封間隔件層;以及於該基板之第二區上方形成該第一遮罩之後,圖案化該基板之第一區中的該密封間隔件層以在該第一組鰭之側壁上形成密封間隔件。
在上述方法中,該第二組鰭上方的該密封間隔件層在將該第一組鰭退火之步驟期間阻擋摻雜區在該第二組鰭中的形成。
在上述方法中,更包括:在將該第一組鰭退火之後,去除該覆蓋層及該摻雜源層。
在上述方法中,更包含:在將該第一組鰭退火之後:於該基板之第一區上方形成第二遮罩;對該第二組鰭實施第二電漿摻雜製程以於該第二組鰭之頂部中形成第三摻雜區;去除該基板之第一區上方的該第二遮罩;於該第二組鰭之頂面及側壁上形成第二摻雜源層;於該第二摻雜源層上方形成第二覆蓋層;以及將該第二組鰭退火以形成沿著該第二組鰭之側壁的第四摻雜區。
根據本申請案之另一方面,提供一種鰭式場效電晶體之結構,包含:一鰭,位於一基板上,該鰭包含一上部與一下部,該上部具有一第一摻雜物之一第一摻雜濃度,該下部具有該第一摻雜物之一第二摻雜濃度,該第一摻雜濃度大於該第二摻雜濃度;隔離區,位於該基板中且位於該鰭之相對兩側上,該鰭之該上部與該下部從該隔離區之間突出;以及一閘極結構,沿著該鰭之側壁並位於該鰭之頂面的上方,該閘極結構於該鰭中定義一通道區,該鰭之該上部及該下部與該鰭中之該通道區相鄰。
在上述結構中,該上部位於該鰭之頂部中,且該下部沿著該鰭之側壁延伸。
在上述結構中,該第一摻雜濃度位於約1E20原子/cm3至約3E21原子/cm3之範圍內,且該第二摻雜濃度位於約5E19原子/cm3至約2E20原子/cm3之範圍內。
在上述結構中,該第一摻雜物是硼、鎵、砷、磷或其等之組合。
30‧‧‧鰭式場效電晶體(Fin Field-Effect Transistor,FinFET)
32、50‧‧‧基板
34、54‧‧‧淺溝槽隔離(shallow trench isolation,STI)區
36、56‧‧‧鰭
38、58’‧‧‧閘極介電質
40、60‧‧‧閘極電極
42與44‧‧‧源極/汲極區
50A‧‧‧第一區
50B‧‧‧第二區
52‧‧‧半導體帶
58‧‧‧閘極介電層
62‧‧‧遮罩層
64‧‧‧密封間隔件層
64’‧‧‧密封間隔件
66‧‧‧遮罩
68‧‧‧選擇性植入製程
70‧‧‧電漿摻雜製程
72A‧‧‧第一摻雜區
72B‧‧‧第二摻雜區
74‧‧‧摻雜源層
76‧‧‧覆蓋層
82A‧‧‧第三摻雜區
82B‧‧‧第四摻雜區
300‧‧‧半導體結構
302、308‧‧‧上部區域
304、310‧‧‧下部區域
306‧‧‧區域
自後述詳述說明與附屬圖式,可最佳理解本申請案之各方面。須注意,依據產業之標準實施方式,各種特徵並非依比例繪製。實際上,為了清楚討論,可任意增大或減小各種特徵之尺寸。
圖1係鰭式場效電晶體(Fin Field-Effect Transistor,FinFET)之一例的三維視圖。
圖2A至圖2C、圖3A至圖3C、圖4A至圖4C、圖5A至圖5C、圖6A至圖6C、圖7A至圖7C、圖8A至圖8D、圖9A至圖9C、圖10A至圖10C、圖11A至圖11C、圖12A至圖12D及圖13A至圖13C係根據一些實施例之在製造FinFET的中間階段之剖面圖。
圖14A及圖14B係根據一些實施例之製程的流程圖。
圖15A至圖15C係根據一些實施例之結構的摻雜輪廓(doping profiles)。
以下揭露之內容提供許多不同的實施例或範例,用於實施本案所提供之主題的不同特徵。元件與配置的特定範例之描述如下,以簡化本申請案之揭示內容。自然,此等僅為範例,並非用於限制本申請案。例如,以下在第二特徵上或上方形成第一特徵的敘述,可包含形成直接接觸之第一與第二特徵的實施例,亦可包含在該第一與第二特徵之間形成其他特徵,因而該第一與第二特徵並未直接接觸的實施例。此外,本申請案可在不同範例中重複元件符號與/或字母。此一重複之目的係為了簡化與清晰化,而非支配所討論的各實施例及/或架構之間的關係。
本申請案可使用空間對應語詞,例如「之下」、「低於」、「較低」、「高於」、「較高」等類似語詞之簡單說明,以描述圖式中一元件或特徵與另一元件或特徵的關係。空間對應詞語係用以包括除了圖式中描述的位向之外,裝置於使用或操作中之不同位向。裝置可被定位(旋轉90度或是其他位向),並可相應解釋本申請案使用的空間對應描述。
根據各實施例提供鰭式場效電晶體(Fin Field-Effect Transistors,FinFET)及其形成方法。並說明形成FinFET之中間階段。本文討論之一些實施例,在使用閘極後製(gate-last)製程形成之FinFET的內容中討論。其他實施例中,亦可使用閘極先製(gate-first)製程。此外,一些實施例考慮使用於平面裝置(諸如平面FET)之方面。亦討論實施例之一些變化例。所屬技術領域中具有通常知識者可輕易理解在其他實施例的範圍內可思及之其他改進。此處之方法實施例雖以特定順序討論,但各種其他實施例亦能夠以任何邏 輯順序實施,且可包含較本文中描述之更少或更多的步驟。
圖1說明FinFET 30之一例的三維視圖。FinFET 30包含基板32上的鰭36。基板32包括隔離區34,且鰭36從相鄰的隔離區34之間起往上突出。閘極介電質38沿著鰭36之側壁,並位於鰭36之頂面上方,且閘極電極40位於閘極介電質38上方。源極/汲極區42與44相對於閘極介電質38及閘極電極40設置在鰭36之相對兩側上。圖1進一步顯示在後續附屬圖式中使用之參考剖面。剖面A-A沿著鰭36的縱軸且位於例如流過源極/汲極區42與44間之電流的方向。剖面B-B垂直於剖面A-A且跨越FinFET 30之通道、閘極介電質38及閘極電極40。剖面C-C平行於剖面B-B且跨越源極/汲極區。為了清楚起見,後續圖式係此等參考剖面。圖2A至圖13C係根據例示實施例之在製造FinFET的中間階段之剖面圖,且圖14A及圖14B係圖2A至圖13C中顯示的製程的製程流程。在圖2A至圖13C中,以「A」標號結尾的圖顯示為沿著圖1中顯示的剖面A-A截取;以「B」標號結尾的圖顯示為沿著類似的剖面B-B截取;且以「C」標號結尾的圖顯示為沿著類似的剖面C-C截取,除了複數個FinFET之外。
圖2A、圖2B及圖2C顯示基板50、半導體帶(semiconductor strips)52、隔離區54、閘極電極60及遮罩層62。基板50可為半導體基板,諸如塊狀半導體、絕緣層上覆矽(SOI)基板等,其可為摻雜(例如,用p型或n型摻雜物摻雜)或未摻雜的。基板50可為晶圓,諸如矽晶圓。通常,SOI基板包含形成在絕緣層上的半導體材料層。例如,絕緣層可為埋藏氧化(BOX)層、氧化矽層等。於基板上形成絕緣層,基板通常是矽或玻璃基板。亦可使用諸如多層基板或梯度基板的其他基板。在一些實施例中,基板50的半導體材料可包含矽;鍺;包含碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、及/或銻化銦的化合物半導體;包含SiGe、GaAsP、AlInAs、AlGaAs、 GaInAs、GaInP及/或GaInAsP的合金半導體;或其等之組合。
基板50具有第一區50A及第二區50B。第一區50A可以用於形成n型裝置,諸如NMOS電晶體,諸如n型FinFET。第二區50B可以用於形成p型裝置,諸如PMOS電晶體,諸如P型FinFET。
在步驟200及202中,在基板50上方形成半導體帶52、隔離區54及鰭56。隔離區54從基板50之頂面延伸至基板50內。隔離區54可為淺溝槽隔離(shallow trench isolation,STI)區,且在後文中稱為STI區54。STI區54的形成可包括蝕刻基板50以形成溝槽(未圖示),並以介電材料填充溝槽以形成STI區54。STI區54可藉由諸如氧化矽的氧化物、氮化物等或其等之組合形成,且可藉由高密度電漿化學氣相沉積(HDP-CVD)、可流動式化學氣相沉積(flowable CVD)(例如,在遙控電漿系統中沉積以CVD為基底的材料並後固化以使其轉化為另一材料,諸如氧化物)等或其等之組合形成。亦可使用藉由任何適合的製程形成的其他絕緣材料。在說明之實施例中,絕緣材料係由FCVD製程形成的氧化矽。一旦形成絕緣材料,則可實施退火製程。在說明書全文中將相鄰的STI區54間之基板50的部分稱作半導體帶52。半導體帶52之頂面及STI區54之頂面可實質上彼此齊平,諸如藉由在沉積STI區54的材料後實施化學機械拋光(CMP),但其表面可位於稍微不同的水平面。
可凹陷STI區54以使半導體帶52的部分在STI區54之頂面上方延伸。半導體帶52的突出部分在下文中被稱為鰭56。在一些實施例中,鰭56具有從鰭56之頂面起至STI區之頂面的高度H1,且鰭56與半導體帶52具有從鰭56之頂面起至基板50之頂面(例如,位於STI區54的底部)的組合高度H2。在一實施例中,高度H1為約20nm至約40nm,且高度H2為約40nm至約60nm。
所屬技術領域中具有通常知識者將容易地理解,圖 2A至圖2C所示之製程僅為可形成鰭56的範例之一。在其他實施例中,可於基板50之頂面上方形成介電層;溝槽可蝕刻穿過介電層;可於溝槽中磊晶成長同質磊晶結構;以及可凹陷介電層以使同質磊晶結構從介電層突出以形成鰭。在其他實施例中,異質磊晶結構可用於鰭。例如,可凹陷圖2A至圖2C中的鰭56,且可於其等之空間中磊晶成長與鰭56不同的材料。甚至在進一步的實施例中,可於基板50之頂面上方形成介電層;溝槽可蝕刻穿過介電層;可使用與基板50不同的材料在溝槽中磊晶成長異質磊晶結構;以及可凹陷介電層以使異質磊晶結構從介電層突出以形成鰭。在磊晶成長同質磊晶結構或異質磊晶結構的一些實施例中,可於成長期間原位摻雜成長的材料,可避免之前的鰭的植入,但是可以同時使用原位摻雜及植入摻雜。此外,在NMOS區中磊晶成長與PMOS區中的材料不同的材料可為有利的。在各實施例中,鰭56可包含矽鍺(SixGe1-x,其中x可於約0及100之間)、碳化矽、純鍺或實質上純鍺、III-V族化合物半導體、Ⅱ-Ⅵ族化合物半導體等。例如,形成III-V族化合物半導體的可用材料包含但不限於InAs、AlAs、GaAs、InP、GaN、InGaAs、InAlAs、GaSb、AlSb、AlP、GaP等。
在步驟204中,在鰭56及STI區54上方形成閘極結構。閘極結構包含閘極介電層58及閘極電極60,其中,遮罩層62位於閘極電極60上方。閘極結構跨越複數個鰭56及STI區54。閘極結構的縱軸實質上垂直於鰭56的縱軸。在一些實施例中,閘極結構係虛設閘極結構,且使用「閘極後製」或取代閘極製程被取代閘極結構取代。在其他實施例中,閘極結構係在「閘極先製製程」中形成之有源閘極,且將不被取代。
可形成閘極介電層58並將其圖案化以形成閘極介電質58’(見圖6A、圖6B及圖6C)。可藉由熱氧化、原位蒸氣產生 (ISSG)製程、化學氣相沉積(CVD)、旋塗玻璃製程、濺鍍、或任何其他習知方法及本領域中用於形成閘極介電層的方法在鰭56及STI區54上方形成閘極介電層58。在一些實施例中,閘極介電層58可以由一種或多種合適的介電材料製成,諸如氧化矽、氮化矽、諸如碳摻雜的氧化物的低k介電質、諸如多孔碳摻雜的二氧化矽的極低k介電質、諸如聚醯亞胺的聚合物等或其等之組合。在其他實施例中,閘極介電層包含具有例如大於3.9的高介電常數(k值)的介電材料。該材料可包含氮化矽、氮氧化物、金屬氧化物(諸如HfO2、HfZrOx、HfSiOx、HfTiOx、HfAlOx)等或其等之組合及其等之多層。
在形成閘極介電層58之後,在閘極介電層上方形成閘極電極60。閘極電極60可藉由以下方法形成:首先在鰭56及STI區54上方形成閘極電極層(未圖示),而後圖案化閘極電極層以形成閘極電極60。在一些實施例中,閘極電極層是導電材料且可選自包含多晶矽(poly-Si)、多晶矽鍺(poly-SiGe)、金屬氮化物、金屬矽化物、金屬氧化物及金屬的組。在一實施例中,閘極電極層包括含金屬材料,諸如TiN、TaN、TaC、Co、Ru、Al、其等之組合或其等之多層。可藉由CVD、物理氣相沉積(PVD)、濺鍍沉積、或其他已知技術及本領域中用於沉積導電材料的技術沉積閘極電極層。閘極電極層之頂面可具有非平坦頂面且可藉由例如在沉積閘極電極層之後實施CMP製程而被平坦化。可於閘極電極層上方形成遮罩層62,諸如光阻、硬罩、其組合或其多層,並將其圖案化。而後亦可使用適合的光微影及蝕刻技術將圖案化的遮罩層62轉印至閘極電極層的材料以形成閘極電極60。
在圖3A、圖3B及圖3C以及步驟206中,可於閘極電極60、遮罩層62的暴露表面上、鰭56上方的閘極介電層58上以及STI區54之頂面上,形成密封間隔件層64。密封間隔件層64可藉由氧化製 程、CVD等或其等之組合由氧化物、氮化物、SiC、SiCN等或其等之組合形成。
在圖4A、圖4B及圖4C以及步驟208中,在基板50的第二區50B上方形成遮罩66但暴露第一區50A。在第一區50A的後續處理期間,該遮罩保護第二區50B。遮罩66可為光阻、諸如SiN的硬罩等或其等之組合。而後,藉由適合的光微影製程等圖案化遮罩66。
在圖5A、圖5B及圖5C以及步驟210中,對基板50的第一區50A實施選擇性植入製程68。選擇性植入製程68改變密封間隔件層64的特性以允許密封間隔件層64的選擇性蝕刻,以在保留密封間隔件層64之其他部分的同時去除密封間隔件層64之部分。在一實施例中,選擇性植入製程68包含植入氧、氟等或其等之組合。在一實施例中,密封間隔件層64的植入區較密封間隔件層64的非植入區具有更高的蝕刻速率。在一些實施例中,以實質上垂直於基板50之主要表面的角度實施選擇性植入製程68,從而使密封間隔件層64的水平表面(即,實質上平行於基板50之主要表面的表面)在選擇性植入製程68期間被植入,而密封間隔件層64的垂直表面(即,實質上垂直於基板50之主要表面的表面)不被植入。
在圖6A、圖6B及圖6C以及步驟212中,對基板50的第一區50A中的密封間隔件層64及閘極介電層58實施選擇性蝕刻製程,以在第一區50A中形成密封間隔件64’及閘極介電質58’。密封間隔件64’形成在第一區50A中的閘極電極60及遮罩層62之側壁上。在一實施例中,選擇性蝕刻之處理氣體包含CF4、O2、及HBr等或其等之組合。
在圖7A、圖7B及圖7C以及步驟214中,對基板50的第一區50A實施電漿摻雜製程70以在鰭56中形成第一摻雜區72A。電漿摻雜製程70植入n型摻雜物(例如,P、As等)以形成輕摻雜汲極 (LDD)區。相對於束線植入製程,藉由利用電漿摻雜製程,本申請案可具有高摻雜的鰭56之頂部,而不具有因束線植入製程而引起的缺陷(例如,雙晶界缺陷)。在一實施例中,利用約0.1%至約0.9%的AsH3或PH3/He、及約99.1%到約99.9%的H2,在基板50的第一區50A中實施電漿摻雜製程70。在一實施例中,以約0.2千電子伏特(keV)及約5keV之間的恆定能量實施電漿摻雜製程70。在電漿摻雜製程70之後,第一摻雜區72A具有位於約1E20原子/cm3至約3E21原子/cm3的範圍內之As/P的摻雜濃度。電漿摻雜製程70可於從鰭56的表面起約5nm至約10nm的深度處形成陡摻雜輪廓接面,陡摻雜輪廓接面具有約1nm/十進位(decade)的摻雜輪廓陡峭度。
在圖8A、圖8B及圖8C以及步驟216中,去除遮罩66,從而使第二區50B中的密封間隔件層64暴露例如,若遮罩66為光阻,則可藉由合適的灰化製程去除遮罩66,諸如使用氧電漿。在其他實施例中,亦可使用蝕刻、CMP製程等或其等之組合去除遮罩66。在一實施例中,可藉由利用CF4、O2及HBr等或其等之組合的蝕刻製程去除遮罩66。圖8D顯示圖8C的鰭56及第一摻雜區72A的局部放大圖。第一摻雜區72A位於鰭56之頂部(尖端)中。
在圖9A、圖9B及圖9C以及步驟218中,藉由電漿沉積製程形成摻雜源層74。電漿沉積製程在基板50的第一區50A中的鰭56之頂面及側壁上、及在基板50的第二區50B中的密封間隔件層64上,形成具有實質均一厚度的共形層。在一實施例中,電漿沉積製程利用約15%至約100%的AsH3或PH3/He、及約85%至約0%的H2。摻雜源層74的厚度可位於約1nm至約5nm的範圍內,但其他厚度亦屬於本申請案的範圍內。
在一些實施例中,摻雜源層74係由磷矽酸鹽玻璃(PSG)及/或包含後續容易擴散至鰭56內之摻雜物的其他材料形成。 在此等實施例中,摻雜源層74可藉由濺鍍、CVD、PECVD、金屬有機CVD(MOCVD)、熔爐CVD(FCVD)、原子層沉積(ALD)、電漿增強ALD(PEALD)等或其等之組合形成。
儘管電漿摻雜製程70係在電漿沉積製程之前實施,但在本申請案的範圍內之其他實施例中,亦可反轉此等製程的順序。
在圖10A、圖10B及圖10C以及步驟220中,在基板50的第一及第二區50A及50B中的摻雜源層74上方,形成覆蓋層76。覆蓋層76有助於防止摻雜源層74在後續退火製程期間的蒸發。在一些實施例中,覆蓋層76由諸如Al2O3的氧化物、氮化物等或其等之組合形成。可藉由濺鍍、CVD、PECVD、MOCVD、FCVD、ALD、PEALD等或其等之組合形成覆蓋層。
在步驟222中,對基板50實施退火製程。圖11A、圖11B及圖11C顯示退火製程後之基板50。退火製程使摻雜物從摻雜源層74擴散至鰭56內,且亦活化第一摻雜區中的摻雜物,並活化形成基板50的第一區50A中之鰭56的第二摻雜區72B之來自摻雜源層74的摻雜物。第一摻雜區72A及第二摻雜區72B形成基板之第一區50A中的FinFET的LDD區。位於基板50之第二區50B中的鰭56上方之密封間隔件層64及閘極介電層58,作為阻擋層作用,從而使來自摻雜源層74的摻雜物不擴散至第二區50B中的鰭56內。
在基板50的第一區50A中,第二摻雜區72B沿著鰭56之頂部(尖端)及側壁延伸,且沿著鰭56之側壁提供實質均一的摻雜輪廓。第二摻雜區72B可於鰭56之頂部(尖端)與第一摻雜區72A重疊。第二摻雜區72B具有位於約5E19原子/cm3至約2E20原子/cm3的範圍內之As/P的摻雜濃度。第二摻雜區72B可於從鰭56的表面起約5nm至約10nm的深度處形成陡摻雜輪廓接面。圖11C雖顯示第二摻雜區72B間之鰭56的一部分,但在一些實施例中,鰭56形成為具有寬度, 從而使第二摻雜區72B沿著側壁以滿足均勻地摻雜鰭56全體。
在一些實施例中,退火製程可包含一或多個退火製程,且在該階段可實施一或多個退火製程以影響摻雜物從摻雜源層74至鰭56內的固相擴散。一或多個退火製程可意指使基板50經受約100℃及約1200℃之間的溫度且持續約1秒及約10小時之間的時間段。然而,其他退火參數亦屬於本申請案的範圍內。
在圖12A、12B、12C、12D及步驟224中,從基板50的第一及第二區域50A及50B去除覆蓋層76及摻雜源層74。在一些實施例中,可使用蝕刻、CMP製程等或其等之組合去除覆蓋層76及摻雜源層74。圖12D顯示圖12C的鰭56、第一摻雜區72A及第二摻雜區72B的局部放大圖。第一摻雜區72A位於鰭56之頂部(尖端)中,第二摻雜區72B位於鰭56之側壁中。
藉由利用此等混合式鰭摻雜技術(電漿摻雜製程及電漿沉積製程),鰭56具有期望的鰭LDD摻雜輪廓。鰭56之頂部(尖端)具有第一摻雜區72A,且被摻雜為具有較包含第二摻雜區72B的鰭56之中間部/底部(側壁)更高的濃度。此等摻雜輪廓是有利的,因鰭56之頂部由於較低的臨界電壓而具有更高的載子流量,此係因因鰭56之頂部受到三個閘極(例如,閘極電極位於頂部的三側上)的控制且鰭56之中間部/底部受到兩個閘極的控制,如此一來,鰭56之中間部/底部具有稍微較高的臨界電壓及稍微較低的載子流量。
步驟226至步驟242,對基板50的第二區50B(例如,p型FinFET)實施與對基板50的第一區50A(例如,n型FinFET)進行之步驟208至224類似的製程。此等步驟的細節中與上述內容相似的部分將不再重複。
在步驟226中,於基板之第一區50A上方形成遮罩。此一遮罩及步驟可與上述步驟208中之遮罩及步驟相似,而本文不再 重複其描述。
在步驟228中,實施選擇性植入以將摻雜物植入至基板50之第二區50B中的密封間隔件層64內。此一選擇性植入製程及步驟可與上述步驟210中之選擇性植入製程及步驟相似,而本文不再重複其描述。
在步驟230中,對基板50的第二區50B中的密封間隔件層64及閘極介電層58實施選擇性蝕刻。這一選擇性蝕刻製程及步驟可與上述步驟212中之選擇性蝕刻製程及步驟相似,而本文不再重複其描述。
在步驟232中,對基板50的第二區50B實施電漿摻雜製程以在鰭56中形成第三摻雜區82A(參見圖13A、圖13B及圖13C)。電漿摻雜製程植入p型摻雜物(例如,B、Ga等)以在基板50之第二區50B的鰭56中形成LDD區。一實施例中,利用約0.1%至約0.9%的B2H6或BF3/H2、及約99.1%到約99.9%的He,在基板50的第二區50B中實施電漿摻雜製程。在一實施例中,以約2keV及約5keV之間的恆定能量實施電漿摻雜製程。在電漿摻雜製程之後,第三摻雜區82A具有位於約1E20原子/cm3至約3E21原子/cm3範圍內的B/Ga的摻雜濃度。電漿摻雜製程可於從鰭56的表面起約5nm至約10nm的深度處形成陡摻雜輪廓接面,陡摻雜輪廓接面具有約1nm/十進位(decade)的摻雜輪廓陡峭度。
在步驟234中,從基板50的第一區50A去除遮罩。此一遮罩去除製程及步驟可與上述步驟216中之遮罩去除製程及步驟相似,而本文不再重複其描述。
在步驟236中,藉由電漿沉積製程形成摻雜源層。電漿沉積製程形成共形層,該共形層在基板50之第二區50B中的鰭56之頂面及側壁上、在遮罩層(如果存在)上、或在基板50之第一區50A 中的其他保護結構上,具有實質均一的厚度。在一實施例中,電漿沉積製程係利用約15%至約100%的B2H6或BF3/H2、及約85%至約0%的He。摻雜源層的厚度可位於約1nm至約5nm的範圍內,但其他厚度亦屬於本申請案的範圍內。
在一些實施例中,摻雜源層由BSG及/或包含後續容易擴散至鰭56內之摻雜物的其他材料形成。在此等實施例中,可藉由濺鍍、CVD、PECVD、MOCVD、FCVD、ALD、PEALD等或其等之組合形成摻雜源層。
儘管電漿摻雜製程係在電漿沉積製程之前實施,但在本申請案的範圍內之其他實施例中,亦可反轉此等製程的順序。
在步驟238中,在基板50之第二區50B中的摻雜源層上方形成覆蓋層。此覆蓋層及步驟可與上述步驟220中之覆蓋層及步驟相似,而本文不再重複其描述。
在步驟240中,對基板50實施退火製程。此一退火製程使摻雜物從摻雜源層擴散至鰭56內,且亦活化在基板50的第二區50B中的鰭56中形成第四摻雜區82B的摻雜物。此退火製程及步驟可與上述步驟222中之退火製程及步驟相似,而本文不再重複其描述。
在圖13A、圖13B、圖13C以及步驟242中,去除覆蓋層及摻雜源層。此一去除製程及步驟可與上述步驟224中之去除製程及步驟相似,而本文不再重複其描述。
在基板50的第二區50B中,第四摻雜區82B沿著鰭56之頂部(尖端)及側壁延伸,且沿著鰭56之側壁提供實質均一的摻雜輪廓。第四摻雜區82B可於鰭56之頂部(尖端)與第三摻雜區82A重疊。第四摻雜區82B具有位於約5E19原子/cm3至約2E20原子/cm3的範圍內之B/Ga的摻雜濃度。第四摻雜區82B可於從鰭56的表面起約5nm至約10nm的深度處形成陡摻雜輪廓接面。圖13C雖顯示位於第四摻雜 區82B間之鰭56的一部分,但在一些實施例中,鰭56形成為具有寬度,從而使第四摻雜區82B沿著側壁以均勻地摻雜鰭56全體。
雖未明確顯示,但所屬技術領域中具有通常知識者,能夠輕易理解可對圖13A、圖13B及圖13C中顯示之結構實施進一步的處理步驟。例如,在步驟244中,可於閘極電極60之側壁上形成閘極間隔件。閘極間隔件(未圖示)可形成在閘極電極60之相對兩側上。可藉由在先前形成之結構上毯覆式沉積一間隔件層(未圖示)而形成閘極間隔件。在一實施例中,閘極間隔件可包含間隔件襯墊(未圖示),間隔件襯墊包含SiN、SiC、SiGe、氮氧化物、氧化物、其等之組合等。間隔件層可包含SiN、氮氧化物、SiC、SiON、氧化物、其等之組合等,且可藉由利用形成此等層之方法形成,如CVD、電漿增強CVD、濺鍍及本領域習知之其他方法。而後,圖案化閘極間隔件,例如,藉由非等向性蝕刻以從該結構的水平表面去除間隔件層。
在步驟246中,可於鰭56中形成源極/汲極區。可於鰭56中形成源極/汲極區(未於圖13A、圖13B及圖13C中顯示,參考圖1中之源極/汲極區42與44)。可使用合適的摻雜物摻雜源極/汲極區以補充鰭56中的摻雜物。在另一實施例中,可藉由在鰭56中形成凹槽並在該凹槽中磊晶成長材料,以形成源極/汲極區。可藉由上述討論之植入方法或藉由作為成長材料時之原位摻雜而摻雜源極/汲極區。
除了上述討論的LDD區之外,源極/汲極區可包含重摻雜區。此一實施例中,在形成LDD區及閘極間隔件之後,可重摻雜源極/汲極區。此一摻雜形成LDD區及重摻雜區。LDD區主要位於閘極間隔件下方而重摻雜區沿著鰭56位於閘極間隔件的外側。在一些實施例中,鰭56包含抗穿通區(未圖示)。此抗穿通區防止電子或電洞從源極貫穿通道而至汲極的短通道效應。抗穿通區可與鰭56相同地摻雜但具有更高的摻雜濃度。
此外,在步驟248中,可於閘極電極60及鰭56上方形成鄰接閘極電極60及鰭56的蝕刻停止層(ESL)及層間介電質(ILD)。ESL及ILD可形成在閘極間隔件、閘極電極60、源極/汲極區、鰭56及STI區54上方。ESL可共形地沉積在基板50上之元件上方。在一實施例中,ESL係由SiN、SiCN、SiON等或其等之組合形成,且係藉由ALD、分子層沉積(MLD)、熔爐製程、CVD、PECVD等或其等之組合形成。
在形成ESL之後,可於ESL上方形成ILD。ILD可以共形地沉積在ESL上方。在一實施例中,ILD可包含SiO2、SiON等或其等之組合。ILD可藉由CVD、ALD、PECVD、次大氣壓CVD(SACVD)、可流動式化學氣相沉積、高密度電漿(HDP)、旋塗介電質製程等或其等之組合形成。
可藉由使用CMP製程將ILD平坦化以去除部分ILD。在其他實施例中,亦可使用諸如蝕刻之其他平坦化技術。
在可選步驟250中,可去除虛設閘極及閘極介電質。在閘極後製或取代閘極製程中,去除閘極電極60及閘極介電質58’。在可選的步驟252中,在去除的閘極電極及閘極介電質的位置形成有源閘極及閘極介電質。
在步驟254中,可以形成穿過ESL及ILD至鰭56及閘極電極60的接觸件及金屬間介電質(IMD)及其等之對應金屬。接觸件可以形成至閘極電極60及源極/汲極區。
圖15A、圖15B及圖15C係根據一些實施例之結構的摻雜輪廓。圖15A顯示在步驟214及232之電漿摻雜製程後但未進行電漿沉積製程及退火製程的鰭56之摻雜輪廓。在圖15A中,半導體結構300顯示為具有上部區域302及下部區域304。上部區域302具有高摻雜濃度而下部區域304無摻雜濃度或摻雜濃度幾乎為零。例如,上部區 域302的B、Ga、As、P等或其等之組合的摻雜濃度位於約1E20原子/cm3至約3E21原子/cm3的範圍內,而下部區域為非摻雜。如圖所示,電漿摻雜製程在摻雜輪廓中形成陡接面(在上部區域302及下部區域304之間的介面處)。
圖15B顯示在電漿沉積製程及退火製程218-222及236-240後但未進行電漿摻雜製程的鰭56之摻雜輪廓。在圖15B中,半導體結構300顯示為具有單一區域306。區域306具有均一的摻雜輪廓,但通常具有較藉由電漿摻雜製程摻雜之區域更低的摻雜濃度。例如,區域306之B、Ga、As、P等或其等之組合的摻雜濃度,位於約5E19原子/cm3至約2E20原子/cm3的範圍內。
圖15C顯示在步驟214及232之電漿摻雜製程、電漿沉積製程及退火製程218-222及236-240後的鰭56之摻雜輪廓。在圖15C中,半導體結構300顯示為具有上部區域308及下部區域310。上部區域308具有高摻雜濃度而下部區域310具有較低的摻雜濃度。例如,上部區域308之B、Ga、As、P等或其等之組合的摻雜濃度位於約1E20原子/cm3至約3E21原子/cm3的範圍內,而下部區域的B、Ga、As、P等或其等之組合的摻雜濃度位於約5E19原子/cm3至約2E20原子/cm3的範圍內。在一些實施例中,上部區域308的摻雜濃度由電漿摻雜製程決定,而下部區域的摻雜濃度由電漿沉積/退火製程決定。此一混合式摻雜技術在鰭56之頂部提供高摻雜濃度,同時在鰭56之中間部/底部中提供均一的摻雜濃度。
藉由利用此一混合式鰭摻雜技術(電漿摻雜製程及電漿沉積製程),鰭56具有期望的鰭LDD摻雜輪廓。在基板50的第一區50A中,鰭56之頂部(尖端)具有第一摻雜區72A且被摻雜為具有比鰭56之中間部/底部(側壁)更高的濃度,鰭56之中間部/底部(側壁)包含第二摻雜區72B。在基板50的第二區50B中,鰭56之頂部 (尖端)具有第三摻雜區82A且被摻雜為具有比鰭56之中間部/底部(側壁)更高的濃度,鰭56之中間部/底部(側壁)包含第四摻雜區82B。此等摻雜輪廓是有利的,因鰭56的頂部由於低臨界電壓而具有更高的載子流量,此係因鰭56之頂部受到三個閘極(例如,閘極電極60位於頂部的三側上)的控制且鰭56之中間部/底部受到兩個閘極的控制,如此一來,鰭56之中間部/底部具有稍微較高的臨界電壓及稍微較低的載子流量。此外,混合式摻雜技術未使用束線植入製程,且因而防止因束線植入製程而引起的缺陷(例如,雙晶界缺陷)。此外,由於鰭之中間部/底部未以植入製程進行摻雜,故不存在導致朝向鰭底部之低摻雜或非摻雜的植入遮蔽效應。
一實施例係一種鰭式場效電晶體之形成方法,該方法包括:在基板上形成鰭;在鰭之頂部中形成第一摻雜區,第一摻雜區具有第一摻雜濃度;以及在鰭之中間部及底部中形成第二摻雜區,第二摻雜區具有第二摻雜濃度,第二摻雜濃度小於第一摻雜濃度。
另一實施例係一種鰭式場效電晶體之形成方法,該方法包括:在基板上形成第一組鰭與第二組鰭,第一組鰭位於基板之第一區中,且第二組鰭位於基板之第二區中;在第一組鰭上方形成第一閘極,並在第二組鰭上方形成第二閘極;於基板之第二區上方形成第一遮罩;以及對第一組鰭實施第一電漿摻雜製程以在第一組鰭之頂部中形成第一摻雜區。該方法更包括:去除位於基板之第二區上方的第一遮罩;在第一組鰭之頂面及側壁上形成第一摻雜源層;在第一摻雜源層上方形成第一覆蓋層;以及將第一組鰭退火以形成沿著第一組鰭之側壁的第二摻雜區。
進一步的實施例係一種結構,該結構包括:位於基板上的鰭,鰭包含上部及下部,上部具有第一摻雜物之第一摻雜濃度,下部具有第一摻雜物之第二摻雜濃度,第一摻雜濃度大於第二摻雜濃 度;隔離區,位於基板中且位於鰭之相對兩側上,鰭的上部及下部從隔離區之間突出;以及閘極結構,沿著鰭之側壁並位於鰭之頂面的上方,閘極結構限定位於鰭中的通道區,鰭之上部及下部與鰭中的通道區相鄰。
以上內容概述若干實施例的特徵,因而所屬技術領域中具有通常知識者可更為理解本申請案揭示內容之各方面。所屬技術領域中具有通常知識者應理解可輕易使用本申請案揭示內容作為基礎,用於設計或修改其他製程及結構而與本申請案所述之實施例具有相同目的及/或達到相同優點。所屬技術領域中具有通常知識者亦應理解此均等架構並未脫離本申請案揭示內容的精神與範圍,且在不脫離本申請案揭示內容之精神及範圍的情況下,所屬技術領域中具有通常知識者可進行各種變化、取代、與替換。
50‧‧‧基板
52‧‧‧半導體帶
54‧‧‧淺溝槽隔離(shallow trench isolation,STI)區
56‧‧‧鰭
58‧‧‧閘極介電層
60‧‧‧閘極電極
62‧‧‧遮罩層
64‧‧‧密封間隔件層
72A‧‧‧第一摻雜區
72B‧‧‧第二摻雜區

Claims (9)

  1. 一種鰭式場效電晶體之形成方法,包含:於一基板上形成一鰭;於該鰭之一頂部形成一第一摻雜區,該第一摻雜區具有一第一摻雜濃度;以及於該鰭之一中間部與一底部形成一第二摻雜區,該第二摻雜區具有一第二摻雜濃度,該第二摻雜濃度小於該第一摻雜濃度,其中形成該第二摻雜區之步驟包含實施一電漿沉積製程以於該鰭之一頂面與複數側壁上形成一摻雜源層。
  2. 如申請專利範圍第1項之鰭式場效電晶體之形成方法,其中,該第一摻雜區之形成更包含對該鰭實施一電漿摻雜製程。
  3. 如申請專利範圍第1項之鰭式場效電晶體之形成方法,其中,該電漿摻雜製程之實施係利用約0.1%至約0.9%的AsH3或PH3/He、及約99.1%至約99.9%的H2
  4. 如申請專利範圍第1項之鰭式場效電晶體之形成方法,其中,該電漿摻雜製程之實施係利用約0.1%至約0.9%的B2H6或BF3/H2、及約99.1%至約99.9%的He。
  5. 如申請專利範圍第1項之鰭式場效電晶體之形成方法,其中,該第二摻雜區之形成更包含:於該摻雜源層上方形成一覆蓋層;以及將該鰭退火,該退火製程使摻雜物從該摻雜源層起擴散至該鰭內。
  6. 如申請專利範圍第1項之鰭式場效電晶體之形成方法,其中,該第一摻雜濃度位於約1E20原子/cm3至約3E21原子/cm3之範圍內, 且該第二摻雜濃度位於約5E19原子/cm3至約2E20原子/cm3之範圍內。
  7. 如申請專利範圍第1項之鰭式場效電晶體之形成方法,其中,該第二摻雜區沿著該鰭之該複數側壁延伸,該第一摻雜區與該第二摻雜區在該鰭之源極與汲極區中形成輕摻雜汲極(lightly doped drain,LDD)區。
  8. 一種鰭式場效電晶體之形成方法,包含:於一基板上形成一第一組鰭與一第二組鰭,該第一組鰭位於該基板之一第一區中,且該第二組鰭位於該基板之一第二區中;於該第一組鰭上方形成一第一閘極並於該第二組鰭上方形成一第二閘極;於該基板之該第二區上方形成一第一遮罩;對該第一組鰭實施一第一電漿摻雜製程以於該第一組鰭之複數頂部中形成複數個第一摻雜區;去除該基板之該第二區上方之該第一遮罩;於該第一組鰭之複數頂面與複數側壁上形成一第一摻雜源層;於該第一摻雜源層上方形成一第一覆蓋層;以及將該第一組鰭退火以形成沿著該第一組鰭之該複數側壁的複數個第二摻雜區。
  9. 一種鰭式場效電晶體之結構,包含:一鰭,位於一基板上,該鰭包含一上部與一下部,該上部具有一第一摻雜物之一第一摻雜濃度,該下部具有該第一摻雜物之一第二摻雜濃度,該第一摻雜濃度大於該第二摻雜濃度;複數個隔離區,位於該基板中且位於該鰭之相對兩側上,該 鰭之該上部與該下部從該隔離區之間突出;以及一閘極結構,沿著該鰭之複數側壁並位於該鰭之一頂面的上方,該閘極結構於該鰭中定義一通道區,該鰭之該上部及該下部與該鰭中之該通道區相鄰,其中,該第一摻雜濃度位於約1E20原子/cm3至約3E21原子/cm3之範圍內,且該第二摻雜濃度位於約5E19原子/cm3至約2E20原子/cm3之範圍內。
TW104132483A 2014-10-03 2015-10-02 鰭式場效電晶體及其形成方法 TWI575573B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/506,348 US9558946B2 (en) 2014-10-03 2014-10-03 FinFETs and methods of forming FinFETs

Publications (2)

Publication Number Publication Date
TW201624544A TW201624544A (zh) 2016-07-01
TWI575573B true TWI575573B (zh) 2017-03-21

Family

ID=55633291

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104132483A TWI575573B (zh) 2014-10-03 2015-10-02 鰭式場效電晶體及其形成方法

Country Status (4)

Country Link
US (1) US9558946B2 (zh)
KR (1) KR101748920B1 (zh)
CN (1) CN106158962B (zh)
TW (1) TWI575573B (zh)

Families Citing this family (336)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US20150214331A1 (en) * 2014-01-30 2015-07-30 Globalfoundries Inc. Replacement metal gate including dielectric gate material
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US20150372107A1 (en) * 2014-06-18 2015-12-24 Stmicroelectronics, Inc. Semiconductor devices having fins, and methods of forming semiconductor devices having fins
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9312183B1 (en) * 2014-11-03 2016-04-12 Globalfoundries Inc. Methods for forming FinFETS having a capping layer for reducing punch through leakage
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9978866B2 (en) * 2015-04-22 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
KR102387919B1 (ko) * 2015-05-21 2022-04-15 삼성전자주식회사 반도체 장치
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
CN106684145B (zh) * 2015-11-11 2019-09-17 上海新昇半导体科技有限公司 具有漂移区的高压无结场效应器件及其形成方法
US9607837B1 (en) * 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9768072B1 (en) * 2016-06-30 2017-09-19 International Business Machines Corporation Fabrication of a vertical fin field effect transistor with reduced dimensional variations
EP3479411A4 (en) * 2016-07-01 2020-03-04 INTEL Corporation REAR CONTACT RESISTANCE REDUCTION FOR BOTH SIDE METALLIC SEMICONDUCTOR DEVICES
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10910223B2 (en) 2016-07-29 2021-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Doping through diffusion and epitaxy profile shaping
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10453943B2 (en) 2016-11-29 2019-10-22 Taiwan Semiconductor Manufacturing Company, Ltd. FETS and methods of forming FETS
US10164066B2 (en) * 2016-11-29 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices and methods of forming
CN108122758A (zh) * 2016-11-30 2018-06-05 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10566242B2 (en) * 2016-12-13 2020-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. Minimization of plasma doping induced fin height loss
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10629494B2 (en) * 2017-06-26 2020-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
CN109216277B (zh) 2017-06-29 2021-03-16 中芯国际集成电路制造(上海)有限公司 半导体装置的制造方法
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10700197B2 (en) * 2017-09-29 2020-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10510838B2 (en) 2017-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. High surface dopant concentration formation processes and structures formed thereby
US10923595B2 (en) 2017-11-30 2021-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having a SiGe epitaxial layer containing Ga
DE102018106581B4 (de) 2017-11-30 2020-07-09 Taiwan Semiconductor Manufacturing Co. Ltd. Halbleiter-Bauelement und Verfahren zu dessen Herstellung
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
KR102449608B1 (ko) * 2017-12-21 2022-10-04 삼성전자주식회사 반도체 소자의 제조 방법
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN110047927B (zh) * 2018-01-17 2022-06-28 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法、电子装置
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10665697B2 (en) * 2018-06-15 2020-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10644157B2 (en) 2018-07-31 2020-05-05 Globalfoundries Inc. Fin-type field effect transistors with uniform channel lengths and below-channel isolation on bulk semiconductor substrates and methods
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11037837B2 (en) * 2018-08-15 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial source/drain and methods of forming same
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10923565B2 (en) 2018-09-27 2021-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned contact air gap formation
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11289541B2 (en) 2019-11-14 2022-03-29 Winbond Electronics Corp. Resistive random access memory devices and methods for forming the same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11380548B2 (en) * 2019-12-30 2022-07-05 Taiwan Semiconductor Manufacturing Company Ltd. Method of manufacturing semiconductor structure through multi-implantation to fin structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070166846A1 (en) * 2004-12-13 2007-07-19 Matsushita Electric Industrial Co., Ltd. Plasma doping method
US20100176424A1 (en) * 2003-04-29 2010-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Doping of Semiconductor Fin Devices

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100476940B1 (ko) 2003-06-20 2005-03-16 삼성전자주식회사 기판으로부터 수직으로 연장된 게이트 채널을 갖는디램기억 셀 및 그 제조방법
US8980719B2 (en) * 2010-04-28 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for doping fin field-effect transistors
US8557692B2 (en) * 2010-01-12 2013-10-15 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET LDD and source drain implant technique
JP2012049286A (ja) 2010-08-26 2012-03-08 Sen Corp 半導体装置の製造方法
KR101850703B1 (ko) 2011-05-17 2018-04-23 삼성전자 주식회사 반도체 장치 및 그 제조 방법
TWI541377B (zh) 2011-11-04 2016-07-11 Asm國際股份有限公司 形成摻雜二氧化矽薄膜的方法
KR101912582B1 (ko) 2012-04-25 2018-12-28 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US9029226B2 (en) 2013-03-13 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for doping lightly-doped-drain (LDD) regions of finFET devices

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100176424A1 (en) * 2003-04-29 2010-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Doping of Semiconductor Fin Devices
US20070166846A1 (en) * 2004-12-13 2007-07-19 Matsushita Electric Industrial Co., Ltd. Plasma doping method

Also Published As

Publication number Publication date
CN106158962A (zh) 2016-11-23
CN106158962B (zh) 2019-06-21
KR101748920B1 (ko) 2017-06-19
US20160099150A1 (en) 2016-04-07
US9558946B2 (en) 2017-01-31
TW201624544A (zh) 2016-07-01
KR20160040411A (ko) 2016-04-14

Similar Documents

Publication Publication Date Title
TWI575573B (zh) 鰭式場效電晶體及其形成方法
US9449971B2 (en) Methods of forming FinFETs
KR101827148B1 (ko) 반도체 디바이스의 제조 방법
KR102263032B1 (ko) 반도체 디바이스 및 그 형성 방법
US11211477B2 (en) FinFETs having epitaxial capping layer on fin and methods for forming the same
US10103264B2 (en) Channel strain control for nonplanar compound semiconductor devices
US9472669B1 (en) Semiconductor Fin FET device with epitaxial source/drain
US11133306B2 (en) Semiconductor device including fin structures and manufacturing method thereof
US10276568B2 (en) Semiconductor device and manufacturing method thereof
US20180145131A1 (en) Semiconductor Device and Method
US10879354B2 (en) Semiconductor device and forming method thereof
KR20200001434A (ko) 반도체 디바이스를 제조하는 방법 및 반도체 디바이스
US9831242B2 (en) Semiconductor device and manufacturing method thereof
US9543297B1 (en) Fin-FET replacement metal gate structure and method of manufacturing the same
CN105405885A (zh) 一种cmos结构及其制造方法