TWI522014B - Plasma processing device - Google Patents

Plasma processing device Download PDF

Info

Publication number
TWI522014B
TWI522014B TW100118041A TW100118041A TWI522014B TW I522014 B TWI522014 B TW I522014B TW 100118041 A TW100118041 A TW 100118041A TW 100118041 A TW100118041 A TW 100118041A TW I522014 B TWI522014 B TW I522014B
Authority
TW
Taiwan
Prior art keywords
upper electrode
processing
electrode
space
flow path
Prior art date
Application number
TW100118041A
Other languages
English (en)
Other versions
TW201216788A (en
Inventor
Masato Horiguchi
Hiroshi Tsujimoto
Takashi Kitazawa
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201216788A publication Critical patent/TW201216788A/zh
Application granted granted Critical
Publication of TWI522014B publication Critical patent/TWI522014B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32633Baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32651Shields, e.g. dark space shields, Faraday shields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Description

電漿處理裝置
本發明係關於一種具備有可於處理室內升降自如之上部電極的電漿處理裝置。
製造半導體元件之電漿處理裝置,常使用者乃係於其處理室內具備有載置例如半導體晶圓、液晶基板等基板之下部電極以及相對向配置之上部電極之所謂的平行平板型電漿處理裝置。此種電漿處理裝置,係於下部電極上載置基板,從形成於上部電極之複數吹出孔朝向下部電極導入既定之處理氣體並於電極間施加高頻電力來產生處理氣體之電漿。藉此對基板施以蝕刻、成膜等電漿處理。
此種平行平板型之電漿處理裝置,由於上部電極與下部電極之電極間距離對於基板之電漿處理特性造成影響,故針對電漿處理之種類、處理條件做正確的調整為較佳者。尤其,當處理條件、種類不同之電漿處理係於同一處理室內連續實行之情況,調整成為對各種電漿處理而言為最適當之電極間距離為較佳者。是以,以往開發了一種電漿處理裝置,係使得上部電極構成為可升降自如,來使得電極間距離成為最適當(參照例如下述專利文獻1)。
專利文獻1所記載之電漿處理裝置,係使得上部電極經由波紋管而升降自如地裝設於處理室之天花板壁,於天花板壁與下部電極之間使得上部電極進行升降。據此,可升降上部電極來調整上部電極與下部電極之距離(電極間距離)。
專利文獻1日本特開2005-93843號公報
但是,上述般習知之電漿處理裝置,由於在上部電極之上側設置波紋管,是以即使該波紋管收縮仍留有某種程度之高度,而會於處理室內形成夾在天花板壁與上部電極之空間(上部電極之上部空間)。因此,供給於處理室內之處理氣體恐會從上部電極側面與處理室內壁之間的間隙繞入而殘留於此上部空間。
一旦處理氣體繞入此空間,即使驅動真空泵也很難排氣。如此一來例如於實行下次之電漿處理之際、進行處理室內之壓力調整之際、或是使得上部電極進行升降之際,由於殘留於上部空間之處理氣體會降至上部電極下方之處理空間,此恐怕會對電漿處理特性造成影響。此外,一旦處理氣體繞入上部電極之上部空間則壓力也會變高,當於電極間施加高頻電力之時,其上部空間恐會產生異常放電。
是以,本發明有鑒於此種問題,其目的在於提供一種電漿處理裝置,即便處理氣體繞入以升降自如方式所設之上部電極之上部空間,也可輕易將其排出,可防止於上部空間產生異常放電。
為了解決上述課題,依據本發明之某觀點,係提供一種電漿處理裝置,係對處理室內之基板施以既定之電漿處理;其特徵在於具備有:下部電極,係設於該處理室之底壁,載置該基板;上部電極,係對向於該下部電極而設置,設有朝向被載置之該基板導入處理氣體之多數吹出孔;電極供給部,係對該電極間施加形成該處理氣體之電漿的高頻電力;升降機構,係設於該處理室之天花板壁,使得該上部電極於該天花板壁與該下部電極之間進行升降;筒狀壁,係圍繞該各電極與其間之處理空間的周圍;內側排氣流路,係設於該筒狀壁之內側,將該處理空間之環境氣氛加以排氣;以及外側排氣流路,係設於該筒狀壁之外側,將繞入該上部電極與該天花板壁之間的空間之處理氣體加以排氣。
依據本發明,即便朝向筒狀壁內之處理空間被供給之處理氣體從上部電極與筒狀壁之間隙繞入了位於天花板壁與上部電極之間的空間(上部電極之上部空間),該處理氣體可通過外側排氣流路而從排氣口輕易地被排出。此外,由於可將上部電極之上部空間壓力保持在低壓,故即便於電極間施加高頻電力也可防止於該上部空間產生異常放電。
此情況下,該筒狀壁係設於該處理室側壁內側之屏蔽側壁;該外側排氣流路係例如形成於該處理室側壁與該屏蔽側壁之間的排氣空間。據此,能以設置此種屏蔽側壁來形成外側排氣流路之簡單的構成來將繞入上部電極之上部空間的處理氣體予以高效率地排氣。
此外,亦可於該屏蔽側壁之上部設有以阻塞該外側排氣流路的方式所張設出之凸緣部;並可於該凸緣部形成有多數之連通孔來將該外側排氣流路連通於該上部電極與該天花板壁之間的空間,藉由改變此連通孔之數量或是形狀以調整該外側排氣流路之傳導。據此,可增加外側排氣流路之傳導和上部電極與筒狀壁之間隙的傳導之差。藉此,可更為提高繞入天花板壁與上部電極之間的空間之處理氣體的排出效率。
此外,該凸緣部亦可於其上側沿著該屏蔽側壁外周設有溝槽部;可於該溝槽部之底部設有該連通孔,並於該溝槽部之側部形成有連通於該上部電極與該屏蔽側壁之間隙的連通孔。據此,從上部電極與屏蔽側壁之間隙進入之處理氣體可經由連通孔而從外側排氣流路導入排氣口。藉此,可防止處理氣體進入上部電極之上部空間。
此外,該筒狀壁可為該處理室之側壁;該外側排氣流路可為旁通配管,將該上部電極與該天花板壁之間的空間連通於連接在該處理室排氣口之排氣管。據此,本發明亦可適用於未設置屏蔽側壁之電漿處理裝置。
依據本發明,即便處理氣體繞入以升降自如方式所設之上部電極之上部空間,也可將其經由外側排氣流路而輕易排出,可防止於上部空間發生異常放電。
參照以下所附圖式,針對本發明之較佳實施形態做詳細說明。此外,於本說明書以及圖式中,針對實質具有同一功能構成的構成要素係賦予同一符號而省略重複說明。
本發明之實施形態之電漿處理裝置之一例係於圖1顯示平行平板型電漿處理裝置100之概略構成。電漿處理裝置100係具有例如表面經陽極氧化處理(耐酸鋁處理)之鋁所構成而成形為圓筒形狀之處理室(腔室)102,此處理室102為接地狀態。處理室102係由例如筒狀側壁103與底壁104與天花板壁105所圍成之處理容器所構成。天花板壁105係以裝卸自如的方式裝設於側壁103之上部。
於處理室102內設有:下部電極(晶座)111,係構成載置晶圓W之載置台110;以及上部電極120,係對向於此下部電極111而配置,構成用以導入處理氣體、沖洗氣體等之淋灑頭。
下部電極111係由例如鋁所構成,經由絕緣性筒狀保持部106而設置於處理室102之底壁104。此外,下部電極111係配合於晶圓W之外徑而形成為圓柱狀。
於下部電極111之上面係設有用以將晶圓W以靜電吸附力來保持之靜電夾112。靜電夾112係將例如導電膜所構成之靜電夾電極114插入絕緣膜內而構成者。於靜電夾電極114係電性連接著直流電源115。依據此靜電夾112,可藉由來自直流電源115之直流電壓,以庫倫力將晶圓W吸附保持於靜電夾112上。
於下部電極111之內部設有冷卻機構。此冷卻機構係例如將來自未圖示之冷凝器單元之既定溫度冷媒(例如冷卻水)經由配管來循環供給至在下部電極111內之圓周方向上延伸設置之冷媒室116。可藉由冷媒之溫度來控制靜電夾112上之晶圓W的處理溫度。
於下部電極111與靜電夾112內,熱傳導氣體供給管線118係朝向晶圓W內面配置著。熱傳導氣體供給管線118係被導入例如He氣體等熱傳導氣體(背側氣體),而被供給於靜電夾112上面與晶圓W內面之間。藉此,可促進下部電極111與晶圓W之間之熱傳遞。以將載置於靜電夾112上之晶圓W周圍加以圍繞之方式來配置著聚焦環119。聚焦環119係由例如石英、矽所構成,設置於下部電極111上面。
上部電極120係藉由上部電極之升降機構200來升降自如地支撐於處理室102之天花板壁105。藉此,可調整下部電極111與上部電極120之間隔。此外,關於上部電極升降機構200之細節將於後述。
上部電極120係經由波紋管122而支撐於處理室102之天花板壁105。波紋管122係經由凸緣122a而藉由螺釘等固定機構安裝至在處理室102之天花板壁105所形成之孔102a的緣部下面,並經由凸緣122b而藉由螺釘等固定機構安裝至上部電極120之上面。
上部電極120之構成為:電極板124,構成相對於下部電極111之對向面而具有多數之噴出孔123;以及電極支撐體125,係支撐此電極板124。電極板124係由例如石英所構成,電極支撐體125係由例如表面經過耐酸鋁處理之鋁等導電性材料所構成。
於上部電極120之電極支撐體125設有氣體導入口126。此氣體導入口126係連接著氣體供給管127。再者,此氣體供給管127係經由閥128以及質流控制器129而連接著處理氣體供給部130。
從此處理氣體供給部130供給例如電漿蝕刻用之蝕刻氣體。此外,於圖1中,僅顯示了1個由氣體供給管127、閥128、質流控制器129、以及處理氣體供給部130等所構成之處理氣體供給系統,電漿處理裝置100係具備複數之處理氣體供給系統。例如,CHF3、Ar、He等處理氣體係分別獨立地受到流量控制,而被供給於處理室102內。
其次,針對上部電極升降機構200之構成例參照圖1來說明。此外,上部電極升降機構200之構成不限於圖1所示者。圖1所示之上部電極升降機構200具有大致圓筒狀之滑動支撐構件204,將上部電極120相對於處理室102滑動自如地支撐著。滑動支撐構件204係以螺釘等裝設於上部電極120之上部大致中央。
滑動支撐構件204係配置成為可在處理室102上壁之大致中央所形成孔102a處出入自如。具體而言,滑動支撐構件204之外周面係經由滑動機構210而滑動自如地支撐於處理室102之孔102a的緣部。
滑動機構210係具有:引導構件216,係例如於處理室102之上部經由截面L字形之固定構件214而固定於固定構件214之鉛直部;以及,軌道部212,係滑動自如地支撐於此引導構件216,於滑動支撐構件204之外周面朝特定方向(本實施形態為上下方向)被形成。
固定滑動機構210之引導構件216的固定構件214,其水平部係經由環狀之水平調整板218而固定於處理室102之上部。此水平調整板218係用以調整上部電極120之水平位置。水平調整板218可例如藉由在圓周方向上等間隔配置之複數螺釘等來將水平調整板218固定於處理室102,以該等螺釘之突出量來改變水平調整板218相對於水平方向之傾斜量。此水平調整板218藉由調整相對於水平方向之傾斜,可調整上述滑動機構210之引導構件216相對於鉛直方向之傾斜,故可調整經由引導構件216而被支撐之上部電極120的水平方向傾斜。藉此,上部電極120能以簡單的操作經常保持在水平位置。
於處理室102之上側,用以驅動上部電極120之空氣壓汽缸220係經由筒體201而被安裝。亦即,筒體201之下端係以被覆處理室102之孔102a的方式以螺釘等被氣密安裝著,筒體201之上端係氣密地安裝於空氣壓汽缸220之下端。
上述空氣壓汽缸220具有可朝特定方向驅動之桿202,此桿202之下端係以螺釘等連動設置於滑動支撐構件204之上部大致中央。藉此,空氣壓汽缸220之桿202受到驅動,上部電極120可利用滑動支撐構件204來沿著滑動機構朝特定方向驅動。桿202係構成為圓筒狀,桿202之內部空間係和形成於滑動支撐構件204大致中央的中央孔連通著而被開放於大氣。藉此,例如接地配線等各配線(於圖1中省略)可從桿202之內部空間經由滑動支撐構件204之中央孔來連接於上部電極120。
此外,於空氣壓汽缸220之側部設有例如線性編碼器205作為檢測上部電極120位置之位置檢測機構。另一方面,於空氣壓汽缸220之桿202的上端設有上端構件207,其具有從桿202朝側方延伸出去之延出部207a,線性編碼器205之檢測部205a係抵接於此上端構件207之延出部207a。由於上端構件207連動於上部電極120之動作,故可藉由線性編碼器205來檢測上部電極120之位置。
空氣壓汽缸220係以上部支撐板224與下部支撐板226來挾持筒狀之汽缸本體222。於桿202之外周面設有環狀區劃構件208,用以將空氣壓汽缸220內區劃為汽缸第1空間232與汽缸第2空間234。
空氣壓汽缸220之汽缸第1空間232係從未圖示之上部埠導入壓縮空氣。此外空氣壓汽缸220之汽缸第2空間234係從未圖示之下部埠導入壓縮空氣。藉由控制對於汽缸第1空間232、汽缸第2空間234分別導入之空氣量,可將桿202朝特定方向(此處為上下方向)驅動控制。導入此空氣壓汽缸220之空氣量係以設置於空氣壓汽缸220附近之空氣壓回路240來控制。此外,此處係針對使用空氣壓汽缸220來作為使得上部電極120升降之致動器的情況作了說明,惟不限定於此。
於上述之下部電極111係連接著供給雙頻重疊電力之電力供給裝置140。電力供給裝置140係由第1高頻電力供給機構142(供給第1頻率之第1高頻電力(電漿激發用高頻電力))與第2高頻電力供給機構152(供給比第1頻率來得低之第2頻率之第2高頻電力(偏壓電壓產生用高頻電力))所構成。
第1高頻電力供給機構142具有從下部電極111側依序連接之第1濾波器144、第1整合器146、第1電源148。第1濾波器144係防止第2頻率之電力成分侵入第1整合器146側。第1整合器146係使得第1高頻電力成分匹配。
第2高頻電力供給機構152具有從下部電極111側依序連接之第2濾波器154、第2整合器156、第2電源158。第2濾波器154係防止第1頻率之電力成分侵入第2整合器156側。第2整合器156係使得第2高頻電力成分匹配。
於電漿處理裝置100連接著控制部(全體控制裝置)160,藉由此控制部160來控制電漿處理裝置100之各部。此外,於控制部160係連接著由鍵盤(操作者為了管理電漿處理裝置100而進行指令之輸入操作等)、顯示器(將電漿處理裝置100之運轉狀況加以可視化顯示)等所構成之操作部162。
再者,於控制部160係連接著記憶部164,其記憶著為了以控制部160之控制來實現以電漿處理裝置100所實行之各種處理(蝕刻、灰化、成膜等)之程式或是用以實行程式所必要之處理條件(配方)等。
於記憶部164係記憶著例如複數之處理條件(配方)。各處理條件係總合了控制電漿處理裝置100各部之控制參數、設定參數等複數參數值。各處理條件係具有例如處理氣體之流量比、處理室內壓力、高頻電力等參數值。
此外,該等程式、處理條件可記憶於硬碟、半導體記憶體,亦可在收容於可利用CD-ROM、DVD等可移動式電腦所讀取之記憶媒體中之狀態下設定於記憶部164之所定位置。
控制部160係基於來自操作部162之指令等而從記憶部164讀取出所希望之程式、處理條件來控制各部,而實行電漿處理裝置100所希望之處理。此外,可藉由來自操作部162之操作來編輯處理條件。
於處理室102之底壁104設有排氣口132。於排氣口132經由排氣管134而連接著排氣部136。排氣部136係具備例如真空泵,可將處理室102內減壓至既定之真空度。此外,雖未圖示,但於處理室102之側壁103係安裝有晶圓W之搬出入口與將搬出入口開閉之閘閥。
此種電漿處理裝置100,係使得上部電極120升降來調整電極間距離。此外,來自處理氣體供給部130之處理氣體係經由上部電極120而朝向下部電極上之處理空間107被導入,對下部電極111施加第1、第2高頻電力來形成處理氣體之電漿,而對載置台110上之晶圓W進行蝕刻、成膜之電漿處理。
但是,此種具備升降自如之上部電極120的電漿處理裝置100,由於在上部電極120之上側(電極支撐體125之上側)設有波紋管122,故即便該波紋管122收縮仍保有某種程度之高度,是以於該波紋管122之外側會形成夾在天花板壁105與上部電極120之上部空間(夾在天花板壁105與電極支撐體125之上部空間)108。是以,從形成於上部電極120側面之外側的間隙繞入之處理氣體恐殘留於此上部空間108。此種情況下,若上部電極120外側之間隙狹窄,則即便驅動真空泵,殘留之處理氣體也很難從排氣口132被排氣。
是以,於本實施形態,設置了圍繞各電極111、120與處理空間107周圍之筒狀壁,於此筒狀壁外側形成外側排氣流路138,來將繞入上部電極120與天花板壁105之間之上部空間108的處理氣體加以直接排氣。圖1係以屏蔽構件300之屏蔽側壁310來構成圍繞上述各電極111、120與處理空間107周圍之筒狀壁的具體例。此情況之外側排氣流路138係形成於屏蔽側壁310與處理室102之側壁103之間,由連通於排氣口132之排氣空間所構成。
據此,藉由驅動排氣部136之真空泵,繞入上部電極120之上部空間108的處理氣體乃通過外側排氣流路138而從排氣口132被直接排氣。此外,藉由設置此種屏蔽側壁310來形成外側排氣流路138之簡單構成,可將繞入上部電極120之上部空間108的處理氣體高效率地加以排氣。
屏蔽構件300係例如圖2所示般所構成。屏蔽構件300係由屏蔽側壁310以及設於其上部之凸緣320所構成。屏蔽側壁310與凸緣320可一體形成,亦可分別形成。
屏蔽構件300係藉由凸緣320而支撐於處理室102之側壁103上部。具體而言,凸緣320係從屏蔽側壁310之外周面朝外側突出形成。此凸緣320係如圖1所示般挾持在側壁103與天花板壁105之間以螺釘等來安裝。於處理室102之側壁103之間以及天花板壁105之間為了保持氣密,於凸緣320係設有未圖示之O型環等密封構件。
於凸緣320係如圖2所示般沿著屏蔽側壁310外周設有溝槽部322。於溝槽部322之底部324設有將上部電極120之上部空間108連通於外側排氣流路138之複數連通孔326。藉由改變此連通孔326之數量、形狀,可調整外側排氣流路138之傳導(conductance)。此外,為了提高通過外側排氣流路138之排氣效率,外側排氣流路138之傳導最好至少比上部電極120與屏蔽側壁310之間隙的傳導來得大。
此外,圖2中係舉出連通孔326之形狀成為圓孔之例,惟不限定於此,亦可為例如狹縫、橢圓等。此外,當連通孔326以狹縫構成之情況,可為延伸成放射狀之狹縫、亦可為延伸於圓周方向上之狹縫。如此般,只需調整連通孔326之數量、形狀,即可加大外側排氣流路138之傳導以及上部電極120與屏蔽側壁310之間隙的傳導的差。藉此,繞入上部電極120之上部空間108的處理氣體之排出效率可進一步提高。
於屏蔽側壁310之內側(亦即於屏蔽側壁310與筒狀保持部106之間)形成有和排氣口132連通之內側排氣流路330。於內側排氣流路330與排氣口132之間設有擋板340。具體而言,擋板340係例如圖1所示般以圍繞筒狀保持部106之方式設於屏蔽側壁310之下端。於擋板340形成有多數之貫通孔342。藉此,藉由驅動排氣部136之真空泵,屏蔽側壁310內之環境氣氛乃通過內側排氣流路330而經由擋板340之貫通孔342從排氣口132被排氣。
於屏蔽側壁310之內面被施以陽極氧化處理(例如耐酸鋁處理),粒子乃難以附著。以此種屏蔽側壁310來圍繞處理空間107,可將處理室102之側壁103保護於粒子等之外。
其次,參照說明圖式來說明此種電漿處理裝置100之排氣流向。圖3係顯示設有形成外側排氣流路138之屏蔽構件300的本實施形態電漿處理裝置100概略之截面圖,圖4係顯示設有未形成外側排氣流路138之屏蔽構件300’之比較例之電漿處理裝置100’概略之截面圖。圖3、圖4係將上部電極升降機構200之構成、下部電極111附近之構成等加以簡略化者。
圖3、圖4之情況皆是一邊驅動圖1所示排氣部136之真空泵、一邊從上部電極120朝向處理空間107來導入處理氣體。一旦對下部電極111施加第1以及第2高頻,則於處理空間107形成處理氣體之電漿,進行晶圓W之電漿處理。
此時,屏蔽側壁310、310’內側之環境氣氛絕大多數係通過內側排氣流路330而經由擋板340之貫通孔342從排氣口132排出。但是,屏蔽側壁310、310’內側之環境氣氛之一部份係從在上部電極120側面之外側所形成之間隙繞入上部電極120之上部空間108。如此般,處理氣體109繞入上部電極120之上部空間108。
此情況,若如圖4般不具外側排氣流路138之情況,如上述般進入上部電極120之上部空間108之處理氣體極難被排氣而容易殘留,相對地,如圖3般具有外側排氣流路138之情況,進入上部電極120之上部空間108之處理氣體可通過外側排氣流路138而從直接排氣口132輕易地被排氣。藉此,可防止於上部電極120之上部空間108殘留處理氣體。此外,由於上部電極120之上部空間108保持在低壓,故可防止於上部空間108之異常放電。
再者,藉由調整屏蔽側壁310之連通孔326數量、形狀,使得外側排氣流路138之傳導比上部電極120之外側間隙(處理氣體進入之間隙)來得大,可使得繞入上部電極120之上部空間108的處理氣體更容易從排氣口132被排氣。
此外,屏蔽構件300之構成不限於圖2所示者。亦可例如圖5所示般,於屏蔽側壁310設置連通孔312,來讓凸緣320之溝槽部322的空間連通於上部電極120與屏蔽側壁310之間隙。據此,如圖6所示般,進入上部電極120外側之間隙的處理氣體也可經由連通孔312、326而導至外側排氣流路138。藉此,可防止屏蔽側壁310內之處理氣體繞入上部電極120之上部空間108。
此連通孔312之數量、形狀不限於圖5所示者。在圖5中,連通孔326之形狀係舉出圓孔之例,惟亦可為例如狹縫、橢圓等。此外,以狹縫構成連通孔312之情況,可為呈放射狀延伸之狹縫,或是在圓周方向上延伸之狹縫。
此外,在上述實施形態,係舉出了屏蔽側壁310成為圍繞各電極120、111與處理空間107周圍之筒狀壁,在此屏蔽側壁310與處理室102之側壁103之間設置外側排氣流路138之情況為例來說明,惟不限定於此。亦可例如圖7所示般,以上部電極120之上部空間108連通於處理室102之排氣管134的旁通配管來構成外側排氣流路138。
圖7係於圖4所示電漿處理裝置100’設置了構成外側排氣流路138之旁通配管350之情況的具體例。據此,可使得進入上部電極120之上部空間108的處理氣體通過作為外側排氣流路138之旁通配管350而從直接排氣口132輕易地被排氣。藉此,亦可防止於上部電極120之上部空間108殘留處理氣體。
此外,構成外側排氣流路138之旁通配管350亦可適用於圖8所示般未設置屏蔽側壁310之電漿處理裝置100”。此情況下,處理室102之側壁103相當於圍繞各電極120、111與處理空間107周圍之筒狀壁。藉此,亦可防止於上部電極120之上部空間108殘留處理氣體。
以上,參照所附圖式針對本發明之較佳實施形態做了說明,惟本發明當然不限定於相關例子。只要為業界人士,當然可於申請專利範圍所記載之範疇內想到各種變更例或是修正例,應可理解該等當然亦屬於本發明之技術範圍。
例如於上述實施形態,係舉出本發明適用於僅對於下部電極重疊施加2種類之高頻電力來產生電漿之類型的電漿處理裝置之情況為例來說明,惟不限定於此,亦可使用於其他類型(例如僅於下部電極施加1種類之高頻電力之類型或是將2種類之高頻電力分別施加於上部電極與下部電極之類型)的電漿處理裝置。
本發明可適用於具備可於處理室內升降自如之上部電極的電漿處理裝置。
100,100’,100”...電漿處理裝置
102...處理室
102a...孔
103...側壁
104...底壁
105...天花板壁
106...筒狀保持部
107...處理空間
108...上部空間
109...殘留之處理氣體
110...載置台
111...下部電極
112...靜電夾
114...靜電夾電極
115...直流電源
116...冷媒室
118...熱傳導氣體供給管線
119...聚焦環
120...上部電極
122...波紋管
122a,122b...凸緣
123...噴出孔
124...電極板
125...電極支撐體
126...氣體導入口
127...氣體供給管
128...閥
129...質流控制器
130...處理氣體供給部
132...排氣口
134...排氣管
136...排氣部
138...外側排氣流路
140...電力供給裝置
142...第1高頻電力供給機構
144...第1濾波器
146...第1整合器
148...第1電源
152...第2高頻電力供給機構
154...第2濾波器
156...第2整合器
158...第2電源
160...控制部
162...操作部
164...記憶部
200...上部電極升降機構
300,300’...屏蔽構件
310,310’...屏蔽側壁
312,326...連通孔
320...凸緣
322...溝部
324...底部
330...內側排氣流路
340...擋板
342...貫通孔
350...旁通配管
W...晶圓
圖1係顯示本發明之實施形態之電漿處理裝置概略構成之截面圖。
圖2係顯示圖1所示屏蔽構件之構成例之截面立體圖。
圖3係用以說明處理室內之排氣流向之圖,係顯示本實施形態之電漿處理裝置概略之截面圖。
圖4係用以說明處理室內之排氣流向之圖,係顯示比較例之電漿處理裝置概略之截面圖。
圖5係顯示同實施形態之屏蔽構件之變形例之截面立體圖。
圖6係用以說明具備圖5所示屏蔽構件之電漿處理裝置之處理室內之排氣流向之截面圖。
圖7係顯示同實施形態之電漿處理裝置之變形例之截面圖。
圖8係顯示同實施形態之電漿處理裝置之其他變形例之截面圖。
100...電漿處理裝置
102...處理室
103...側壁
104...底壁
105...天花板壁
106...筒狀保持部
107...處理空間
108...上部空間
111...下部電極
120...上部電極
122...波紋管
123...噴出孔
124...電極板
125...電極支撐體
132...排氣口
134...排氣管
138...外側排氣流路
200...上部電極升降機構
300...屏蔽構件
320...凸緣
322...溝槽部
326...連通孔
330...內側排氣流路
340...擋板
342...貫通孔
W...晶圓

Claims (2)

  1. 一種電漿處理裝置,係對處理室內之基板施以既定之電漿處理;其特徵在於具備有:下部電極,係設於該處理室之底壁,載置該基板;上部電極,係對向於該下部電極而設置,設有朝向被載置之該基板導入處理氣體之多數吹出孔;電極供給部,係對該電極間施加形成該處理氣體之電漿的高頻電力;升降機構,係設於該處理室之天花板壁,使得該上部電極於該天花板壁與該下部電極之間進行升降;筒狀壁,係圍繞該各電極與其間之處理空間的周圍;內側排氣流路,係設於該筒狀壁之內側,將該處理空間之環境氣氛加以排氣;外側排氣流路,係設於該筒狀壁之外側,將繞入該上部電極與該天花板壁之間的空間之處理氣體加以排氣;以及凸緣部,係設置於該筒狀壁之上部,以阻塞該外側排氣流路的方式所張設出者;其中,該凸緣部於其上側沿著該筒狀壁外周設有溝槽部;於該溝槽部之底部設有連通孔來將該外側排氣流路連通於該上部電極與該天花板壁之間的空 間,並於該溝槽部之側部形成有連通於該上部電極與該筒狀壁之間隙的連通孔。
  2. 如申請專利範圍第1項之電漿處理裝置,其中該筒狀壁係設於該處理室側壁內側之屏蔽側壁;該外側排氣流路係形成於該處理室側壁與該屏蔽側壁之間的排氣空間。
TW100118041A 2010-05-25 2011-05-24 Plasma processing device TWI522014B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2010119547A JP5567392B2 (ja) 2010-05-25 2010-05-25 プラズマ処理装置

Publications (2)

Publication Number Publication Date
TW201216788A TW201216788A (en) 2012-04-16
TWI522014B true TWI522014B (zh) 2016-02-11

Family

ID=44117156

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100118041A TWI522014B (zh) 2010-05-25 2011-05-24 Plasma processing device

Country Status (6)

Country Link
US (1) US8858754B2 (zh)
EP (1) EP2390897B1 (zh)
JP (1) JP5567392B2 (zh)
KR (1) KR101737014B1 (zh)
CN (1) CN102262999B (zh)
TW (1) TWI522014B (zh)

Families Citing this family (70)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5445252B2 (ja) * 2010-03-16 2014-03-19 東京エレクトロン株式会社 成膜装置
JP5567392B2 (ja) * 2010-05-25 2014-08-06 東京エレクトロン株式会社 プラズマ処理装置
US8906160B2 (en) * 2010-12-23 2014-12-09 Intermolecular, Inc. Vapor based processing system with purge mode
JP5902896B2 (ja) * 2011-07-08 2016-04-13 東京エレクトロン株式会社 基板処理装置
TWI646869B (zh) 2011-10-05 2019-01-01 美商應用材料股份有限公司 對稱電漿處理腔室
US9928987B2 (en) 2012-07-20 2018-03-27 Applied Materials, Inc. Inductively coupled plasma source with symmetrical RF feed
US9082590B2 (en) 2012-07-20 2015-07-14 Applied Materials, Inc. Symmetrical inductively coupled plasma source with side RF feeds and RF distribution plates
US9449794B2 (en) 2012-07-20 2016-09-20 Applied Materials, Inc. Symmetrical inductively coupled plasma source with side RF feeds and spiral coil antenna
US10131994B2 (en) * 2012-07-20 2018-11-20 Applied Materials, Inc. Inductively coupled plasma source with top coil over a ceiling and an independent side coil and independent air flow
US10170279B2 (en) 2012-07-20 2019-01-01 Applied Materials, Inc. Multiple coil inductively coupled plasma source with offset frequencies and double-walled shielding
US10249470B2 (en) 2012-07-20 2019-04-02 Applied Materials, Inc. Symmetrical inductively coupled plasma source with coaxial RF feed and coaxial shielding
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
JP2014082354A (ja) * 2012-10-17 2014-05-08 Hitachi High-Technologies Corp プラズマ処理装置
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10163606B2 (en) 2013-03-15 2018-12-25 Applied Materials, Inc. Plasma reactor with highly symmetrical four-fold gas injection
JP2015090916A (ja) * 2013-11-06 2015-05-11 東京エレクトロン株式会社 基板処理装置及び基板処理方法
CN103646841B (zh) * 2013-11-22 2016-01-27 上海华力微电子有限公司 一种等离子体刻蚀设备
KR101560623B1 (ko) * 2014-01-03 2015-10-15 주식회사 유진테크 기판처리장치 및 기판처리방법
US9852905B2 (en) * 2014-01-16 2017-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for uniform gas flow in a deposition chamber
JP2015142016A (ja) * 2014-01-29 2015-08-03 東京エレクトロン株式会社 基板処理装置
JP6438320B2 (ja) * 2014-06-19 2018-12-12 東京エレクトロン株式会社 プラズマ処理装置
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
JP6423706B2 (ja) * 2014-12-16 2018-11-14 東京エレクトロン株式会社 プラズマ処理装置
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10167552B2 (en) * 2015-02-05 2019-01-01 Lam Research Ag Spin chuck with rotating gas showerhead
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10157755B2 (en) * 2015-10-01 2018-12-18 Lam Research Corporation Purge and pumping structures arranged beneath substrate plane to reduce defects
US10763082B2 (en) * 2016-03-04 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Chamber of plasma system, liner for plasma system and method for installing liner to plasma system
WO2017169556A1 (ja) * 2016-03-30 2017-10-05 東京エレクトロン株式会社 プラズマ電極およびプラズマ処理装置
JP6394641B2 (ja) * 2016-04-25 2018-09-26 トヨタ自動車株式会社 プラズマ装置
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
KR102553629B1 (ko) * 2016-06-17 2023-07-11 삼성전자주식회사 플라즈마 처리 장치
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
CN106373868B (zh) * 2016-10-10 2020-03-10 昆山龙腾光电股份有限公司 一种阵列基板的制造方法
US10559451B2 (en) * 2017-02-15 2020-02-11 Applied Materials, Inc. Apparatus with concentric pumping for multiple pressure regimes
USD838681S1 (en) * 2017-04-28 2019-01-22 Applied Materials, Inc. Plasma chamber liner
USD837754S1 (en) * 2017-04-28 2019-01-08 Applied Materials, Inc. Plasma chamber liner
USD842259S1 (en) * 2017-04-28 2019-03-05 Applied Materials, Inc. Plasma chamber liner
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
JP6896565B2 (ja) * 2017-08-25 2021-06-30 東京エレクトロン株式会社 インナーウォール及び基板処理装置
US11670490B2 (en) 2017-09-29 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit fabrication system with adjustable gas injector
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
WO2019146456A1 (ja) * 2018-01-26 2019-08-01 東京エレクトロン株式会社 基板処理装置
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10699879B2 (en) * 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
CN108588818A (zh) * 2018-04-17 2018-09-28 陕西飞米企业管理合伙企业(有限合伙) 一种用于气相沉积设备反应室内的尾气收集环
KR102516885B1 (ko) * 2018-05-10 2023-03-30 삼성전자주식회사 증착 장비 및 이를 이용한 반도체 장치 제조 방법
CN108987235B (zh) * 2018-07-12 2020-06-05 昆山龙腾光电股份有限公司 一种等离子体处理装置
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
CN109195297B (zh) * 2018-10-23 2020-09-18 山东大学 一种可精密调节的介质阻挡放电装置及方法
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
CN113169101B (zh) * 2019-01-08 2022-09-30 应用材料公司 用于基板处理腔室的泵送设备与方法
JP2020147795A (ja) * 2019-03-13 2020-09-17 東京エレクトロン株式会社 プラズマ処理装置
CN112309807B (zh) * 2019-08-02 2022-12-30 中微半导体设备(上海)股份有限公司 等离子体刻蚀设备
CN112447474B (zh) * 2019-09-04 2022-11-04 中微半导体设备(上海)股份有限公司 一种具有可移动环的等离子体处理器
JP7378276B2 (ja) * 2019-11-12 2023-11-13 東京エレクトロン株式会社 プラズマ処理装置
JP7422531B2 (ja) * 2019-12-17 2024-01-26 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US20220051912A1 (en) * 2020-08-12 2022-02-17 Taiwan Semiconductor Manufacturing Company Limited Gas flow control during semiconductor fabrication
US20220084845A1 (en) * 2020-09-17 2022-03-17 Applied Materials, Inc. High conductance process kit
US11427910B2 (en) * 2020-10-20 2022-08-30 Sky Tech Inc. Atomic layer deposition equipment capable of reducing precursor deposition and atomic layer deposition process method using the same
CN114582691A (zh) * 2020-11-18 2022-06-03 中微半导体设备(上海)股份有限公司 一种等离子体处理装置及其调节方法
JP2022155065A (ja) * 2021-03-30 2022-10-13 東京エレクトロン株式会社 基板処理装置及び基板処理方法

Family Cites Families (79)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
BE628052A (zh) * 1962-03-15
JPS6289330A (ja) * 1985-10-16 1987-04-23 Hitachi Ltd 処理装置
KR970003885B1 (ko) * 1987-12-25 1997-03-22 도오교오 에레구토론 가부시끼 가이샤 에칭 방법 및 그 장치
JPH0246723A (ja) * 1988-08-09 1990-02-16 Fujitsu Ltd 薄膜形成装置
DE3914065A1 (de) * 1989-04-28 1990-10-31 Leybold Ag Vorrichtung zur durchfuehrung von plasma-aetzverfahren
US5084125A (en) * 1989-09-12 1992-01-28 Matsushita Electric Industrial Co., Ltd. Apparatus and method for producing semiconductor substrate
JPH03145124A (ja) * 1989-10-31 1991-06-20 Fujitsu Ltd ドライエッチング装置
DE4025396A1 (de) * 1990-08-10 1992-02-13 Leybold Ag Einrichtung fuer die herstellung eines plasmas
JP2939355B2 (ja) * 1991-04-22 1999-08-25 東京エレクトロン株式会社 プラズマ処理装置
FR2682047B1 (fr) * 1991-10-07 1993-11-12 Commissariat A Energie Atomique Reacteur de traitement chimique en phase gazeuse.
US5238532A (en) * 1992-02-27 1993-08-24 Hughes Aircraft Company Method and apparatus for removal of subsurface damage in semiconductor materials by plasma etching
US5376224A (en) * 1992-02-27 1994-12-27 Hughes Aircraft Company Method and apparatus for non-contact plasma polishing and smoothing of uniformly thinned substrates
US5292400A (en) * 1992-03-23 1994-03-08 Hughes Aircraft Company Method and apparatus for producing variable spatial frequency control in plasma assisted chemical etching
US5372674A (en) * 1993-05-14 1994-12-13 Hughes Aircraft Company Electrode for use in a plasma assisted chemical etching process
JP3452617B2 (ja) * 1993-12-10 2003-09-29 真空冶金株式会社 ガスデポジション装置
TW295677B (zh) * 1994-08-19 1997-01-11 Tokyo Electron Co Ltd
JPH08199364A (ja) * 1995-01-27 1996-08-06 Kokusai Electric Co Ltd Cvd装置及び処理方法
TW323387B (zh) * 1995-06-07 1997-12-21 Tokyo Electron Co Ltd
JP3536585B2 (ja) 1997-04-25 2004-06-14 松下電器産業株式会社 ワークのプラズマ処理装置およびプラズマ処理方法
JP2000349078A (ja) * 1999-06-03 2000-12-15 Mitsubishi Electric Corp 化学気相成長装置および半導体装置の製造方法
JP3662779B2 (ja) * 1999-06-22 2005-06-22 シャープ株式会社 プラズマ処理装置
JP2001057359A (ja) * 1999-08-17 2001-02-27 Tokyo Electron Ltd プラズマ処理装置
KR100638917B1 (ko) * 2000-05-17 2006-10-25 동경 엘렉트론 주식회사 처리 장치 부품의 조립 기구 및 그 조립 방법
JP3667202B2 (ja) * 2000-07-13 2005-07-06 株式会社荏原製作所 基板処理装置
JP4602532B2 (ja) * 2000-11-10 2010-12-22 東京エレクトロン株式会社 プラズマ処理装置
KR100516844B1 (ko) * 2001-01-22 2005-09-26 동경 엘렉트론 주식회사 처리 장치 및 처리 방법
JP4672169B2 (ja) * 2001-04-05 2011-04-20 キヤノンアネルバ株式会社 プラズマ処理装置
US6527911B1 (en) * 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
KR100431660B1 (ko) * 2001-07-24 2004-05-17 삼성전자주식회사 반도체 장치의 제조를 위한 건식 식각 장치
US20030024900A1 (en) * 2001-07-24 2003-02-06 Tokyo Electron Limited Variable aspect ratio plasma source
US20030042227A1 (en) * 2001-08-29 2003-03-06 Tokyo Electron Limited Apparatus and method for tailoring an etch profile
JP4137419B2 (ja) 2001-09-28 2008-08-20 東京エレクトロン株式会社 プラズマ処理装置
US20030092278A1 (en) * 2001-11-13 2003-05-15 Fink Steven T. Plasma baffle assembly
JP4186536B2 (ja) * 2002-07-18 2008-11-26 松下電器産業株式会社 プラズマ処理装置
KR100426816B1 (ko) * 2002-07-31 2004-04-14 삼성전자주식회사 진공압조절장치가 개선된 플라즈마 처리장치
US7780786B2 (en) * 2002-11-28 2010-08-24 Tokyo Electron Limited Internal member of a plasma processing vessel
US7009281B2 (en) * 2003-03-14 2006-03-07 Lam Corporation Small volume process chamber with hot inner surfaces
JP4173389B2 (ja) * 2003-03-19 2008-10-29 東京エレクトロン株式会社 プラズマ処理装置
JP4286576B2 (ja) 2003-04-25 2009-07-01 東京エレクトロン株式会社 プラズマ処理装置
JP4399219B2 (ja) 2003-09-19 2010-01-13 東京エレクトロン株式会社 プラズマ処理装置及び上部電極ユニット
US20040261712A1 (en) * 2003-04-25 2004-12-30 Daisuke Hayashi Plasma processing apparatus
JP4399206B2 (ja) * 2003-08-06 2010-01-13 株式会社アルバック 薄膜製造装置
JP4607517B2 (ja) * 2003-09-03 2011-01-05 東京エレクトロン株式会社 プラズマ処理装置
CN100449708C (zh) 2004-05-27 2009-01-07 东京毅力科创株式会社 基板处理装置
US20060000552A1 (en) * 2004-07-05 2006-01-05 Tokyo Electron Limited Plasma processing apparatus and cleaning method thereof
US7708834B2 (en) * 2004-11-02 2010-05-04 Tokyo Electron Limited Bolt and plasma processing apparatus provided with same
KR100790392B1 (ko) * 2004-11-12 2008-01-02 삼성전자주식회사 반도체 제조장치
WO2006106665A1 (ja) * 2005-03-31 2006-10-12 Tokyo Electron Limited 基板の窒化処理方法および絶縁膜の形成方法
JP4509864B2 (ja) * 2005-05-30 2010-07-21 東京エレクトロン株式会社 プラズマ処理方法およびプラズマ処理装置
US7850778B2 (en) * 2005-09-06 2010-12-14 Lemaire Charles A Apparatus and method for growing fullerene nanotube forests, and forming nanotube films, threads and composite structures therefrom
KR101163816B1 (ko) * 2005-09-22 2012-07-09 도쿄엘렉트론가부시키가이샤 플라즈마 처리 방법 및 장치
US7825018B2 (en) * 2006-02-28 2010-11-02 Tokyo Electron Limited Plasma oxidation method and method for manufacturing semiconductor device
US8006640B2 (en) * 2006-03-27 2011-08-30 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
JP5425361B2 (ja) * 2006-07-28 2014-02-26 東京エレクトロン株式会社 プラズマ表面処理方法、プラズマ処理方法およびプラズマ処理装置
JP4961948B2 (ja) * 2006-10-27 2012-06-27 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法並びに記憶媒体
US20080202689A1 (en) * 2006-12-08 2008-08-28 Tes Co., Ltd. Plasma processing apparatus
KR100978754B1 (ko) * 2008-04-03 2010-08-30 주식회사 테스 플라즈마 처리 장치
KR100823302B1 (ko) * 2006-12-08 2008-04-17 주식회사 테스 플라즈마 처리 장치
US7943007B2 (en) * 2007-01-26 2011-05-17 Lam Research Corporation Configurable bevel etcher
JP2008270595A (ja) * 2007-04-23 2008-11-06 Texas Instr Japan Ltd 反応生成物剥離防止構造及びその製作方法、並びに当該構造を用いる半導体装置の製造方法
US7807222B2 (en) * 2007-09-17 2010-10-05 Asm International N.V. Semiconductor processing parts having apertures with deposited coatings and methods for forming the same
JP5249547B2 (ja) * 2007-09-28 2013-07-31 東京エレクトロン株式会社 プラズマ処理装置及びそのガス排気方法
JP5032269B2 (ja) * 2007-11-02 2012-09-26 東京エレクトロン株式会社 被処理基板の温度調節装置及び温度調節方法、並びにこれを備えたプラズマ処理装置
WO2009091189A2 (en) * 2008-01-16 2009-07-23 Sosul Co., Ltd. Substrate holder, substrate supporting apparatus, substrate processing apparatus, and substrate processing method using the same
US20090188625A1 (en) * 2008-01-28 2009-07-30 Carducci James D Etching chamber having flow equalizer and lower liner
JP5264231B2 (ja) * 2008-03-21 2013-08-14 東京エレクトロン株式会社 プラズマ処理装置
JP5222598B2 (ja) * 2008-03-25 2013-06-26 東京エレクトロン株式会社 プラズマ処理装置及び給電棒
US7987814B2 (en) * 2008-04-07 2011-08-02 Applied Materials, Inc. Lower liner with integrated flow equalizer and improved conductance
JP5102706B2 (ja) * 2008-06-23 2012-12-19 東京エレクトロン株式会社 バッフル板及び基板処理装置
JP5086192B2 (ja) * 2008-07-01 2012-11-28 東京エレクトロン株式会社 プラズマ処理装置
JP5357487B2 (ja) * 2008-09-30 2013-12-04 東京エレクトロン株式会社 シリコン酸化膜の形成方法、コンピュータ読み取り可能な記憶媒体およびプラズマ酸化処理装置
JP5075793B2 (ja) * 2008-11-06 2012-11-21 東京エレクトロン株式会社 可動ガス導入構造物及び基板処理装置
JP5643528B2 (ja) * 2009-03-30 2014-12-17 東京エレクトロン株式会社 基板処理装置
JP5292160B2 (ja) * 2009-03-31 2013-09-18 東京エレクトロン株式会社 ガス流路構造体及び基板処理装置
JP5551420B2 (ja) * 2009-12-04 2014-07-16 東京エレクトロン株式会社 基板処理装置及びその電極間距離の測定方法並びにプログラムを記憶する記憶媒体
JP2011171450A (ja) * 2010-02-17 2011-09-01 Nuflare Technology Inc 成膜装置および成膜方法
JP2011192664A (ja) * 2010-03-11 2011-09-29 Tokyo Electron Ltd プラズマエッチング方法及びプラズマエッチング装置
JP5782226B2 (ja) * 2010-03-24 2015-09-24 東京エレクトロン株式会社 基板処理装置
JP5567392B2 (ja) * 2010-05-25 2014-08-06 東京エレクトロン株式会社 プラズマ処理装置

Also Published As

Publication number Publication date
US8858754B2 (en) 2014-10-14
KR101737014B1 (ko) 2017-05-17
US20110290419A1 (en) 2011-12-01
JP5567392B2 (ja) 2014-08-06
JP2011249470A (ja) 2011-12-08
CN102262999B (zh) 2014-01-01
EP2390897A3 (en) 2014-04-30
KR20110129356A (ko) 2011-12-01
CN102262999A (zh) 2011-11-30
EP2390897B1 (en) 2019-08-21
EP2390897A2 (en) 2011-11-30
TW201216788A (en) 2012-04-16

Similar Documents

Publication Publication Date Title
TWI522014B (zh) Plasma processing device
KR102432446B1 (ko) 배치대 및 플라즈마 처리 장치
US9460893B2 (en) Substrate processing apparatus
KR101071513B1 (ko) 배플판 및 기판 처리 장치
US8592712B2 (en) Mounting table structure and plasma film forming apparatus
KR101892960B1 (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
JP4255747B2 (ja) プラズマ処理装置及びプラズマ処理方法
JP6556046B2 (ja) プラズマ処理方法およびプラズマ処理装置
JP5347868B2 (ja) 載置台構造及びプラズマ成膜装置
KR20170088760A (ko) 기판 처리 장치
JP2009239014A (ja) 電極構造及び基板処理装置
KR20170132096A (ko) 플라즈마 처리 방법
JP7101628B2 (ja) プラズマ処理装置および電極構造体
JP3224900U (ja) ポリマーの堆積を低減する装置
KR102664176B1 (ko) 플라즈마 처리 장치
TWI445076B (zh) Vacuum processing device
KR101772775B1 (ko) 기판 처리 장치
KR20200051505A (ko) 배치대 및 기판 처리 장치
KR102477910B1 (ko) 기판 처리 장치 및 기판 처리 방법
KR101645545B1 (ko) 기판처리장치
TWI795021B (zh) 基板處理設備
TWI835177B (zh) 用於基板處理腔室的製程套件以及處理腔室
US20060162742A1 (en) Cleaning method of processing apparatus, program for performing the method, and storage medium for storing the program
KR20210017194A (ko) 기판처리장치