TWI508175B - 形成積體電路的方法及形成閘電極的方法 - Google Patents

形成積體電路的方法及形成閘電極的方法 Download PDF

Info

Publication number
TWI508175B
TWI508175B TW099101667A TW99101667A TWI508175B TW I508175 B TWI508175 B TW I508175B TW 099101667 A TW099101667 A TW 099101667A TW 99101667 A TW99101667 A TW 99101667A TW I508175 B TWI508175 B TW I508175B
Authority
TW
Taiwan
Prior art keywords
plasma
forming
metal
reactant
integrated circuit
Prior art date
Application number
TW099101667A
Other languages
English (en)
Other versions
TW201037768A (en
Inventor
Robert B Milligan
Dong Li
Steven Marcus
Original Assignee
Asm Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asm Inc filed Critical Asm Inc
Publication of TW201037768A publication Critical patent/TW201037768A/zh
Application granted granted Critical
Publication of TWI508175B publication Critical patent/TWI508175B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/562Protection against mechanical damage
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Composite Materials (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Ceramic Engineering (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Physical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

形成積體電路的方法及形成閘電極的方法 【相關申請案之交叉參考】
本申請案主張2009年2月2日申請之美國暫時專利申請案第61/149,140號之權益,所述申請案之整個內容特此以引用之方式併入本文中,且應被視為本說明書之一部分。本申請案是有關於以下專利:2001年3月6日申請,2003年3月18頒布之美國專利第6,534,395號;2001年8月31日申請,2003年9月2日頒布之美國專利第6,613,695號;2001年8月31日申請,2003年12月9日頒布之美國專利第6,660,660號;2003年5月5日申請,2005年2月22頒布之美國專利第6,858,524號;以及2003年5月5日申請,2006年5月16日頒布之美國專利第7,045,406號。所有上述申請案之整個內容特此以引用之方式併入本文中,且應被視為本說明書之一部分。
本發明大體上是有關於用於減少上覆(overlying)導電材料之電漿加強原子層沈積(plasma-enhanced atomic layer deposition,PEALD)期間,電漿對金屬氧化物介電層之有害影響的方法及組合物。
電漿加強原子層沈積(PEALD)為通常用於形成極薄塗層之氣相化學製程。如在傳統原子層沈積(atomic layer deposition,ALD)方法中,在PEALD中,反應表面交替地且循序地與反應物接觸,使得薄膜被沈積。在PEALD 中,一反應物為電漿反應物,諸如氫(H*)電漿或氫-氮電漿(例如,NH*、NH2 *、NH3 *或N*+H*)。通常,第二反應物為有機金屬或無機金屬源化學物質。
PEALD可用於沈積若干耐火(refractory)金屬及導電金屬合金。可將此等材料用作(例如)積體電路元件中之閘電極或電容器電極。通常,將導電層沈積於金屬氧化物介電質上。當沈積製程中所使用之電漿在最初幾個沈積循環中與金屬氧化物介電質起反應,且至少部分地將金屬氧化物介電質還原為未氧化之金屬或還原為低於化學計量(substoichiometric)金屬氧化物狀態時,可能出現問題。此情形可導致金屬氧化物介電質與上覆導電材料之間的不良黏合。雖然此情形影響積體電路製造中所使用之常見金屬氧化物,諸如Ta2 O5 、TiO2 、HfO2 、ZrO2 、Al2 O3 、La2 O3 ,但此效應對於Al2 O3 特別明顯,且隨著電漿強度(例如,功率、反應時間)增加而增加。
根據本發明之一態樣,提供用於形成積體電路元件的方法。在一些實施例中,所述方法包括藉由原子層沈積(ALD)製程將電漿障壁層直接沈積於基板上之金屬氧化物介電層上。所述ALD製程包括使基板與金屬反應物及非電漿反應物交替地且循序地接觸。隨後藉由電漿加強原子層沈積(PEALD)製程將導電層直接沈積於電漿障壁層上。所述PEALD製程包括使基板與金屬反應物及電漿反應物交替地且循序地接觸。
在另一態樣中,提供形成閘電極之方法,其包括:在反應空間中,藉由非電漿ALD製程,以約1nm至約5nm之厚度將電漿障壁層直接沈積於基板上之介電層上;以及隨後藉由電漿加強ALD製程將導電材料直接沈積於電漿障壁層上。
在另一態樣中,提供形成包括位於金屬氧化物介電層上之TaCN層之積體電路元件的方法。在一些實施例中,藉由非電漿ALD製程將非晶TaCN層直接沈積於基板上之金屬氧化物介電層上。藉由PEALD製程將TaCN層直接沈積於非晶層上。
在另一態樣中,提供一種積體電路元件。所述積體電路元件包括介電層、位於所述介電層上之電漿障壁層,以及導電層。在一些實施例中,所述電漿障壁可包括第一金屬,且厚度可介於約0.25nm與約1.75nm之間。所述導電層可包括不同於電漿障壁之第一金屬的第二金屬。然而,在一些實施例中,第一金屬與第二金屬相同。舉例而言,第一及第二金屬可包括TaCN。
提供用於藉由電漿加強原子層沈積(PEALD)在介電材料(諸如金屬氧化物)上沈積導電膜或耐火金屬的方法,以及有關組合物及結構。當PEALD製程中使用電漿來在介電質上沈積導電材料時,電漿反應物可至少在PEALD製程之最初幾個循環中對介電層具有不利影響。舉例而言,當電漿(諸如H*或NH*、NH2 *、NH3 *或N*+H*電 漿)與金屬氧化物反應時,金屬氧化物之薄層可能還原為未氧化之金屬或低於化學計量金屬氧化物狀態。在導電材料(諸如金屬)直接沈積於金屬氧化物上之PEALD製程中,此情形可能導致金屬與下伏(underlying)金屬氧化物層之間的不良黏合,從而使PEALD成為不大適合在此情況下使用的方法。然而,如本文所述,藉由在金屬與金屬氧化物之間沈積電漿障壁(或界面層),在對元件特徵較少影響至無影響的情況下,可減小或消除電漿對介電層之有害影響。在一些實施例中,電漿障壁層為非晶金屬界面層。用於沈積電漿障壁層之金屬反應物可為隨後PEALD製程中用於沈積上覆導電層所使用的相同反應物,從而改良所述製程之效率。
根據本發明之一些實施例,電漿障壁(諸如TaCN或TiN膜)藉由非電漿ALD製程形成於基板上之金屬氧化物介電層(諸如Al2 O3 介電層)上。較佳地,每一ALD循環包括兩個不同的沈積步驟或階段。在沈積循環之第一階段(「金屬階段」)中,將包括諸如鉭之金屬的第一反應物脈衝至反應空間,且化學吸附至基板表面上,從而在基板之表面上形成不超過約一單層。選擇此階段中之金屬源材料,在較佳條件下,藉由可用結合位點之數目且藉由所化學吸附之物質(包含配位體)之實體大小來判定可結合至表面之源材料的量。由金屬源化學物質之脈衝留下之化學吸附層以不與所述脈衝之其餘化學物反應的表面自終止。熟習此項技術者將了解,此階段之自限制本質使得整個ALD 循環為自限制的。
在一些實施例中,所述金屬為鉭,且金屬源化學物質為TBTDET。在其他實施例中,所述金屬為鈦,且金屬源化學物質為TiCl4
舉例而言,藉由用惰性氣體沖洗而自反應空間移除過量的金屬源材料及反應副產物(若存在)。可借助於抽汲系統所產生之真空來移除過量的金屬源材料及任何反應副產物。
在沈積循環之第二階段中,將第二反應物(在本文亦稱為「第二源化學物質」)脈衝至反應空間中,以與由先前脈衝留在基板表面上之含有金屬的分子起反應。在一些實施例中,第二源化學物質為氮源化合物(較佳為NH3 ),且藉由第二源化學物質與金屬源材料所留下之單層的相互作用,將氮併入膜中。在較佳實施例中,第二源化學物質與所化學吸附之金屬物質之間的反應在基板上產生金屬氮化物膜。
藉由沖洗氣體脈衝及/或抽汲系統所產生之真空,自反應空間移除任何過量的第二源化學物質及反應副產物(若存在)。沖洗氣體可為任何惰性氣體,諸如(但不限於)氬(Ar)或氦(He)。
重複第一及第二階段,以在介電層上形成具有所要厚度之電漿障壁。電漿障壁層可包括(例如)非晶TaCN,如在金屬反應物為TBTDET的情況下;或TiN,其中金屬反應物為TiCl4 的情況下。在一些實施例中,電漿障壁層 之厚度約為2nm至5nm。
隨後藉由PEALD製程將導電層沈積於電漿障壁上。在PEALD製程之第一階段中,使包括電漿障壁之基板暴露於用於形成電漿障壁之ALD製程中所使用的相同的金屬源化學物質。舉例而言,若使用TBTDET來形成非晶TaCN障壁層,則使基板暴露於TBTDET。類似地,若使用TiCl4 來形成電漿障壁層,則使用TiCl4 。舉例而言,藉由用惰性氣體沖洗且/或借助於真空泵而自反應空間移除過量的金屬源材料及反應副產物(若存在)。
在PEALD沈積循環之第二階段中,將電漿反應物提供至反應空間,以與由先前脈衝留在基板表面上之含有金屬的分子起反應。如上文所提及,在一些實施例中,在遠處產生電漿,且將電漿脈衝至反應空間中。在其他實施例中,將反應物提供至反應空間,且在原處形成電漿。第二源化學物質與所化學吸附之金屬物質之間的反應在基板上產生導電膜。重複第一及第二階段以產生具有所要厚度之膜。在一些實施例中,諸如當金屬反應物為TBTDET時,電漿反應物可為氫電漿。在其他實施例中,諸如當金屬反應物為TiCl4 時,電漿反應物可為NH*、NH2 *、NH3 *或N*+H*電漿。
電漿障壁保護下伏介電質免受PEALD製程之影響,使得介電層之品質不會在PEALD製程期間顯著改變。
圖1說明例示性製程流程。藉由將介電材料沈積於基板上來形成介電層(步驟110)。在一些實施例中,介電材 料為金屬氧化物。舉例而言,在一些實施例中,介電材料可包括Ta2 O5 、TiO2 、HfO2 、ZrO2 、Al2 O3 、La2 O3 、HfSiOx 、HfZrOx 、HfAlOx 及LnAlOx 中之一或多者。亦可使用其他已知介電材料,且熟習此項技術者可基於特定情況來選擇介電材料。在一些特定實施例中,介電層為Al2 O3 層。可藉由任何沈積製程(諸如藉由ALD或藉由化學氣相沈積(chemical vapor deposition,CVD)來形成介電層。在一些實施例中,可提供上面已形成有介電層之基板,且可省略步驟110。
接下來,將電漿障壁層直接沈積於介電材料上(步驟120)。如介電層一樣,電漿障壁層亦可藉由任何製程來沈積。然而,所述沈積製程通常不會負面地影響介電層之性質。在一些實施例中,藉由非電漿原子層沈積(ALD)製程沈積電漿障壁層(步驟120)。非電漿ALD製程為一種不使用電漿反應物之製程。
隨後,藉由PEALD製程將導電材料(或耐火金屬)直接沈積於電漿障壁上(步驟130)。以此方式,減小或避免至少最初若干個PEALD循環期間之電漿的潛在有害影響,且導電材料與下伏介電材料之間可維持良好的黏合。
基板通常為上面需要沈積之工件,且可包括多種材料及結構。舉例而言且並無限制,基板可包括矽、矽石、經塗覆之矽、金屬(諸如銅或鋁)、介電材料、氮化物、氧化物及/或材料之組合。
反應空間通常為反應器中條件可調節以影響藉由 ALD製程之膜生長的體積。在較佳實施例中,電漿障壁層及上覆導電材料之沈積在同一反應空間中發生。反應空間可包含經歷所有反應氣體脈衝之表面,在正常操作期間,氣體或微粒可藉由挾帶流動或擴散,自所述表面流動至基板。反應空間可為(例如)單晶圓ALD反應器中之反應腔室,或批次(batch)ALD反應器之反應腔室(其中多個基板上之沈積同時發生)。另外,化學氣相沈積反應器可適合於在所述方法中使用。所述反應器可經組態以用於電漿產生(在原處或遠處)。例示性反應器包含可自美國的ASM(亞利桑那州,鳳凰城)購得的EmerALDTM 及PulsarTM 反應器。
在某些實施例中,基板在被引入至反應空間之前可能已包括介電材料。可藉由任何標準沈積製程(包含但不限於物理氣相沈積(PVD,亦即濺鍍)、化學氣相沈積(CVD)及ALD)來沈積介電材料。在一些實施例中,在與隨後沈積電漿障壁層及/或導電材料之相同反應空間中,將介電材料沈積至基板上。在其他實施例中,在不同反應空間中沈積介電材料。
如上文所論述,在反應空間中使用非電漿ALD製程來將電漿障壁層沈積於介電材料上。ALD為自限制製程,藉此使用循序且交替之反應物脈衝在每沈積循環沈積不超過一原子(或分子)單層之材料。選擇沈積條件及前驅體以確保自飽和反應,使得在一脈衝中所吸附之層留下不與同一脈衝之氣相反應物起反應的表面終止物。隨後之不同 反應物脈衝與先前終止物起反應,以允許持續之沈積。因此,交替脈衝之每一循環留下不超過約一單層之所要材料。歸因於所化學吸附物質之大小及反應位點之數目,每一循環中可沈積稍小於一單層。舉例而言,ALD型製程之原理已由T.Suntola在(例如)Handbook of Crystal Growth 3,Thin Films and Epitaxy,部分B:Growth Mechanisms and Dynamics,第14章,Atomic Layer Epitaxy第601至663頁(Elsevier Science B.V.,1994)中呈現,其揭示內容以引用之方式併入本文中。
在用於沈積電漿障壁層之典型ALD型製程中,一沈積循環包括:使基板暴露於第一反應物;自反應空間移除任何未經反應之第一反應物及反應副產物;使基板暴露於第二反應物;接以第二移除步驟。第一反應物較佳為金屬前驅體,且第二反應物較佳為非電漿反應物,其與金屬反應物反應以形成所要膜。熟習此項技術者將了解,ALD型製程可以提供任一反應物開始。視正沈積之特定材料而定,可在ALD製程中交替且循序地提供額外的非電漿反應物,以提供所要組合物之材料。
藉由惰性氣體(諸如Ar)分離反應物防止反應物之間的氣相反應,且允許自飽和表面反應。因為反應自飽和,因此不需要對基板之嚴格的溫度控制以及對前驅體之精確的劑量控制。然而,基板溫度較佳為使得入射之氣體物質不會凝結成單層,亦不會在表面上分解。在將下一反應化學物質脈衝引入腔室中之前,自反應空間移除剩餘的化學 物質及反應副產物(若存在)。可借助於惰性沖洗氣體有效地使不合需要之氣態分子自反應空間排出。沖洗氣體將多餘的分子自腔室引導出來。可使用真空泵來輔助沖洗。
選擇電漿障壁之材料及厚度,使得用於沈積上覆導電材料之PEALD製程不會顯著改變下伏介電層之特徵。
電漿障壁層通常包括金屬,且可為(例如)元素金屬、導電金屬氮化物、金屬碳化物-氮化物、金屬碳化物、金屬矽氮化物(metal silicon nitride)或金屬矽碳化物(metal silicon carbide)。用於沈積電漿障壁層之ALD製程較佳使用與隨後之用於沈積上覆導電層之PEALD製程相同的金屬前驅體。因此,在一些實施例中,電漿障壁及上覆導電層包括相同的金屬。電漿障壁可包括(例如)選自由以下各項組成之群組的一或多種金屬:鈦(Ti)、鋯(Zr)、鉿(Hf)、釩(V)、鈮(Nb)、鉭(Ta)、鉻(Cr)、鉬(Mo)、鎢(W)、錳(Mn)、錸(Re)、鐵(Fe)、鈷(Co)、鎳(Ni)、鈀(Pd)、鉑(Pt)、銠(Rh)、銥(Ir)、釕(Ru)及鋨(Os)。熟習此項技術者將了解,在本發明之範疇內,可使用其他材料。
可用於ALD及PEALD製程中之金屬前驅體是此項技術中已知的,且包含有機及無機金屬化合物兩者。在一些實施例中,使用金屬鹵化物反應物(諸如TaCl5 及HfCl4 )作為電漿障壁之ALD沈積中(及/或上覆導電層之沈積中)之金屬前驅體。此等前驅體通常較便宜且相對較穩定,但同時與不同類型之表面群起反應。在其他實施例中,金屬 前驅體為包括Ti、Hf、Zr、Si、Al、Ta、Sr、Ba、Sc、Y、La、Eu及Dy中之至少一者的氣相物質。
在一些特定實施例中,金屬反應物為鈦反應物。鈦反應物可為(例如)TiCl4 。在其他特定實施例中,金屬反應物為鉭反應物。在一些實施例中,鉭反應物為鉭鹵化物。在其他實施例中,鉭反應物為叔丁基醯亞胺基-三(二乙基醯胺基)鉭(tert-butylimido-tris(diethylamido)tantalum,TBTDET)。
在一些實施例中,電漿障壁為導電金屬氮化物,且非電漿反應物包括氮源,其與所吸附之金屬反應物起反應,以形成金屬氮化物。在其他實施例中,電漿障壁為導電金屬碳化物,且非電漿反應物包括碳源,其與所吸附之金屬反應物起反應,以形成金屬碳化物。在其他實施例中,電漿障壁為導電金屬氮化物-碳化物,且亦利用碳源。碳源及氮源可為同一化合物,或可為不同化合物。
氮源化合物可為(例如)以下各項中之一或多者:氨(NH3 )及其鹽;疊氮化氫(hydrogen azide,HN3 )及其烷基衍生物;肼(hydrazine,N2 H4 )及肼之鹽、肼之烷基衍生物;一級、二級及三級胺(primary,secondary and tertiary amines);叔戊基胺(terbutylamide);CH3 N3 ;氫氯化肼(hydrazine hydrochloride);二甲基肼(dimethyl hydrazine);羥胺鹽酸鹽(hydroxylamine hydrochloride);甲胺(methylamine);二乙胺(diethylamine)及三乙胺(triethylamine)。熟習此項技術者將了解,在本發明之範疇 內,可使用其他材料。
在其他實施例中,非電漿反應物為還原劑,其使金屬反應物還原為元素金屬。
簡要而言,在典型的非電漿ALD製程中,將金屬反應物提供至反應腔室中。在供金屬反應物吸附於基板表面上的充足時間之後,自反應空間移除過量的金屬反應物及反應副產物(若存在)。此情形可(例如)藉由沖洗且/或借助於真空泵藉由排空反應空間來完成。在前驅體是借助於惰性載氣而提供之實施例中,可使用相同氣體,藉由停止前驅體進入載氣流中之流動,同時繼續流動載氣,來沖洗反應空間。接著將第二非電漿反應物引入反應空間中,且在供非電漿反應物與先前吸附之金屬反應物起反應的充足時間之後,以類似方式移除。可在ALD製程中提供額外的非電漿反應物,以達成所要組合物。
圖2A說明具有上覆介電層200之基板210。如圖2B中所說明,電漿障壁220藉由非電漿ALD製程直接沈積於介電層200上。
熟習此項技術者將理解,將電漿障壁沈積至一厚度,其防止電漿與下伏介電層起反應達顯著程度。電漿障壁通常可為約0.1nm至約50nm。對於一些實施例而言,電漿障壁可為約0.5nm至約5nm。在其他實施例中,電漿障壁可為約0.5nm至約3.5nm。
在一些實施例中,使用於沈積電漿障壁材料之ALD循環重複大於120次,較佳至少200次,以在導電材料之 PEALD之前,形成電漿障壁。
在沈積電漿障壁層之後,使用PEALD製程來將導電材料或耐火金屬直接沈積於電漿障壁上。在一些實施例中,在上覆導電材料之沈積中使用電漿障壁之沈積中所使用之相同金屬反應物。可在PEALD循環中使用非電漿ALD循環中所使用之相同金屬反應物。在其他實施例中,使用不同的金屬反應物。
在一些實施例中,在最初幾個PEALD循環中,電漿反應物可與電漿障壁起反應。在一些實施例中,電漿反應物在約最初約10至100個PEALD循環中與電漿障壁起反應。
在一些實施例中,電漿反應物改變電漿障壁之至少一部分的性質,例如經由化學計量改變、結晶、增加之密度及降低之電阻率中之一或多者。舉例而言,若電漿障壁由非晶金屬形成,則在PEALD期間,可將非晶金屬之一部分轉換成結晶金屬相。在一些實施例中,改變電漿障壁之約1nm至5nm(更佳約3nm至4nm)之性質。電漿障壁之其餘部分較佳足夠薄,使得其不設定可用功能(work function)或不利地影響元件特徵。在一些實施例中,在上覆導電材料之PEALD沈積之後,電漿障壁之僅約1nm至5nm(更佳約1nm至2nm)保持不變。在一些實施例中,在上覆導電材料之PEALD沈積之後,電漿障壁介於約0.25nm與約1.75nm之間保持不變。在其他實施例中,電漿障壁介於約0.125nm與約0.875nm之間或介於約0.05nm與 約0.35nm之間保持不變。
在某些實施例中,電漿障壁包括非晶材料,其一部分在上覆導電材料之PEALD期間轉換為結晶形式。自電漿障壁轉換之結晶材料在材料及結構上可與PEALD沈積之導電材料相同,且可實質上與PEALD沈積之導電材料對準。熟習此項技術者因此將在判定導電材料之所要厚度的過程中考慮電漿障壁之經轉換的部分。舉例而言,可將電漿障壁沈積為非晶金屬氮化物。在上覆導電金屬氮化物之PEALD之後,將障壁層之非晶金屬氮化物之一部分轉換為與上覆導電層相同之結晶金屬氮化物。
上覆導電材料可為此項技術中已知的任何導電材料,包含導電金屬合金。舉例而言,導電材料可為TaCN或TiN。在其他實施例中,導電材料為耐火金屬。
一般而言,交替且循序地將金屬前驅體及一或多種電漿反應物引入反應腔室中。金屬反應物可大體上如上文相對於電漿障壁所描述。在一些實施例中,電漿反應物可為由(例如)原處或遠處電漿產生器產生之氫或氫-氮電漿之電漿激發之物質。如熟習此項技術者將了解,可利用額外反應物來達成所要組合物。
氫之電漿激發之物質可包含(但不限於)氫自由基(H*)及氫陽離子(例如H+ 、H2 + )、電漿,或熟習此項技術者已知的其他電漿激發之物質。可原處或在遠處(例如自分子氫(H2 )或含有氫之化合物(例如矽烷、二硼烷等))形成氫之電漿激發之物質。在其他實施例中,電漿反應物 為氫-氮電漿(例如,NH*、NH2 *、NH3 *或N*+H*)或氫-碳電漿(CH*)。在一些實施例中,使用一種以上電漿反應物來以所要組合產生導電材料。
簡要而言,將金屬反應物提供至反應腔室中。在一些實施例中,金屬反應物為用於沈積電漿障壁層之相同反應物。在供金屬前驅體吸附於基板表面上的充足時間之後,自反應空間移除過量的金屬反應物及反應副產物(若存在)。此情形可(例如)藉由沖洗且/或借助於真空泵藉由排空反應空間來完成。在前驅體借助於惰性載氣而提供之實施例中,可使用相同氣體,藉由停止前驅體進入載氣流中之流動,同時繼續流動載氣,來沖洗反應空間。接著將第二前驅體引入反應空間中,且在供第二前驅體吸附至基板表面上之充足時間之後以類似方式移除。如上文所述,通常,電漿反應物在最初約10至約100個PEALD循環中可與電漿障壁220起反應。隨著導電層230之厚度在沈積期間增加,較少的電漿與電漿障壁220起反應。
圖2C繪示根據一些實施例之在沈積上覆導電層之後圖2B之結構。所述結構包括位於基板210上之介電材料200(諸如金屬氧化物)。電漿障壁220形成於介電材料200上。PEALD製程在介電材料200上形成導電材料230(例如結晶導電金屬氮化物)。當來自PEALD製程之電漿反應物與電漿障壁220起反應時,電漿障壁220之至少一部分240轉換為不同相,而一部分保留於未經轉換之相250。在某些實施例中,未經轉換之相250為非晶材料,諸如金屬, 且經轉換之相240為相同材料,但處於結晶相。在一些實施例中,經轉換之金屬相240與上覆導電材料230相同。在其他實施例中,經轉換之結晶材料240及導電材料230之結晶結構大體上對準,以形成固結之導電層260。
在一些實施例中,經轉換之電漿障壁240介於約1nm與約5nm之間,更佳介於約3nm與約4nm之間。在一些實施例中,未經轉換之電漿障壁250介於約1nm與約5nm之間,更佳介於約1nm與約2nm之間。在其他實施例中,未經轉換之電漿障壁250介於約0.25nm與約1.75nm之間。在其他實施例中,未經轉換之電漿障壁250介於約0.125nm與約0.875nm之間,或介於約0.05nm與約0.35nm之間。
實例1
在藉由PEALD沈積TiN導電層之前,沈積TiN電漿障壁層作為Al2 O3 介電層上之電漿障壁層。
電漿障壁
藉由ALD型製程將氮化鈦(TiN)障壁層沈積於基板上之氧化鋁(Al2 O3 )介電材料上。所述製程中的步驟序列包含在約375℃之反應溫度下,交替且循序地將金屬化合物(TiCl4 )、氮源化合物(NH3 )及沖洗氣體(Ar)脈衝至含有基板之反應空間中。氣體脈衝及沖洗之序列如下:(1)TiCl4 脈衝;(2)Ar沖洗;(3)NH3 脈衝;以及 (4)Ar沖洗。
重複步驟(1)至(4),以形成約5nm之均勻的TiN障壁層。
導電層
接著,在同一反應腔室中,藉由電漿加強ALD型製程將TiN導電層直接沈積於TiN障壁層上。在約375℃之反應溫度下,交替且循序地將金屬化合物(TiCl4 )、氫-氮(NH*)電漿及沖洗氣體(Ar)脈衝至含有基板之反應空間中。氣體脈衝及沖洗之序列如下:(1)TiCl4 脈衝;(2)Ar沖洗;(3)NH*脈衝;以及(4)Ar沖洗。
重複步驟(1)至(4),以形成約10nm之均勻的TiN導電層。NH*電漿將多達4nm之非晶TiN轉換為結晶TiN,從而在Al2 O3 介電材料與結晶TiN導電層之間留下約1nm至2nm之非晶TiN。
實例2
在藉由PEALD沈積TaCN導電層之前,藉由熱ALD沈積TaCN電漿障壁層作為Al2 O3 介電層上之電漿障壁層。
電漿障壁
藉由ALD型製程沈積非晶TaCN層,作為基板上之非晶氧化鋁(Al2 O3 )介電材料上之電漿障壁層。所述製程中的步驟序列包含在約300℃之反應溫度、約1.5托之反應 壓力及約275W之功率下,交替且循序地將鉭化合物(TBTDET)、氮源化合物(NH3 )及沖洗氣體(Ar)脈衝至含有基板之反應空間中。氣體脈衝及沖洗之序列如下:(1)TBTDET脈衝;(2)Ar沖洗;(3)NH3 脈衝;以及(4)Ar沖洗。
重複步驟(1)至(4),以形成具有約30 mΩcm至2 Ωcm之電阻率且密度為約9.5g/cc至10.5g/cc之約15Å的均勻的TaCN障壁層。
導電層
接著,在同一反應腔室中,藉由電漿加強ALD型製程將TaCN導電層直接沈積於電漿障壁層上。在約375℃之反應溫度下,交替且循序地將TBTDET、氫(H*)電漿及沖洗氣體(Ar)提供至含有基板之反應空間中。氣體脈衝及沖洗之序列如下:(1)TBTDET脈衝;(2)Ar沖洗;(3)H*脈衝;以及(4)Ar沖洗。
重複步驟(1)至(4),以形成具有約240 μΩcm至2000 μΩcm之可控電阻率且具有約11g/cc至12.5g/cc之密度及可變晶格常數之約10nm的均勻的TaCN導電層。H*將多達4nm之非晶TaCN轉換為結晶TaCN,從而在 Al2 O3 介電材料與結晶TiN導電層之間留下約1nm至2nm之非晶TaCN。
實例3
在藉由PEALD沈積TaC導電層之前,藉由熱ALD沈積TaCN電漿障壁層作為Al2 O3 介電層上之電漿障壁層。
電漿障壁
藉由ALD型製程沈積非晶TaCN層,作為基板上之伽馬相(gamma phase)氧化鋁(Al2 O3 )介電材料上之電漿障壁層。所述製程中的步驟序列包含在約300℃之反應溫度、約1.5托之反應壓力及約275W之功率下,交替且循序地將鉭化合物(TBTDET)、氮源化合物(NH3 )及沖洗氣體(Ar)脈衝至含有基板之反應空間中。氣體脈衝及沖洗之序列如下:(1)TBTDET脈衝;(2)Ar沖洗;(3)NH3 脈衝;以及(4)Ar沖洗。
使步驟(1)至(4)重複約120個循環,以形成具有約30 mΩcm至2 Ωcm之電阻率且密度為約9.5g/cc至10.5g/cc之均勻的TaCN障壁層。
導電層
接著,在同一反應腔室中,藉由電漿加強ALD型製程將TaC導電層直接沈積於電漿障壁層上。在約375℃之反應溫度下,交替且循序地將TBTDET、氫(H*)電漿及 沖洗氣體(Ar)提供至含有基板之反應空間中。氣體脈衝及沖洗之序列如下:(1)TBTDET脈衝;(2)Ar沖洗;(3)H*脈衝;以及(4)Ar沖洗。
重複步驟(1)至(4),以形成具有約240 μΩcm至2000 μΩcm之可控電阻率且具有約11g/cc至12.5g/cc之密度及可變晶格常數之約10nm的均勻的TaC導電層。H*將多達4nm之非晶TaCN轉換為結晶TaCN,從而在Al2 O3 介電材料與結晶TaCN導電層之間留下約1nm至2nm之非晶TaCN。
如熟習此項技術者將明白,可在不脫離本發明之範疇之情況下,對上文所述之方法及結構作出各種修改、省略及添加。所有此類修改及改變既定屬於如由附加之申請專利範圍界定之本發明之範疇內。
110、120、130‧‧‧步驟
200‧‧‧下伏介電層/介電層/介電材料
210‧‧‧基板
220‧‧‧電漿障壁
230‧‧‧導電材料/上覆導電材料
240‧‧‧電漿障壁之至少一部分/經轉換之相/經轉換之金屬相/經轉換之結晶材料/經轉換之電漿障壁
250‧‧‧未經轉換之相
260‧‧‧固結之導電層
將自對較佳實施例的詳細描述且自附圖更好地理解本發明,附圖意在說明而非限制本發明,且其中:圖1為根據一些實施例的在介電材料上形成導電層之製程的方塊圖。
圖2A至圖2C為根據一些實施例的在介電材料上形成導電層之方法的示意性圖解。
110、120、130‧‧‧步驟

Claims (28)

  1. 一種形成積體電路的方法,其包括:藉由原子層沈積(ALD)製程將電漿障壁直接沈積於基板上之金屬氧化物介電層上,其中所述ALD製程包括使所述基板交替且循序地與金屬反應物及非電漿反應物接觸;以及藉由電漿加強原子層沈積(PEALD)製程將導電層直接沈積於所述電漿障壁上,其中所述PEALD製程包括使所述基板交替且循序地與所述金屬反應物及電漿反應物接觸。
  2. 如申請專利範圍第1項所述之形成積體電路的方法,其中所述金屬氧化物介電層包括Al2 O3
  3. 如申請專利範圍第1項所述之形成積體電路的方法,其中將所述電漿障壁沈積至0.5nm至5nm之厚度。
  4. 如申請專利範圍第1項所述之形成積體電路的方法,其中在沈積所述導電層之前,所述電漿障壁包括非晶金屬氮化物。
  5. 如申請專利範圍第4項所述之形成積體電路的方法,其中在所述PEALD製程期間將所述非晶金屬氮化物電漿障壁之一部分轉換為結晶相。
  6. 如申請專利範圍第1項所述之形成積體電路的方法,其中所述金屬反應物包括選自由以下各項組成之群組的金屬:Ti、Zr、Hf、V、Nb、Ta、Cr、Mo、W、Mn、Re、Fe、Co及Ni。
  7. 如申請專利範圍第6項所述之形成積體電路的方法,其中所述金屬反應物包括金屬鹵化物。
  8. 如申請專利範圍第7項所述之形成積體電路的方法,其中所述金屬反應物包括TiCl4
  9. 如申請專利範圍第6項所述之形成積體電路的方法,其中所述金屬反應物包括有機金屬前驅體。
  10. 如申請專利範圍第1項所述之形成積體電路的方法,其中所述非電漿反應物選自由以下各項組成之群組:氨(NH3 )及其鹽;疊氮化氫(HN3 )及其烷基衍生物;肼(N2 H4 )及肼之鹽、肼之烷基衍生物;一級、二級及三級胺;叔戊基胺;CH3 N3 ;氫氯化肼;二甲基肼;羥胺鹽酸鹽;甲胺;二乙胺及三乙胺。
  11. 如申請專利範圍第1項所述之形成積體電路的方法,其中所述電漿反應物為氫或氫-氮電漿。
  12. 如申請專利範圍第11項所述之形成積體電路的方法,其中所述氫-氮電漿選自由NH*、NH2 *、NH3 *及N*+H*組成之群組。
  13. 如申請專利範圍第1項所述之形成積體電路的方法,其中所述電漿障壁包括非晶TaCN。
  14. 如申請專利範圍第13項所述之形成積體電路的方法,其中在所述PEALD製程期間將所述非晶TaCN之至少一部分轉換為結晶TaCN。
  15. 如申請專利範圍第1項所述之形成積體電路的方法,其中所述電漿障壁包括TiN。
  16. 一種形成閘電極的方法,其包括:在反應空間中,藉由非電漿原子層沈積(ALD)製程將電漿障壁層以1nm至5nm之厚度直接沈積於基板上之介電層上;以及藉由電漿加強原子層沈積(PEALD)製程將導電層直接沈積於所述電漿障壁層上,其中所述電漿障壁層與所述導電層包括相同金屬。
  17. 如申請專利範圍第16項所述之形成閘電極的方法,其中所述非電漿ALD製程包括:使所述基板與第一金屬反應物之氣相脈衝接觸;自所述反應空間移除過量的所述第一金屬反應物;使所述基板與非電漿第二反應物接觸;以及自所述反應空間移除過量的所述第二反應物。
  18. 如申請專利範圍第17項所述之形成閘電極的方法,其中所述PEALD製程包括:使所述基板與第三金屬反應物接觸;自所述反應空間移除過量的所述第三金屬反應物;使所述基板與第四電漿反應物接觸;以及自所述反應空間移除過量的所述第四反應物。
  19. 如申請專利範圍第18項所述之形成閘電極的方法,其中所述第一金屬反應物及所述第三金屬反應物是相同的。
  20. 如申請專利範圍第18項所述之形成閘電極的方法,其中在原處產生所述PEALD製程的電漿。
  21. 如申請專利範圍第18項所述之形成閘電極的方法,其中在遠處產生所述PEALD製程的電漿。
  22. 如申請專利範圍第16項所述之形成閘電極的方法,其中在所述相同反應空間中執行所述非電漿ALD製程及所述PEALD製程。
  23. 如申請專利範圍第16項所述之形成閘電極的方法,其中所述介電層包括金屬氧化物。
  24. 一種形成積體電路元件的方法,所述積體電路元件包括位於金屬氧化物介電層上之TaCN層,所述方法包括:藉由非電漿原子層沈積(ALD)製程將非晶TaCN層直接沈積於基板上之金屬氧化物介電層上;以及藉由電漿加強原子層沈積(PEALD)製程將TaCN層直接沈積於非晶TaCN層上。
  25. 如申請專利範圍第24項所述之形成積體電路元件的方法,其中在所述非電漿ALD製程及所述PEALD製程中使用相同的金屬反應物。
  26. 如申請專利範圍第25項所述之形成積體電路元件的方法,其中所述金屬反應物為TBTDET。
  27. 如申請專利範圍第24項所述之形成積體電路元件的方法,其中所述非電漿ALD製程包括使所述基板交替且循序地與TBTDET及NH3 接觸。
  28. 如申請專利範圍第24項所述之形成積體電路元件的方法,其中所述PEALD製程包括使所述基板交替且 循序地與TBTDET及氫電漿(H*)接觸。
TW099101667A 2009-02-02 2010-01-21 形成積體電路的方法及形成閘電極的方法 TWI508175B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14914009P 2009-02-02 2009-02-02

Publications (2)

Publication Number Publication Date
TW201037768A TW201037768A (en) 2010-10-16
TWI508175B true TWI508175B (zh) 2015-11-11

Family

ID=42396271

Family Applications (2)

Application Number Title Priority Date Filing Date
TW104131335A TWI578398B (zh) 2009-02-02 2010-01-21 積體電路元件
TW099101667A TWI508175B (zh) 2009-02-02 2010-01-21 形成積體電路的方法及形成閘電極的方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW104131335A TWI578398B (zh) 2009-02-02 2010-01-21 積體電路元件

Country Status (5)

Country Link
US (2) US8557702B2 (zh)
JP (1) JP5727390B2 (zh)
KR (2) KR101672417B1 (zh)
TW (2) TWI578398B (zh)
WO (1) WO2010088015A2 (zh)

Families Citing this family (233)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7985680B2 (en) * 2008-08-25 2011-07-26 Tokyo Electron Limited Method of forming aluminum-doped metal carbonitride gate electrodes
US8557702B2 (en) 2009-02-02 2013-10-15 Asm America, Inc. Plasma-enhanced atomic layers deposition of conductive material over dielectric layers
US20110206862A1 (en) * 2010-02-03 2011-08-25 L'air Liquide, Societe Anonyme Pour I'etude Et I'exploitation Des Procedes Georges Claude Titanium Nitride Film Deposition by Vapor Deposition Using Cyclopentadienyl Alkylamino Titanium Precursors
US9136180B2 (en) * 2011-06-01 2015-09-15 Asm Ip Holding B.V. Process for depositing electrode with high effective work function
TWI545628B (zh) * 2011-06-20 2016-08-11 應用材料股份有限公司 具有起始層之n型金屬薄膜沉積
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5951443B2 (ja) * 2011-12-09 2016-07-13 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US9029253B2 (en) * 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6036279B2 (ja) * 2012-12-26 2016-11-30 コニカミノルタ株式会社 有機エレクトロルミネッセンス素子製造方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6315699B2 (ja) * 2014-03-17 2018-04-25 東京エレクトロン株式会社 炭窒化チタン膜を形成する方法
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9685325B2 (en) 2014-07-19 2017-06-20 Applied Materials, Inc. Carbon and/or nitrogen incorporation in silicon based films using silicon precursors with organic co-reactants by PE-ALD
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102253595B1 (ko) * 2015-01-06 2021-05-20 삼성전자주식회사 캐패시터를 포함하는 반도체 소자 및 그 제조방법
WO2016114157A1 (ja) * 2015-01-14 2016-07-21 宇部興産株式会社 金属炭窒化膜又は半金属炭窒化膜の製造方法、金属炭窒化膜又は半金属炭窒化膜及び金属炭窒化膜又は半金属炭窒化膜の製造装置
JP2016130337A (ja) * 2015-01-14 2016-07-21 宇部興産株式会社 金属又は半金属炭窒化膜の製造方法
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6469495B2 (ja) * 2015-03-30 2019-02-13 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置及びプログラム
JP6968701B2 (ja) 2015-05-02 2021-11-17 アプライド マテリアルズ インコーポレイテッドApplied Materials, Incorporated 低誘電率かつ低湿式エッチング速度の誘電体薄膜を堆積させるための方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
JP6935667B2 (ja) * 2016-10-07 2021-09-15 東京エレクトロン株式会社 成膜方法
JP6815158B2 (ja) * 2016-10-13 2021-01-20 東京エレクトロン株式会社 酸化チタン膜の成膜方法およびハードマスクの形成方法
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10153156B2 (en) * 2016-12-15 2018-12-11 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma enhanced atomic layer deposition
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6815901B2 (ja) 2017-03-06 2021-01-20 株式会社日本製鋼所 表示装置およびその製造方法
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) * 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
JP2020200496A (ja) * 2019-06-07 2020-12-17 東京エレクトロン株式会社 基板処理方法及び基板処理装置
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
US11081343B2 (en) * 2019-07-19 2021-08-03 International Business Machines Corporation Sub-stoichiometric metal-oxide thin films
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7295749B2 (ja) * 2019-09-13 2023-06-21 キオクシア株式会社 半導体装置の製造方法
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230227975A1 (en) * 2021-12-30 2023-07-20 Applied Materials, Inc. Method of depositing metal films

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050104112A1 (en) * 2002-12-03 2005-05-19 Suvi Haukka Method of depositing barrier layer from metal gates
JP2005203569A (ja) * 2004-01-15 2005-07-28 Semiconductor Leading Edge Technologies Inc 半導体装置の製造方法及び半導体装置
TW200846489A (en) * 2006-12-19 2008-12-01 Tokyo Electron Ltd Method and system for controlling a vapor delivery system

Family Cites Families (116)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2394930A (en) 1943-07-03 1946-02-12 Eastman Kodak Co Formation of mirrors by thermal evaporation
US3895127A (en) 1974-04-19 1975-07-15 Rca Corp Method of selectively depositing glass on semiconductor devices
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
US4056642A (en) 1976-05-14 1977-11-01 Data General Corporation Method of fabricating metal-semiconductor interfaces
DE2904171A1 (de) 1979-02-05 1980-08-14 Siemens Ag Verfahren zum herstellen von aus amorphem silizium bestehenden halbleiterkoerpern durch glimmentladung
US4343830A (en) 1980-11-13 1982-08-10 Motorola, Inc. Method for improving the efficiency of solar cells having imperfections
JPS5821324A (ja) 1981-07-30 1983-02-08 Agency Of Ind Science & Technol 水素添加した半導体薄膜成長用金属表面基板の前処理方法
FI64878C (fi) 1982-05-10 1984-01-10 Lohja Ab Oy Kombinationsfilm foer isynnerhet tunnfilmelektroluminensstrukturer
US4544571A (en) 1984-02-13 1985-10-01 Pennwalt Corporation Method of manufacture of EMI/RFI vapor deposited composite shielding panel
JPS60254621A (ja) 1984-05-31 1985-12-16 Matsushita Electric Ind Co Ltd 薄膜形成方法
US5294286A (en) 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
FR2571542B1 (fr) 1984-10-09 1987-01-23 Labo Electronique Physique Procede de realisation d'un dispositif semiconducteur incluant l'action de plasma
US5769950A (en) 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
US4766006A (en) 1986-05-15 1988-08-23 Varian Associates, Inc. Low pressure chemical vapor deposition of metal silicide
US4761269A (en) 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US4747367A (en) 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
US5071670A (en) 1990-06-11 1991-12-10 Kelly Michael A Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
DE4034842A1 (de) 1990-11-02 1992-05-07 Thyssen Edelstahlwerke Ag Verfahren zur plasmachemischen reinigung fuer eine anschliessende pvd oder pecvd beschichtung
US5306666A (en) 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
US5281546A (en) 1992-09-02 1994-01-25 General Electric Company Method of fabricating a thin film transistor using hydrogen plasma treatment of the intrinsic silicon/doped layer interface
AU5669794A (en) 1992-12-11 1994-07-04 Intel Corporation A mos transistor having a composite gate electrode and method of fabrication
JPH06251896A (ja) 1992-12-28 1994-09-09 Hitachi Ltd プラズマ処理方法及び装置
US5451975A (en) 1993-02-17 1995-09-19 Space Systems/Loral, Inc. Furlable solid surface reflector
US5449314A (en) 1994-04-25 1995-09-12 Micron Technology, Inc. Method of chimical mechanical polishing for dielectric layers
US5795495A (en) 1994-04-25 1998-08-18 Micron Technology, Inc. Method of chemical mechanical polishing for dielectric layers
US5585300A (en) * 1994-08-01 1996-12-17 Texas Instruments Incorporated Method of making conductive amorphous-nitride barrier layer for high-dielectric-constant material electrodes
US5576071A (en) 1994-11-08 1996-11-19 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organic precursor compounds
KR100207467B1 (ko) 1996-02-29 1999-07-15 윤종용 반도체 장치의 커패시터 제조 방법
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5993916A (en) 1996-07-12 1999-11-30 Applied Materials, Inc. Method for substrate processing with improved throughput and yield
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5939763A (en) 1996-09-05 1999-08-17 Advanced Micro Devices, Inc. Ultrathin oxynitride structure and process for VLSI applications
FR2756663B1 (fr) 1996-12-04 1999-02-26 Berenguer Marc Procede de traitement d'un substrat semi-conducteur comprenant une etape de traitement de surface
US5950107A (en) 1996-12-17 1999-09-07 Intel Corporation In-situ pre-ILD deposition treatment to improve ILD to metal adhesion
EP0854505A3 (en) 1997-01-21 1998-11-11 Texas Instruments Incorporated Process of depositing a TiN based film during the fabrication of a semiconductor device
US6107192A (en) 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
KR100269328B1 (ko) 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
KR100275727B1 (ko) 1998-01-06 2001-01-15 윤종용 반도체 장치의 커패시터 형성방법
US6200866B1 (en) 1998-02-23 2001-03-13 Sharp Laboratories Of America, Inc. Use of silicon germanium and other alloys as the replacement gate for the fabrication of MOSFET
US6399522B1 (en) 1998-05-11 2002-06-04 Taiwan Semiconductor Manufacturing Company PE-silane oxide particle performance improvement
US6225168B1 (en) 1998-06-04 2001-05-01 Advanced Micro Devices, Inc. Semiconductor device having metal gate electrode and titanium or tantalum nitride gate dielectric barrier layer and process of fabrication thereof
US6130123A (en) 1998-06-30 2000-10-10 Intel Corporation Method for making a complementary metal gate electrode technology
US6166417A (en) 1998-06-30 2000-12-26 Intel Corporation Complementary metal gates and a process for implementation
US6461675B2 (en) 1998-07-10 2002-10-08 Cvc Products, Inc. Method for forming a copper film on a substrate
KR20000013654A (ko) 1998-08-12 2000-03-06 윤종용 원자층 증착 방법으로 형성한 알루미나/알루미늄나이트라이드복합 유전체막을 갖는 캐패시터와 그제조 방법
US6066533A (en) 1998-09-29 2000-05-23 Advanced Micro Devices, Inc. MOS transistor with dual metal gate structure
US20020008257A1 (en) 1998-09-30 2002-01-24 John P. Barnak Mosfet gate electrodes having performance tuned work functions and methods of making same
US6362526B1 (en) 1998-10-08 2002-03-26 Advanced Micro Devices, Inc. Alloy barrier layers for semiconductors
KR100297719B1 (ko) 1998-10-16 2001-08-07 윤종용 박막제조방법
US6090217A (en) 1998-12-09 2000-07-18 Kittle; Paul A. Surface treatment of semiconductor substrates
US6294836B1 (en) 1998-12-22 2001-09-25 Cvc Products Inc. Semiconductor chip interconnect barrier material and fabrication method
US6174799B1 (en) 1999-01-05 2001-01-16 Advanced Micro Devices, Inc. Graded compound seed layers for semiconductors
US6291282B1 (en) 1999-02-26 2001-09-18 Texas Instruments Incorporated Method of forming dual metal gate structures or CMOS devices
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
WO2000079576A1 (en) 1999-06-19 2000-12-28 Genitech, Inc. Chemical deposition reactor and method of forming a thin film using the same
US6635939B2 (en) 1999-08-24 2003-10-21 Micron Technology, Inc. Boron incorporated diffusion barrier material
AU1208201A (en) 1999-10-15 2001-04-30 Asm America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
US6261950B1 (en) 1999-10-18 2001-07-17 Infineon Technologies Ag Self-aligned metal caps for interlevel metal connections
US6373111B1 (en) 1999-11-30 2002-04-16 Intel Corporation Work function tuning for MOSFET gate electrodes
US6383879B1 (en) 1999-12-03 2002-05-07 Agere Systems Guardian Corp. Semiconductor device having a metal gate with a work function compatible with a semiconductor device
US6329704B1 (en) 1999-12-09 2001-12-11 International Business Machines Corporation Ultra-shallow junction dopant layer having a peak concentration within a dielectric layer
KR100358056B1 (ko) 1999-12-27 2002-10-25 주식회사 하이닉스반도체 반도체 소자의 게이트 산화막 형성방법
US6407435B1 (en) 2000-02-11 2002-06-18 Sharp Laboratories Of America, Inc. Multilayer dielectric stack and method
WO2001066832A2 (en) 2000-03-07 2001-09-13 Asm America, Inc. Graded thin films
TW508658B (en) 2000-05-15 2002-11-01 Asm Microchemistry Oy Process for producing integrated circuits
WO2001099166A1 (en) 2000-06-08 2001-12-27 Genitech Inc. Thin film forming method
US6368954B1 (en) 2000-07-28 2002-04-09 Advanced Micro Devices, Inc. Method of copper interconnect formation using atomic layer copper deposition
US6903005B1 (en) * 2000-08-30 2005-06-07 Micron Technology, Inc. Method for the formation of RuSixOy-containing barrier layers for high-k dielectrics
WO2002020870A1 (en) * 2000-09-08 2002-03-14 Applied Materials, Inc. Chemical vapor deposition of tantalum oxide using oxygen-free liquid precursors
US6660660B2 (en) 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
AU2001296529A1 (en) 2000-12-18 2002-07-01 James F. Gibbons Gate electrode with depletion suppression and tunable workfunction
JP3963078B2 (ja) * 2000-12-25 2007-08-22 株式会社高純度化学研究所 ターシャリーアミルイミドトリス(ジメチルアミド)タンタルとその製造方法及びそれを用いたmocvd用原料溶液並びにそれを用いた窒化タンタル膜の形成方法
US6537901B2 (en) 2000-12-29 2003-03-25 Hynix Semiconductor Inc. Method of manufacturing a transistor in a semiconductor device
KR100387259B1 (ko) 2000-12-29 2003-06-12 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US6713846B1 (en) 2001-01-26 2004-03-30 Aviza Technology, Inc. Multilayer high κ dielectric films
KR100768176B1 (ko) 2001-02-07 2007-10-17 삼성에스디아이 주식회사 광학적 전기적 특성을 지닌 기능성 박막
KR100768175B1 (ko) 2001-02-07 2007-10-17 삼성에스디아이 주식회사 광학적 전기적 특성을 지닌 기능성 박막
KR100708640B1 (ko) 2001-02-07 2007-04-18 삼성에스디아이 주식회사 광학적 전기적 특성을 지닌 기능성 박막
US6596576B2 (en) 2001-04-10 2003-07-22 Applied Materials, Inc. Limiting hydrogen ion diffusion using multiple layers of SiO2 and Si3N4
US6518106B2 (en) 2001-05-26 2003-02-11 Motorola, Inc. Semiconductor device and a method therefor
US6511876B2 (en) 2001-06-25 2003-01-28 International Business Machines Corporation High mobility FETS using A1203 as a gate oxide
US7098131B2 (en) * 2001-07-19 2006-08-29 Samsung Electronics Co., Ltd. Methods for forming atomic layers and thin films including tantalum nitride and devices including the same
US6700771B2 (en) 2001-08-30 2004-03-02 Micron Technology, Inc. Decoupling capacitor for high frequency noise immunity
US6806145B2 (en) 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US7049226B2 (en) 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US6458695B1 (en) 2001-10-18 2002-10-01 Chartered Semiconductor Manufacturing Ltd. Methods to form dual metal gates by incorporating metals and their conductive oxides
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6730588B1 (en) 2001-12-20 2004-05-04 Lsi Logic Corporation Method of forming SiGe gate electrode
US6696332B2 (en) 2001-12-26 2004-02-24 Texas Instruments Incorporated Bilayer deposition to avoid unwanted interfacial reactions during high K gate dielectric processing
US6824816B2 (en) 2002-01-29 2004-11-30 Asm International N.V. Process for producing metal thin films by ALD
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6730163B2 (en) 2002-03-14 2004-05-04 Micron Technology, Inc. Aluminum-containing material and atomic layer deposition methods
US6717226B2 (en) 2002-03-15 2004-04-06 Motorola, Inc. Transistor with layered high-K gate dielectric and method therefor
US20040036129A1 (en) 2002-08-22 2004-02-26 Micron Technology, Inc. Atomic layer deposition of CMOS gates with variable work functions
US6714435B1 (en) 2002-09-19 2004-03-30 Cova Technologies, Inc. Ferroelectric transistor for storing two data bits
US6723581B1 (en) 2002-10-21 2004-04-20 Agere Systems Inc. Semiconductor device having a high-K gate dielectric and method of manufacture thereof
KR100460841B1 (ko) * 2002-10-22 2004-12-09 한국전자통신연구원 플라즈마 인가 원자층 증착법을 통한 질소첨가 산화물박막의 형성방법
US6869876B2 (en) * 2002-11-05 2005-03-22 Air Products And Chemicals, Inc. Process for atomic layer deposition of metal films
US7045406B2 (en) * 2002-12-03 2006-05-16 Asm International, N.V. Method of forming an electrode with adjusted work function
JP2007502551A (ja) * 2003-06-13 2007-02-08 アプライド マテリアルズ インコーポレイテッド 銅メタライゼーションのためのald窒化タンタルの集積
US7235482B2 (en) 2003-09-08 2007-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a contact interconnection layer containing a metal and nitrogen by atomic layer deposition for deep sub-micron semiconductor technology
KR100598051B1 (ko) * 2005-02-07 2006-07-10 삼성전자주식회사 반도체 소자의 제조방법
DE102005023122A1 (de) * 2005-05-19 2006-11-23 Infineon Technologies Ag Integrierte Schaltungsanordnung mit Schichtstapel und Verfahren
US7547598B2 (en) 2006-01-09 2009-06-16 Hynix Semiconductor Inc. Method for fabricating capacitor in semiconductor device
US8058696B2 (en) * 2006-02-25 2011-11-15 Avalanche Technology, Inc. High capacity low cost multi-state magnetic memory
US7407876B2 (en) 2006-03-20 2008-08-05 Tokyo Electron Limited Method of plasma enhanced atomic layer deposition of TaC and TaCN films having good adhesion to copper
US20080124484A1 (en) 2006-11-08 2008-05-29 Asm Japan K.K. Method of forming ru film and metal wiring structure
US7598170B2 (en) 2007-01-26 2009-10-06 Asm America, Inc. Plasma-enhanced ALD of tantalum nitride films
US7829158B2 (en) * 2007-05-07 2010-11-09 Tokyo Electron Limited Method for depositing a barrier layer on a low dielectric constant material
US8017182B2 (en) 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
KR20090067505A (ko) * 2007-12-21 2009-06-25 에이에스엠지니텍코리아 주식회사 루테늄막 증착 방법
US8304823B2 (en) * 2008-04-21 2012-11-06 Namlab Ggmbh Integrated circuit including a ferroelectric memory cell and method of manufacturing the same
US8557702B2 (en) 2009-02-02 2013-10-15 Asm America, Inc. Plasma-enhanced atomic layers deposition of conductive material over dielectric layers
JP2012074714A (ja) * 2011-11-14 2012-04-12 Toshiba Corp 半導体装置の製造方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050104112A1 (en) * 2002-12-03 2005-05-19 Suvi Haukka Method of depositing barrier layer from metal gates
JP2005203569A (ja) * 2004-01-15 2005-07-28 Semiconductor Leading Edge Technologies Inc 半導体装置の製造方法及び半導体装置
TW200846489A (en) * 2006-12-19 2008-12-01 Tokyo Electron Ltd Method and system for controlling a vapor delivery system

Also Published As

Publication number Publication date
US20140008803A1 (en) 2014-01-09
US8557702B2 (en) 2013-10-15
WO2010088015A3 (en) 2010-10-21
TW201037768A (en) 2010-10-16
TW201601216A (zh) 2016-01-01
KR101648062B1 (ko) 2016-08-12
US9466574B2 (en) 2016-10-11
US20100193955A1 (en) 2010-08-05
TWI578398B (zh) 2017-04-11
KR20150130564A (ko) 2015-11-23
KR101672417B1 (ko) 2016-11-03
JP2012517101A (ja) 2012-07-26
WO2010088015A2 (en) 2010-08-05
KR20110104989A (ko) 2011-09-23
JP5727390B2 (ja) 2015-06-03

Similar Documents

Publication Publication Date Title
TWI508175B (zh) 形成積體電路的方法及形成閘電極的方法
US7595270B2 (en) Passivated stoichiometric metal nitride films
TWI707983B (zh) 包含金屬碳化物材料之結構、包含該結構之裝置及其形成方法
US9677175B2 (en) ALD of metal-containing films using cyclopentadienyl compounds
US7713874B2 (en) Periodic plasma annealing in an ALD-type process
JP5551681B2 (ja) アルミニウム炭化水素化合物を使用する金属炭化物膜の原子層堆積
US7611751B2 (en) Vapor deposition of metal carbide films
US6305314B1 (en) Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
TW201817909A (zh) 用於藉由原子層沉積在基板上形成過渡金屬氮化物膜之方法及相關的半導體裝置結構
US20030183171A1 (en) Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US10875774B2 (en) Tritertbutyl aluminum reactants for vapor deposition
US20080113110A1 (en) Plasma-enhanced deposition of metal carbide films