JP2012517101A - 導電材料の誘電体層上へのプラズマ増強原子層堆積 - Google Patents

導電材料の誘電体層上へのプラズマ増強原子層堆積 Download PDF

Info

Publication number
JP2012517101A
JP2012517101A JP2011548001A JP2011548001A JP2012517101A JP 2012517101 A JP2012517101 A JP 2012517101A JP 2011548001 A JP2011548001 A JP 2011548001A JP 2011548001 A JP2011548001 A JP 2011548001A JP 2012517101 A JP2012517101 A JP 2012517101A
Authority
JP
Japan
Prior art keywords
plasma
metal
reactant
layer
barrier
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2011548001A
Other languages
English (en)
Other versions
JP5727390B2 (ja
JP2012517101A5 (ja
Inventor
ロバート ビー. ミリガン
ドン リ
スティーブン マーカス
Original Assignee
エーエスエム アメリカ インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エーエスエム アメリカ インコーポレイテッド filed Critical エーエスエム アメリカ インコーポレイテッド
Publication of JP2012517101A publication Critical patent/JP2012517101A/ja
Publication of JP2012517101A5 publication Critical patent/JP2012517101A5/ja
Application granted granted Critical
Publication of JP5727390B2 publication Critical patent/JP5727390B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/562Protection against mechanical damage
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

プラズマ増強原子層堆積(PEALD)を使用して誘電体層上に導電性の金属層を形成する方法を、関連する組成物および構造と共に提供する。PEALDによって導電層を堆積する前に、非プラズマ原子層堆積(ALD)プロセスによって誘電体層上にプラズマバリア層を堆積する。プラズマバリア層は、誘電体層上のPEALDプロセスにおけるプラズマ反応物質の有害作用を減少させるか、または防止し、接着を増強することができる。非プラズマALDプロセスおよびPEALDプロセスの双方において、同じ金属反応物質を使用することができる。
【選択図】図1

Description

(関連出願の相互参照)
本出願は、2009年2月2日に出願された米国特許仮出願第61/149,140号の利益を主張し、当該特許はその全体の内容が本明細書において参照によって組み込まれ、本出願の一部と考慮されるべきである。本出願は、2001年3月6日に出願され、2003年3月18日に発行された米国特許第6,534,395号、2001年8月31日に出願され、2003年9月2日に発行された米国特許第6,613,695号、2001年8月31日に出願され、2003年12月9日に発行された米国特許第6,660,660号、2003年5月5日に出願され、2005年2月22日に発行された米国特許第6,858,524号、および2003年5月5日に出願され、2006年5月16日に発行された米国特許第7,045,406号に関する。上記の出願のすべての全体の内容は、参照によって本明細書に組み込まれ、本明細書の一部として考慮されるべきである。
本発明は、一般的に、上位の導電材料のプラズマ増強原子層堆積(PEALD)中に、金属酸化物誘電体層上のプラズマの有害な影響を減少させるための方法および組成物に関する。
プラズマ増強原子層堆積(PEALD)は、極薄コーティングを形成するために、一般的に使用される気相化学プロセスである。従来の原子層堆積(ALD)方法と同様、PEALDでは、薄膜を堆積するように、反応表面に反応物質を交互にかつ順次接触させる。PEALDでは、1つの反応物質は、水素(H)プラズマまたは水素−窒素プラズマ(例えば、NH、NH 、NH 、またはN+H)等のプラズマ反応物質である。高い頻度で、第2の反応物質は有機金属または無機の金属源化学物質である。
PEALDは、多くの耐熱金属および導電性金属合金を堆積するために使用することができる。これらの材料物質は、例えば、集積回路素子のゲート電極またはコンデンサ電極として使用することができる。高い頻度で、導電層が金属酸化物誘電体上に堆積される。堆積プロセスで使用されるプラズマが最初のいくつかの堆積サイクルで金属酸化物誘電体と反応し、金属酸化物誘電体を元の未酸化の金属へ、または準化学量論的な金属酸化物状態へ少なくとも部分的に還元する場合、問題が生じる可能性がある。これは金属酸化物誘電体および上位の導電材料との間の弱い接着をもたらす可能性がある。これはTa、TiO、HfO、ZrO、Al、La等の、集積回路製造で使用される一般的な金属酸化物に影響を及ぼすが、この効果は、特にAlに対して顕著であり、プラズマ強度(例えば、出力、反応時間)が上昇するにつれ増加する。
本発明の一態様に従って、集積回路素子を形成する方法を提供する。ある実施形態において、本方法は、原子層堆積(ALD)プロセスによって、基板上の金属酸化物誘電体層上に直接プラズマバリア層を堆積することを含む。ALDプロセスは、基板を金属反応物質および非プラズマ反応物質と交互にかつ順次に接触させることを含む。その後、プラズマ増強原子層堆積(PEALD)プロセスによって、プラズマバリア層上に直接導電層を堆積する。PEALDプロセスは、基板を金属反応物質およびプラズマ反応物質と交互にかつ順次に接触させることを含む。
別の態様において、非プラズマALDプロセスによって反応空間の基板上の誘電体層上に直接約1〜約5nmの厚みのプラズマバリア層を堆積し、その後プラズマ増強ALDプロセスによってプラズマバリア層上に直接導電材料を堆積することを含む、ゲート電極を形成する方法を提供する。
別の態様において、金属酸化物誘電体層上にTaCN層を含む集積回路素子を形成する方法を提供する。いくつかの実施形態において、非晶質TaCN層を、非プラズマALDプロセスによって基板上の金属酸化物誘電体層上に直接堆積する。TaNC層をPEALDプロセスによって非晶質層に直接堆積する。
別の態様において、集積回路素子を提供する。集積回路素子は、誘電体、誘電体層上のプラズマバリア層、および導電層を含む。いくつかの実施形態において、プラズマバリアは第1の金属を含み、約0.25nm〜約1.75nmの厚さであることができる。導電層はプラズマバリアの第1の金属と異なる第2の金属を含むことができる。しかし、いくつかの実施形態において、第1の金属および第2の金属は同じである。例えば、第1のおよび第2の金属はTaCNを含むことができる。
本発明は、本発明を限定することではなく説明することが意図される、発明を実施するための形態および添付の図から、より良く理解される。
いくつかの実施形態に従う、誘電体物質上に導電層を形成するプロセスのブロック図である。 いくつかの実施形態に従う、誘電体物質上に導電層を形成する方法の略図である。 いくつかの実施形態に従う、誘電体物質上に導電層を形成する方法の略図である。 いくつかの実施形態に従う、誘電体物質上に導電層を形成する方法の略図である。
プラズマ増強原子層堆積(PEALD)による金属酸化物等の誘電体物質上に導電性膜または耐熱金属を堆積するための方法を、関連する組成物および構造と共に提供する。PEALDプロセスで誘電体上に導電材料を堆積するためにプラズマを使用する場合、プラズマ反応物質が、PEALDプロセスの少なくとも最初の数サイクルで、誘電体層に有害作用を有する場合がある。例えば、HもしくはNH、NH 、NH 、またはN+Hプラズマ等のプラズマが金属酸化物と反応する場合、金属酸化物の薄層を、未酸化の金属または準化学量論的な金属酸化物の状態へ還元させ得る。金属等の導電材料が金属酸化物上に直接堆積されるPEALDプロセスでは、これが金属と下位の金属酸化物層との間の弱い接着をもたらす場合があり、PEALDはこの状況で使用するにはあまり望ましくない方法になる。しかし、本明細書に記載されるように、金属と金属酸化物との間にプラズマバリア(または界面層)を堆積することによって、素子特性に影響をほとんどまたは全く与えずに、誘電体層上のプラズマの有害な影響を減少させるかまたは排除することができる。いくつかの実施形態において、プラズマバリア層は、非晶質の金属界面層である。プラズマバリア層を堆積するために使用する金属反応物質は、その後の上層の導電層を堆積するためのPEALDプロセスで使用する反応物質と同じであることができ、したがってプロセスの効率が改善される。
本発明のいくつかの実施形態に従って、TaCNまたはTiN膜等のプラズマバリアを、非プラズマALDプロセスによって基板上のAl誘電体層等の金属酸化物誘電体層上に形成する。好ましくは、各ALDサイクルは、2つの異なる堆積ステップまたは相を含む。堆積サイクル(「金属相」)の第1の相では、タンタル等の金属を含む第1の反応物質を反応空間へパルスし、基板の表面上へ化学吸着させ、基板の表面上のわずか約1の単層を形成する。好ましい条件下で、表面と結合することができる原料の量を、利用可能な結合部位の数、および(リガンドを含む)化学吸着した種類の物理的な大きさによって、測定することができるように、この相の金属原料を選択する。金属源化学のパルスによって残された化学吸着層は、そのパルスの残りの化学作用に対して非反応性である表面を用いて自己停止する。当業者は、この相の自己制御性質によって、すべてのALDサイクルが自己制御されることを理解する。
いくつかの実施形態において、金属はタンタルであり、金属源化学物質はTBTDETである。他の実施形態において、金属はチタンであり、金属源化学物質はTiClである。
余分な金属原料および(もしあれば)反応副産物を、例えば不活性ガスで一掃することによって、反応空間から除去する。余分な金属原料およびどの反応副産物をも、ポンプシステムによって生成される吸引を活用して除去することができる。
堆積サイクルの第2の相では、「第2ソース化学物質」としても参照される第2の反応物質を、先行するパルスによって基板の表面上に残された金属を含有する分子と反応するために、反応空間へパルスする。いくつかの実施形態において、第2ソース化学物質は窒素源化合物、好ましくはNHであり、窒素を第2ソース化学物質と金属原料によって残された単層との相互作用によって膜に組み込む。好ましい実施形態において、第2ソース化学物質と化学吸着した金属種との間の反応は、基板上に金属窒化物の膜を産生する。
もしあれば、すべての余分な第2ソース化学物質および反応副産物を、ガスパルスおよび/またはポンプシステムによって生成された吸引を一掃することによって、反応空間から除去する。パージガスは、限定なしに、アルゴン(Ar)またはヘリウム(He)等の任意の不活性ガスであることができる。
第1のおよび第2の相は、誘電体層上の所望の厚さのプラズマバリアを形成するために、繰り返される。プラズマバリア層は、金属反応物質がTBTDETである場合のように、例えば、非晶質TaCN、または金属反応物質がTiClであるTiNを含むことができる。いくつかの実施形態において、プラズマバリア層は、約2〜5nmの厚みである。
導電層をその後、プラズマバリア上のPEALDプロセスによって堆積する。PEALDプロセスの第1の層では、プラズマバリアを含む基板を、プラズマバリアを形成するためのALDプロセスで使用された同じ金属源化学物質に曝す。例えば、TBTDETを、非晶質TaCNバリア層を形成するために使用した場合、基板をTBTDETに曝す。同様に、プラズマバリア層を形成するためにTiClを使用した場合、TiClを使用する。余分な金属原料および反応副産物(もしあれば)を、例えば不活性ガスで一掃することによって、および/または吸引ポンプを活用して反応空間から除去する。
PEALD堆積サイクルの第2の相では、プラズマ反応物質を、先行するパルスによって基板の表面に残された金属を含有する分子と反応するために、反応空間へ提供する。上記の通り、いくつかの実施形態において、プラズマを遠隔で生成し、反応空間へパルスする。他の実施形態において、反応物質を反応空間へ提供し、プラズマをイン・サイチュで形成する。第2ソース化学物質と化学吸着した金属種との間の反応は、基板上の導電性膜を生成する。第1のおよび第2の相を、所望の厚みの膜を産生するために繰り返す。金属反応物質がTBTDETである場合等のいくつかの実施形態において、プラズマ反応物質は水素プラズマであることができる。金属反応物質がTiCl4である場合等の他の実施形態において、プラズマ反応物質は、NH、NH 、NH 、またはN+Hプラズマであることができる。
プラズマバリアは、誘電体層の性質がPEALDプロセスの間に大きく変更しないように、PEALDプロセスの作用から下位の誘電体を保護する。
図1によって、代表的なプロセスの流れを図示する。誘電体層を、基板110上に誘電体物質を堆積することによって形成する。誘電体物質は、いくつかの実施形態において、金属酸化物である。例えば、いくつかの実施形態において、誘電体物質はTa、TiO、HfO、ZrO、Al、La3、HfSiO、HfZrO、HfAlO、およびLnAlOのうちの1つ以上を含むことができる。他の既知の誘電体物質を、使用することもでき、特定の状況を基に当業者によって選択することができる。いくつかの特定の実施形態において、誘電体層はAl層である。誘電体層を、ALDによって、または化学蒸着(CVD)によって等の、任意の堆積プロセスによって形成することができる。いくつかの実施形態において、誘電体層を上に形成した基板を提供し、ステップ110を除外することができる。
次に、プラズマバリア層を、誘電体物質120上に直接堆積する。誘電体層のように、プラズマバリア層を任意のプロセスによって堆積することもできる。しかし、堆積プロセスは、典型的に誘電体層の特性に悪影響を与えないであろう。いくつかの実施形態において、プラズマバリア層を、非プラズマ原子層堆積(ALD)プロセス120によって堆積する。非プラズマALDプロセスはプラズマ反応物質を使用しないものである。
その後、導電物質(または耐熱金属)を、PEALDプロセス130によってプラズマバリア上に直接堆積する。このような方法で、少なくとも最初の数PEALDサイクルの間のプラズマの潜在的な有害な影響を減少させるかまたは回避し、良い接着を導電物質と下位の誘電体物質との間で維持することができる。
基板は堆積が望ましい加工品であり、様々な物質および構造を含むことができる。例えばおよび限定なしに、基板は、シリコン、シリカ、被覆シリコン、銅またはアルミニウム等の金属、誘電体物質、窒化物、酸化物および/または物質の組み合わせを含むことができる。
反応空間は典型的に、条件をALDプロセスによって膜成長に作用するために調節することができる反応器の容積である。好ましい実施形態において、プラズマバリア層および上位の導電物質の堆積は、同じ反応空間で起こる。反応空間は、ガスまたは粒子は、通常動作中に、同伴流または拡散によって基板へ流れることができるすべての反応ガスパルスを受ける表面を含むことができる。反応空間は、例えば、複数の基板の堆積が同時に起こる単一ウエハALD反応器の反応室またはバッチALD反応器の反応室であることができる。さらに、化学蒸着反応器を方法で使用するために適応することができる。反応器を、イン・サイチュまたは遠隔のいずれかで、プラズマ生成のために設定することができる。代表的な反応器は、ASM America(Phoenix、AZ)より入手可能なEmerALD(商標)およびPulsar(商標)の反応器を含む。
ある実施形態において、基板は反応空間へ導入される前に、誘電体物質をすでに含むことができる。誘電体物質を、物理蒸着(PVD、すなわちスパッタリング)、化学蒸着(CVD)、およびALDを含むがこれらに限定されない任意の標準堆積プロセスによって堆積することができる。いくつかの実施形態において、誘電体物質をプラズマバリア層および/または導電物質の後の堆積として同じ反応空間の基板上に堆積する。他の実施形態において、誘電体物質を、異なる反応空間で堆積する。
上記の通り、非プラズマALDプロセスを、反応空間の誘電体物質上のプラズマバリア層に堆積するために使用する。ALDは自己制御プロセスであるため、反応物質の順次および交互のパルスを、1つの堆積サイクルにつき物質の1つ以上の原子(または分子)単層を堆積するために使用する。堆積条件および前駆体を、1つのパルスの吸着層が、同じパルスの気相反応物質に対して非反応性である表面終止を残すように、自己飽和反応を確実にするために選択する。異なる反応物質のその後のパルスは、継続した堆積を可能にするために、前の終止と反応する。したがって、交互のパルスの各サイクルは、所望の物質のわずか約1つ単層を残す。化学吸着種の大きさおよび反応部位の数によって、単層より少ないものを各サイクルで堆積することができる。ALDタイプのプロセスの原則は、例えばT.Suntolaによる例えば、Handbook of Crystal Growth 3,Thin Films and Epitaxy,Part B:Growth Mechanisms and Dynamics,Chapter 14,Atomic Layer Epitaxy,pp.601−663,Elsevier Science B.V.1994に示されており、その開示が本明細書に参照によって組み込まれる。
プラズマバリア層を堆積するための典型的なALDタイプのプロセスでは、1つの堆積サイクルは、第1の反応物質に対して基板を曝すこと、反応空間から任意の未反応の第1の反応物質および反応副産物を除去すること、第2の反応物質に対して基板を曝すこと、その後に2回目の取り除きステップを続けることを含む。第1の反応物質は好ましくは金属前駆体であり、第2の反応物質は好ましくは所望の膜を形成するために金属反応物質と反応する非プラズマ反応物質である。当業者はALDタイプのプロセスがいずれかの反応物質の供給から始めることができることを認識するであろう。堆積される特定の物質によって、追加の非プラズマ反応物質を、所望の組成物の物質を提供するために、ALDプロセスにおいて交互かつ順次に提供することができる。
Ar等の不活性ガスによる反応物質の分離は、反応物質間の気相反応を防止し、表面反応を自己飽和することを可能にする。反応は自己飽和するため、基板の厳しい温度制御および正確な前駆体の投与量の制御は必要ない。しかし、基板の温度は、入射ガス種が単層へ凝縮しない、または表面上で分解しないような温度が好ましい。過剰の化学物質および反応副産物を、もしあれば、次の反応化学パルスを室へ導入する前に、反応空間から除去する。不必要なガス状分子を、不活性な一掃するガスを活用して反応空間から効率よく排出することができる。一掃するガスは、過剰な分子を室外へ方向付ける。吸引ポンプを、一掃を援助するために使用することができる。
プラズマバリアの物質および厚みを、上位の導電物質に堆積するためのPEALDプロセスが、下位の誘電体層の特徴を大きく変更しないように選択する。
プラズマバリア層は、典型的に金属を含み、例えば、元素金属、導電性金属窒化物、金属炭化物−窒化物、金属炭化物、金属窒化シリコン、または金属炭化シリコンであることができる。プラズマバリア層を堆積するためのALDプロセスは、好ましくは、上層の導電層を堆積するためのその後のPEALDプロセスのように、同じ金属前駆体を使用する。したがって、いくつかの実施形態において、プラズマバリアおよび上層の導電層は同じ金属を含む。プラズマバリアは、例えば、チタン(Ti)、ジルコニウム(Zr)、ハフニウム(Hf)、バナジウム(V)、ニオブ(Nb)、タンタル(Ta)、クロム(Cr)、モリブデン(Mo)、タングステン(W)、マンガン(Mn)、レニウム(Re)、鉄(Fe)、コバルト(Co)、ニッケル(Ni)、パラジウム(Pd)、白金(Pt)、ロジウム(Rh)、イリジウム(Ir)、ルテニウム(Ru)、およびオスミウム(Os)からなる群から選択される1つ以上の金属を含むことができる。当業者は、他の物質を本発明の範囲内で使用することができることを理解することができる。
ALDおよびPEALDプロセスにおいて使用することができる金属前駆体は、当該技術において知られており、有機および無機の金属化合物の両方を含む。いくつかの実施形態において、例えば、TaClおよびHfCl等のハロゲン化金属反応物質を、プラズマバリアのALD堆積で(および/または上層の導電層の堆積で)、金属前駆体として使用する。これらの前駆体は、一般的に安価であり、比較的に安定しているが、同時に表面群の異なるタイプに対してよく反応する。他の実施形態において、金属前駆体は、Ti、Hf、Zr、Si、Al、Ta、Sr、Ba、Sc、Y、La、Eu、およびDyのうちの少なくとも1つを含む蒸気相種である。
いくつかの特定の実施形態において、金属反応物質はチタン反応物質である。チタン反応物質は、例えば、TiClである。他の特定の実施形態において、金属反応物質はタンタル反応物質である。いくつかの実施形態において、タンタル反応物質はタンタルハライドである。他の実施形態において、タンタル反応物質はt‐ブチルイミド−トリス(ジエチルアミド)タンタル(TBTDET)である。
いくつかの実施形態において、プラズマバリアは導電性金属窒化物であり、非プラズマ反応物質は、金属窒化物を形成するために吸着した金属反応物質と反応する窒素源を含む。他の実施形態において、プラズマバリアは導電性金属炭化物であり、非プラズマ反応物質は、金属炭化物を形成するために吸着した金属反応物質と反応する炭素源を含む。他の実施形態において、プラズマバリアは導電性金属窒化物−炭化物であり、炭素源も利用する。炭素源および窒素源は同じ化合物であることができる、または異なる化合物であることができる。
窒素源化合物は、例えば、1つ以上のアンモニア(NH)およびその塩、アジ化水素(HN)およびそのアルキル誘導体、ヒドラジン(N)およびヒドラジンの塩、ヒドラジンのアルキル誘導体、1級、2級、および3級アミン、tert−ブチルアミド、CH、塩酸ヒドラジン、ジメチルヒドラジン、ヒドロキシルアミン塩酸塩、メチルアミン、ジエチルアミン、ならびにトリエチルアミンであることができる。当業者は、他の物質を本発明の範囲内で使用することができることを理解することができる。
他の実施形態において、非プラズマ反応物質は、元素金属に対して金属反応物質を還元させる還元剤である。
簡潔に、典型的な非プラズマALDプロセスにおいて、金属反応物質を反応室に提供する。金属反応物質が基板表面上で吸着するために十分な時間の後、余分な金属反応物質および反応副産物を、もしあれば、反応空間から除去する。これは、例えば一掃することおよび/または吸引ポンプを活用して反応空間を排除することによって、達成することができる。前駆体を、不活性キャリヤーガスを活用して提供する場合の実施形態において、キャリヤーガスを流動し続ける間、キャリヤーガスの流れへの前駆体の流動を止めることによって、反応空間を一掃するために同じガスを使用することができる。第2の、非プラズマ反応物質を反応空間へ導入し、非プラズマ反応物質が、前に吸着した金属反応物質と反応するために十分な時間の後、同様の方法で除去する。追加の非プラズマ反応物質を、所望の組成物を達成するために、ALDプロセスにおいて提供することができる。
図2Aは、上層の誘電体層200を含む基板210を図示する。図2Bに図示される通り、プラズマバリア220を、誘電体層200上に直接非プラズマALDプロセスによって堆積する。
当業者は、プラズマバリアを、プラズマと下位の誘電体層とかなりの程度で反応することを防止する厚さで堆積することが理解できるであろう。プラズマバリアは、一般的に約0.1nm〜約50nmであることができる。いくつかの実施形態に対して、プラズマバリアは約0.5nm〜約5nmであることができる。他の実施形態において、プラズマバリアは約0.5nm〜約3.5nmであることができる。
いくつかの実施形態において、プラズマバリア物質を堆積するためのALDサイクルを、導電物質のPEALD前にプラズマバリアを形成するために、120回以上、好ましくは少なくとも200回繰り返す。
プラズマバリア層の堆積後、PEALDプロセスを、プラズマバリア上に直接導電物質または耐熱金属に堆積するために使用する。いくつかの実施形態において、プラズマバリアの堆積で使用する同じ金属反応物質を、上位の導電物質の堆積で使用する。非プラズマALDサイクルで使用した同じ金属反応物質を、PEALDサイクルで使用することができる。他の実施形態において、異なる金属反応物質を使用する。
いくつかの実施形態において、最初の数PEALDサイクルにおいて、プラズマ反応物質は、プラズマバリアと反応することができる。いくつかの実施形態において、プラズマ反応物質は、約最初の約10〜100PEALDサイクルにおいて、プラズマバリアと反応する。
いくつかの実施形態において、プラズマ反応物質は、例えば化学量論変化、結晶化、増加した密度および低下する抵抗力のうちの1つ以上を介して、プラズマバリアの少なくとも一部の特性を変更する。例えば、PEALD中に,プラズマバリアを非晶質金属で形成する場合、非晶質金属の一部を、結晶性金属相へ変換することができる。いくつかの実施形態において、プラズマバリアの約1〜5nm、より好ましくは約3〜4nmの特性を変更する。プラズマバリアの残りの部分は、好ましくは仕事関数を設定しない、または素子特性に逆に影響を与えない程、十分に薄い。いくつかの実施形態において、プラズマバリアのわずか約1〜5nm、より好ましくは約1〜2nmは、上位の導電物質のPEALD堆積後、不変である。いくつかの実施形態において、プラズマバリアの約0.25nm〜約1.75nmは、上位の導電物質のPEALD堆積後、不変である。さらに他の実施形態において、約0.125nm〜約0.875nmまたはプラズマバリアの約0.05nm〜約0.35nmは不変である。
ある実施形態において、プラズマバリアは、一部が上位の導電物質のPEALD中に、結晶性型へ変換される非晶質物質を含む。プラズマバリアからの変換した結晶性物質は、PEALDが堆積した導電物質と物質および構造において同じであることができ、実質的に一致することができる。当業者は、したがって、導電物質の所望の厚さを決定する際に、プラズマバリアの変換された一部を検討するであろう。例えば、プラズマバリアを、非晶質金属窒化物として堆積することができる。上位の導電性金属窒化物のPEALD後、バリア層の非晶質金属窒化物の一部を、上層の導電層として同じ結晶性金属窒化物へ変換する。
上位の導電物質は、導電性金属合金を含む、当該技術で知られる導電物質のいずれかであることができる。例えば、導電物質はTaCNまたはTiNであることができる。他の実施形態において、導電物質は耐熱金属である。
一般的に、金属前駆体および1つ以上のプラズマ反応物質を、交互かつ順次に反応室へ導入する。金属反応物質は、一般的にプラズマバリアに関して上記の通りであることができる。いくつかの実施形態において、プラズマ反応物質は、例えば、イン・サイチュまたは遠隔プラズマ生成装置によって、生成された水素または水素−窒素プラズマのプラズマ励起種であることができる。当業者によって認識される通り、追加の反応物質は、所望の組成物を達成するために利用することができる。
水素のプラズマ励起種は、限定なしに、水素遊離基(H*)および水素カチオン(例えば、H、H )、プラズマ、または当業者に知られている他のプラズマ励起種を含むことができる。水素のプラズマ励起種を、例えば分子水素(H)または水素を含有する化合物から(例えば、シラン、ジボラン等)、イン・サイチュまたは遠隔に形成することができる。他の実施形態において、プラズマ反応物質は水素−窒素プラズマ(例えば、NH、NH 、NH 、またはN+H)または水素−炭素プラズマ(CH)である。いくつかの実施形態において、1つ以上のプラズマ反応物質を、所望の組成物で導電物質を産生するために使用する。
簡潔に、金属反応物質を、反応室へ提供する。いくつかの実施形態において、金属反応物質は、プラズマバリア層を堆積するために使用された反応物質と同じである。金属前駆体が基板表面上で吸着するために十分な時間の後、余分な金属反応物質および反応副産物を、もしあれば、反応空間から除去する。これは、例えば一掃することおよび/または吸引ポンプを活用して反応空間を排除することによって、達成することができる。前駆体を、不活性キャリヤーガスを活用して提供する場合の実施形態において、キャリヤーガスを流動し続ける間、キャリヤーガスの流れへの前駆体の流動を止めることによって、反応空間を一掃するために同じガスを使用することができる。第2の前駆体を、その後、反応空間へ導入し、第2の前駆体が基板表面上に吸着するために十分な時間の後、同様の方法で除去する。上記の通り、典型的に、最初の約10〜約100PEALDサイクルのプラズマ反応物質は、プラズマバリア220と反応することができる。導電層230の厚みが堆積中に増加するにつれ、より少ないプラズマが、プラズマバリア220と反応する。
図2Cは、いくつかの実施形態に従って上層の導電層の堆積後の図2Bの構造を示す。構造は、基板210上に金属酸化物等の誘電体物質200を含む。プラズマバリア220を、誘電体物質200上に形成する。PEALDプロセスは、誘電体物質200上に導電物質230、例えば結晶性導電性金属窒化物を形成する。PEALDプロセスからのプラズマ反応物質がプラズマバリア220と反応する場合、プラズマバリア220の少なくとも一部240を異なる相へ変換するが、一部は、未変換相250に残る。ある実施形態において、未変換相250は金属等の非晶質物質であり、変換した相240は同じ物質であるが、結晶相内である。いくつかの実施形態において、変換した金属相240は、上位の導電物質230と同じである。さらに他の実施形態において、変換した結晶性物質240および導電物質230は、圧密化された導電層260を形成するために、結晶性構造と実質的に一致する。
いくつかの実施形態において、変換したプラズマバリア240は、約1nm〜約5nm、より好ましくは約3nm〜約4nmである。いくつかの実施形態において、未変換プラズマバリア250は、約1nm〜約5nm、より好ましくは約1nm〜約2nmである。他の実施形態において、未変換プラズマバリア250は約0.25nm〜約1.75nmである。さらに他の実施形態において、未変換プラズマバリア250は約0.125nm〜約0.875nmまたは約0.05nm〜約0.35nmである。
(実施例1)
TiNプラズマバリア層を、PEALDによってTiN導電層の堆積前に、Al誘電体層上にプラズマバリア層として堆積した。
(プラズマバリア)
チタン窒化物(TiN)バリア層を、ALDタイププロセスによって、基板上にアルミニウム酸化物(Al)誘電体物質を堆積した。プロセスのステップの順序は、約375℃の反応温度で基板を含有する反応空間へ金属化合物(TiCl)、窒素源化合物(NH)、およびパージガス(Ar)を交互かつ順次にパルスすることを含んだ。ガスパルスおよびパージの順序は以下の通りである。
(1)TiClパルス、
(2)Arパージ、
(3)NHパルス、および
(4)Arパージ。
ステップ(1)〜(4)を、約5nmの均一のTiNバリア層を形成するために繰り返した。
(導電層)
TiN導電層を、その後、同じ反応室においてプラズマ増強ALDタイプのプロセスによって、TiNバリア層上に、直接堆積した。金属化合物(TiCl)、水素−窒素(NH)プラズマ、およびパージガス(Ar)を、約375℃の反応温度で基板を含有する反応空間へ交互かつ順次にパルスした。ガスパルスおよびパージの順序は、以下の通りである。
(1)TiClパルス、
(2)Arパージ、
(3)NHパルス、および
(4)Arパージ。
ステップ(1)〜(4)を、約10nmの均一のTiN導電層を形成するために繰り返した。NHプラズマは、4nmまでの非晶質TiNを結晶性TiNに変換し、約1〜2nmの非晶質TiNを、Al誘電体物質と結晶性TiN導電層との間に残した。
(実施例2)
TaCNプラズマバリア層を、PEALDによってTaCN導電層の堆積前に、熱ALDによってAl誘電体層上にプラズマバリア層として堆積した。
(プラズマバリア)
非晶質TaCN層を、ALDタイプのプロセスによって基板上の非晶質アルミニウム酸化物(Al)誘電体物質上にプラズマバリア層として堆積した。プロセスのステップの順序は、約300℃の反応温度、約1.5トルの反応圧力、および約275Wの電力で基板を含有する反応空間へタンタル化合物(TBTDET)、窒素源化合物(NH)、およびパージガス(Ar)を交互かつ順次にパルスすることを含んだ。ガスパルスおよびパージの順序は以下の通りである。
(1)TBTDETパルス、
(2)Arパージ、
(3)NHパルス、および
(4)Arパージ。
ステップ(1)〜(4)を、約9.5〜10.5g/ccの密度の約30mΩcm〜2Ωcmの抵抗率を有する約15Åの均一のTaCNバリア層を形成するために繰り返した。
(導電層)
TaCN導電層を、その後、同じ反応室においてプラズマ増強ALDタイプのプロセスによってプラズマバリア層上に直接堆積した。TBTDET、水素(H)プラズマ、およびパージガス(Ar)を、約375℃の反応温度で基板を含有する反応空間へ交互かつ順次に提供した。ガスパルスおよびパージの順番は、以下の通りである。
(1)TBTDETパルス、
(2)Arパージ、
(3)Hパルス、および
(4)Arパージ。
ステップ(1)〜(4)を、約11〜12.5g/ccの密度の約240μΩcm〜2000μΩcmの制御可能な抵抗率、および可変性の格子定数を有する約10nmの均一のTaCN導電層を形成するために、繰り返した。Hは、4nmまでの非晶質TaCNを結晶性TaCNに変換し、Al誘電体物質と結晶性TiN導電層との間に約1〜2nmの非晶質TaCNを残した。
(実施例3)
TaCNプラズマバリア層を、PEALDによってTaC導電層の堆積前に、熱ALDによってAl誘電体層上にプラズマバリア層として堆積した。
(プラズマバリア)
非晶質TaCN層を、ALDタイプのプロセスによって基板上のガンマ相アルミニウム酸化物(Al)誘電体物質上に、プラズマバリア層として堆積した。プロセスのステップの順序は、約300℃の反応温度、約1.5トルの反応圧力、および約275Wの電力で基板を含有する反応空間へタンタル化合物(TBTDET)、窒素源化合物(NH)、およびパージガス(Ar)を交互かつ順次にパルスすることを含んだ。ガスパルスおよびパージの順序は以下の通りである。
(1)TBTDETパルス、
(2)Arパージ、
(3)NHパルス、および
(4)Arパージ。
ステップ(1)〜(4)を、約9.5〜10.5g/ccの密度で約30mΩcm〜2Ωcmの抵抗率を有する均一のTaCNバリア層を形成するために、約120サイクルを繰り返した。
(導電層)
TaC導電層を、その後、同じ反応室においてプラズマ増強ALDタイプのプロセスによってプラズマバリア層上に直接堆積した。TBTDET、水素(H)プラズマ、およびパージガス(Ar)を、約375℃の反応温度で基板を含有する反応空間へ交互かつ順次に提供した。ガスパルスおよびパージの順番は、以下の通りである。
(1)TBTDETパルス、
(2)Arパージ、
(3)Hパルス、および
(4)Arパージ。
ステップ(1)〜(4)を、約11〜12.5g/ccの密度の約240μΩcm〜2000μΩcmの制御可能な抵抗率、および可変性の格子定数を有する約10nmの均一のTaC導電層を形成するために、繰り返した。Hは、4nmまでの非晶質TaCNを結晶性TaCNに変換し、Al誘電体物質と結晶性TaCN導電層との間に約1〜2nmの非晶質TaCNを残した。
当業者にとっては明白となるように、様々な修正、省略、および追加を、本発明の範囲から逸脱することなく、上記の方法および構造に対して行うことができる。すべてのかかる修正、および変更が、添付の特許請求の範囲によって定義されるように、本発明の範囲内に含まれることを意図する。

Claims (41)

  1. 集積回路を形成するための方法であって、
    原子層堆積(ALD)プロセスによって基板上の金属酸化物誘電体層上に直接プラズマバリアを堆積することであって、前記ALDプロセスは、前記基板を金属反応物質および非プラズマ反応物質と交互かつ順次に接触させることを含む、プラズマバリアを堆積することと、
    プラズマ増強原子層堆積(PEALD)プロセスによって前記プラズマバリア上に直接導電層を堆積することであって、前記PEALDプロセスは前記基板を前記金属反応物質およびプラズマ反応物質と交互かつ順次に接触させることを含む、導電層を堆積することと、を含む、方法。
  2. 前記金属酸化物誘電体層はAlを含む、請求項1に記載の方法。
  3. 前記プラズマバリアは、約0.5nm〜約5nmの厚みで堆積される、請求項1に記載の方法。
  4. 前記プラズマバリアは、前記導電層の堆積の前に非晶質金属窒化物を含む、請求項1に記載の方法。
  5. 前記非晶質金属窒化物のプラズマバリアの一部は、前記PEALDプロセスの間に結晶相へ変換される、請求項4に記載の方法。
  6. 前記金属反応物質は、Ti、Zr、Hf、V、Nb、Ta、Cr、Mo、W、Mn、Re、Fe、Co、およびNiからなる群から選択される金属を含む、請求項1に記載の方法。
  7. 前記金属反応物質はハロゲン化金属を含む、請求項6に記載の方法。
  8. 前記金属反応物質はTiClを含む、請求項7に記載の方法。
  9. 前記金属反応物質は有機金属前駆体を含む、請求項6に記載の方法。
  10. 前記非プラズマ反応物質は、アンモニア(NH)およびその塩、アジ化水素(HN)およびそのアルキル誘導体、ヒドラジン(N)およびヒドラジンの塩、ヒドラジンのアルキル誘導体、1級、2級、および3級アミン、tert−ブチルアミド、CH、塩酸ヒドラジン、ジメチルヒドラジン、ヒドロキシルアミン塩酸塩、メチルアミン、ジエチルアミン、およびトリエチルアミンからなる群から選択される、請求項1に記載の方法。
  11. 前記プラズマ反応物質は、水素または水素−窒素プラズマである、請求項1に記載の方法。
  12. 前記水素−窒素プラズマは、NH、NH 、NH 、およびN+Hからなる群から選択される、請求項11に記載の方法。
  13. 前記プラズマバリアは、非晶質のTaCNである、請求項1に記載の方法。
  14. 前記非晶質のTaCNの少なくとも一部は、前記PEALDプロセスの間に結晶性TaCNへ変換される、請求項13に記載の方法。
  15. 前記プラズマバリアはTiNを含む、請求項1に記載の方法。
  16. ゲート電極を形成する方法であって、
    非プラズマ原子層堆積(ALD)プロセスによって、反応空間の基板上の誘電体層上に直接、約1〜5nmの厚みでプラズマバリア層を堆積することと、
    プラズマ増強原子層堆積(PEALD)プロセスによって、前記プラズマバリア層上に直接導電材料を堆積することと、を含む、方法。
  17. 前記非プラズマALDプロセスは、
    前記基板を第1の金属反応物質の蒸気相パルスと接触させることと、
    前記反応空間から過剰の第1の金属反応物質を除去することと、
    前記基板を非プラズマの第2の反応物質と接触させることと、
    前記反応空間から過剰の第2の反応物質を除去することと、を含む請求項16に記載の方法。
  18. 前記PEALDプロセスは、
    前記基板を第3の金属反応物質と接触させることと、
    前記反応空間から過剰の第3の金属反応物質を除去することと、
    前記基板を第4のプラズマ反応物質と接触させることと、
    前記反応空間から過剰の第4の反応物質を除去することと、を含む、請求項17に記載の方法。
  19. 前記第1の金属反応物質および前記第3の金属反応物質は、同一である、請求項18に記載の方法。
  20. 前記プラズマはイン・サイチュで生成される、請求項18に記載の方法。
  21. 前記プラズマは遠隔で生成される、請求項18に記載の方法。
  22. 前記非プラズマALDプロセスおよび前記PEALDプロセスは、同じ反応空間で行われる、請求項16に記載の方法。
  23. 前記誘電体層は金属酸化物を含む、請求項16に記載の方法。
  24. 金属酸化物誘電体層上にTaCN層を含む集積回路素子を形成する方法であって、
    非プラズマ原子層堆積(ALD)プロセスによって、基板上の金属酸化物誘電体層に直接非晶質TaCN層を堆積することと、
    プラズマ増強ALDプロセスによって前記非晶質TaCN層上に直接TaNC層を堆積することと、を含む、方法。
  25. 前記非プラズマALDプロセスおよび前記PEALDプロセスにおいて、同じ金属反応物質が使用される、請求項24に記載の方法。
  26. 前記金属反応物質はTBTDETである、請求項25に記載の方法。
  27. 前記非プラズマALDプロセスは、前記基板をTBTDETおよびNHと交互かつ順次に接触させることを含む、請求項24に記載の方法。
  28. 前記PEALDプロセスは、前記基板をTBTDETおよび水素プラズマ(H)と交互かつ順次に接触させることを含む、請求項24に記載の方法。
  29. 誘電体層と、
    第1の金属を含む前記誘電体層上のプラズマバリアであって、約0.25nm〜約1.75nmの厚みである、プラズマバリアと、
    第2の金属を含む前記プラズマバリア上の導電層と、を含む、集積回路素子。
  30. 第1および第2の金属は同一である、請求項29に記載の集積回路素子。
  31. 前記プラズマバリアは、約0.125nm〜約0.875nmの厚みである、請求項29に記載の集積回路素子。
  32. 前記プラズマバリアは、約0.05nm〜約0.35nmの厚みである、請求項31に記載の集積回路素子。
  33. 前記プラズマバリアは非晶質であり、前記導電層は結晶性である、請求項29に記載の集積回路素子。
  34. 前記第1の金属は第1の構造を有し、前記第2の金属は第2の構造を有し、前記第1の構造および前記第2の構造は、実質的に一致する、請求項29に記載の集積回路素子。
  35. 前記誘電体層は、Ta、TiO、HfO、ZrO、Al、La3、HfSiO、HfZrO、HfAlO、およびLnAlOからなる群から選択される、請求項29に記載の集積回路素子。
  36. 前記誘電体層はAlである、請求項35に記載の集積回路素子。
  37. 前記プラズマバリアは、元素金属、導電性金属窒化物、金属炭化物−窒化物、金属炭化物、金属窒化シリコン、または金属炭化シリコンを含む、請求項29に記載の集積回路素子。
  38. 前記プラズマバリアは、Ti、Zr、Hf、V、Nb、Ta、Cr、Mo、W、Mn、Re、Fe、Co、Ni、Pd、Pt、Rh、Ir、Ru、およびOsからなる群のうち少なくとも1つを含む、請求項29に記載の集積回路素子。
  39. 前記プラズマバリアはTaCNを含む、請求項38に記載の集積回路素子。
  40. 前記導電層はTaCNおよびTiNからなる群から選択される、請求項29に記載の集積回路素子。
  41. 前記導電層はTaCNを含む、請求項40に記載の集積回路素子。
JP2011548001A 2009-02-02 2010-01-08 導電材料の誘電体層上へのプラズマ増強原子層堆積 Active JP5727390B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14914009P 2009-02-02 2009-02-02
US61/149,140 2009-02-02
PCT/US2010/020443 WO2010088015A2 (en) 2009-02-02 2010-01-08 Plasma-enhanced atomic layer deposition of conductive material over dielectric layers

Publications (3)

Publication Number Publication Date
JP2012517101A true JP2012517101A (ja) 2012-07-26
JP2012517101A5 JP2012517101A5 (ja) 2013-02-14
JP5727390B2 JP5727390B2 (ja) 2015-06-03

Family

ID=42396271

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011548001A Active JP5727390B2 (ja) 2009-02-02 2010-01-08 導電材料の誘電体層上へのプラズマ増強原子層堆積

Country Status (5)

Country Link
US (2) US8557702B2 (ja)
JP (1) JP5727390B2 (ja)
KR (2) KR101672417B1 (ja)
TW (2) TWI578398B (ja)
WO (1) WO2010088015A2 (ja)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012501093A (ja) * 2008-08-25 2012-01-12 東京エレクトロン株式会社 アルミニウムがドープされた金属炭窒化物ゲート電極の作製方法
JP2014127323A (ja) * 2012-12-26 2014-07-07 Konica Minolta Inc 有機エレクトロルミネッセンス素子製造方法及び有機エレクトロルミネッセンス素子
JP2015193902A (ja) * 2014-03-17 2015-11-05 東京エレクトロン株式会社 炭窒化チタン膜を形成する方法、及び成膜装置
WO2016114157A1 (ja) * 2015-01-14 2016-07-21 宇部興産株式会社 金属炭窒化膜又は半金属炭窒化膜の製造方法、金属炭窒化膜又は半金属炭窒化膜及び金属炭窒化膜又は半金属炭窒化膜の製造装置
JP2016130337A (ja) * 2015-01-14 2016-07-21 宇部興産株式会社 金属又は半金属炭窒化膜の製造方法
WO2016159232A1 (ja) * 2015-03-30 2016-10-06 株式会社日立国際電気 半導体装置の製造方法
JP2018059173A (ja) * 2016-10-07 2018-04-12 東京エレクトロン株式会社 成膜方法
KR20180041067A (ko) 2016-10-13 2018-04-23 도쿄엘렉트론가부시키가이샤 산화티타늄 막의 성막 방법 및 하드 마스크의 형성 방법
WO2018163503A1 (ja) * 2017-03-06 2018-09-13 株式会社日本製鋼所 表示装置およびその製造方法
WO2020246309A1 (ja) * 2019-06-07 2020-12-10 東京エレクトロン株式会社 基板処理方法及び基板処理装置
JP2021044492A (ja) * 2019-09-13 2021-03-18 キオクシア株式会社 半導体装置およびその製造方法

Families Citing this family (222)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8557702B2 (en) 2009-02-02 2013-10-15 Asm America, Inc. Plasma-enhanced atomic layers deposition of conductive material over dielectric layers
US20110206862A1 (en) * 2010-02-03 2011-08-25 L'air Liquide, Societe Anonyme Pour I'etude Et I'exploitation Des Procedes Georges Claude Titanium Nitride Film Deposition by Vapor Deposition Using Cyclopentadienyl Alkylamino Titanium Precursors
US9136180B2 (en) * 2011-06-01 2015-09-15 Asm Ip Holding B.V. Process for depositing electrode with high effective work function
TWI545628B (zh) * 2011-06-20 2016-08-11 應用材料股份有限公司 具有起始層之n型金屬薄膜沉積
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5951443B2 (ja) * 2011-12-09 2016-07-13 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US9029253B2 (en) * 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9685325B2 (en) 2014-07-19 2017-06-20 Applied Materials, Inc. Carbon and/or nitrogen incorporation in silicon based films using silicon precursors with organic co-reactants by PE-ALD
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102253595B1 (ko) * 2015-01-06 2021-05-20 삼성전자주식회사 캐패시터를 포함하는 반도체 소자 및 그 제조방법
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6968701B2 (ja) 2015-05-02 2021-11-17 アプライド マテリアルズ インコーポレイテッドApplied Materials, Incorporated 低誘電率かつ低湿式エッチング速度の誘電体薄膜を堆積させるための方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10153156B2 (en) * 2016-12-15 2018-12-11 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma enhanced atomic layer deposition
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) * 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
US11081343B2 (en) * 2019-07-19 2021-08-03 International Business Machines Corporation Sub-stoichiometric metal-oxide thin films
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230227975A1 (en) * 2021-12-30 2023-07-20 Applied Materials, Inc. Method of depositing metal films

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002020870A1 (en) * 2000-09-08 2002-03-14 Applied Materials, Inc. Chemical vapor deposition of tantalum oxide using oxygen-free liquid precursors
JP2002193981A (ja) * 2000-12-25 2002-07-10 Kojundo Chem Lab Co Ltd ターシャリーアミルイミドトリス(ジメチルアミド)タンタルとその製造方法及びそれを用いたmocvd用原料溶液並びにそれを用いた窒化タンタル膜の形成方法
US20020121697A1 (en) * 2000-08-30 2002-09-05 Marsh Eugene P. Process for the formation of RuSixOy-containing barrier layers for high-k dielectrics
JP2003502501A (ja) * 1999-06-19 2003-01-21 ゼニテックインコーポレイテッド 化学蒸着反応炉及びこれを利用した薄膜形成方法
JP2003536272A (ja) * 2000-06-08 2003-12-02 ジニテック インク. 薄膜形成方法
US20040219784A1 (en) * 2001-07-19 2004-11-04 Sang-Bom Kang Methods for forming atomic layers and thin films including tantalum nitride and devices including the same
JP2005203569A (ja) * 2004-01-15 2005-07-28 Semiconductor Leading Edge Technologies Inc 半導体装置の製造方法及び半導体装置
JP2007502551A (ja) * 2003-06-13 2007-02-08 アプライド マテリアルズ インコーポレイテッド 銅メタライゼーションのためのald窒化タンタルの集積
JP2008124464A (ja) * 2006-11-08 2008-05-29 Asm Japan Kk Ru膜および金属配線構造の形成方法
JP2012074714A (ja) * 2011-11-14 2012-04-12 Toshiba Corp 半導体装置の製造方法

Family Cites Families (109)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2394930A (en) 1943-07-03 1946-02-12 Eastman Kodak Co Formation of mirrors by thermal evaporation
US3895127A (en) 1974-04-19 1975-07-15 Rca Corp Method of selectively depositing glass on semiconductor devices
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
US4056642A (en) 1976-05-14 1977-11-01 Data General Corporation Method of fabricating metal-semiconductor interfaces
DE2904171A1 (de) 1979-02-05 1980-08-14 Siemens Ag Verfahren zum herstellen von aus amorphem silizium bestehenden halbleiterkoerpern durch glimmentladung
US4343830A (en) 1980-11-13 1982-08-10 Motorola, Inc. Method for improving the efficiency of solar cells having imperfections
JPS5821324A (ja) 1981-07-30 1983-02-08 Agency Of Ind Science & Technol 水素添加した半導体薄膜成長用金属表面基板の前処理方法
FI64878C (fi) 1982-05-10 1984-01-10 Lohja Ab Oy Kombinationsfilm foer isynnerhet tunnfilmelektroluminensstrukturer
US4544571A (en) 1984-02-13 1985-10-01 Pennwalt Corporation Method of manufacture of EMI/RFI vapor deposited composite shielding panel
JPS60254621A (ja) 1984-05-31 1985-12-16 Matsushita Electric Ind Co Ltd 薄膜形成方法
US5294286A (en) 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
FR2571542B1 (fr) 1984-10-09 1987-01-23 Labo Electronique Physique Procede de realisation d'un dispositif semiconducteur incluant l'action de plasma
US5769950A (en) 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
US4766006A (en) 1986-05-15 1988-08-23 Varian Associates, Inc. Low pressure chemical vapor deposition of metal silicide
US4761269A (en) 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US4747367A (en) 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
US5071670A (en) 1990-06-11 1991-12-10 Kelly Michael A Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
DE4034842A1 (de) 1990-11-02 1992-05-07 Thyssen Edelstahlwerke Ag Verfahren zur plasmachemischen reinigung fuer eine anschliessende pvd oder pecvd beschichtung
US5306666A (en) 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
US5281546A (en) 1992-09-02 1994-01-25 General Electric Company Method of fabricating a thin film transistor using hydrogen plasma treatment of the intrinsic silicon/doped layer interface
AU5669794A (en) 1992-12-11 1994-07-04 Intel Corporation A mos transistor having a composite gate electrode and method of fabrication
JPH06251896A (ja) 1992-12-28 1994-09-09 Hitachi Ltd プラズマ処理方法及び装置
US5451975A (en) 1993-02-17 1995-09-19 Space Systems/Loral, Inc. Furlable solid surface reflector
US5449314A (en) 1994-04-25 1995-09-12 Micron Technology, Inc. Method of chimical mechanical polishing for dielectric layers
US5795495A (en) 1994-04-25 1998-08-18 Micron Technology, Inc. Method of chemical mechanical polishing for dielectric layers
US5585300A (en) * 1994-08-01 1996-12-17 Texas Instruments Incorporated Method of making conductive amorphous-nitride barrier layer for high-dielectric-constant material electrodes
US5576071A (en) 1994-11-08 1996-11-19 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organic precursor compounds
KR100207467B1 (ko) 1996-02-29 1999-07-15 윤종용 반도체 장치의 커패시터 제조 방법
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5993916A (en) 1996-07-12 1999-11-30 Applied Materials, Inc. Method for substrate processing with improved throughput and yield
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5939763A (en) 1996-09-05 1999-08-17 Advanced Micro Devices, Inc. Ultrathin oxynitride structure and process for VLSI applications
FR2756663B1 (fr) 1996-12-04 1999-02-26 Berenguer Marc Procede de traitement d'un substrat semi-conducteur comprenant une etape de traitement de surface
US5950107A (en) 1996-12-17 1999-09-07 Intel Corporation In-situ pre-ILD deposition treatment to improve ILD to metal adhesion
EP0854505A3 (en) 1997-01-21 1998-11-11 Texas Instruments Incorporated Process of depositing a TiN based film during the fabrication of a semiconductor device
US6107192A (en) 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
KR100269328B1 (ko) 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
KR100275727B1 (ko) 1998-01-06 2001-01-15 윤종용 반도체 장치의 커패시터 형성방법
US6200866B1 (en) 1998-02-23 2001-03-13 Sharp Laboratories Of America, Inc. Use of silicon germanium and other alloys as the replacement gate for the fabrication of MOSFET
US6399522B1 (en) 1998-05-11 2002-06-04 Taiwan Semiconductor Manufacturing Company PE-silane oxide particle performance improvement
US6225168B1 (en) 1998-06-04 2001-05-01 Advanced Micro Devices, Inc. Semiconductor device having metal gate electrode and titanium or tantalum nitride gate dielectric barrier layer and process of fabrication thereof
US6130123A (en) 1998-06-30 2000-10-10 Intel Corporation Method for making a complementary metal gate electrode technology
US6166417A (en) 1998-06-30 2000-12-26 Intel Corporation Complementary metal gates and a process for implementation
US6461675B2 (en) 1998-07-10 2002-10-08 Cvc Products, Inc. Method for forming a copper film on a substrate
KR20000013654A (ko) 1998-08-12 2000-03-06 윤종용 원자층 증착 방법으로 형성한 알루미나/알루미늄나이트라이드복합 유전체막을 갖는 캐패시터와 그제조 방법
US6066533A (en) 1998-09-29 2000-05-23 Advanced Micro Devices, Inc. MOS transistor with dual metal gate structure
US20020008257A1 (en) 1998-09-30 2002-01-24 John P. Barnak Mosfet gate electrodes having performance tuned work functions and methods of making same
US6362526B1 (en) 1998-10-08 2002-03-26 Advanced Micro Devices, Inc. Alloy barrier layers for semiconductors
KR100297719B1 (ko) 1998-10-16 2001-08-07 윤종용 박막제조방법
US6090217A (en) 1998-12-09 2000-07-18 Kittle; Paul A. Surface treatment of semiconductor substrates
US6294836B1 (en) 1998-12-22 2001-09-25 Cvc Products Inc. Semiconductor chip interconnect barrier material and fabrication method
US6174799B1 (en) 1999-01-05 2001-01-16 Advanced Micro Devices, Inc. Graded compound seed layers for semiconductors
US6291282B1 (en) 1999-02-26 2001-09-18 Texas Instruments Incorporated Method of forming dual metal gate structures or CMOS devices
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6635939B2 (en) 1999-08-24 2003-10-21 Micron Technology, Inc. Boron incorporated diffusion barrier material
AU1208201A (en) 1999-10-15 2001-04-30 Asm America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
US6261950B1 (en) 1999-10-18 2001-07-17 Infineon Technologies Ag Self-aligned metal caps for interlevel metal connections
US6373111B1 (en) 1999-11-30 2002-04-16 Intel Corporation Work function tuning for MOSFET gate electrodes
US6383879B1 (en) 1999-12-03 2002-05-07 Agere Systems Guardian Corp. Semiconductor device having a metal gate with a work function compatible with a semiconductor device
US6329704B1 (en) 1999-12-09 2001-12-11 International Business Machines Corporation Ultra-shallow junction dopant layer having a peak concentration within a dielectric layer
KR100358056B1 (ko) 1999-12-27 2002-10-25 주식회사 하이닉스반도체 반도체 소자의 게이트 산화막 형성방법
US6407435B1 (en) 2000-02-11 2002-06-18 Sharp Laboratories Of America, Inc. Multilayer dielectric stack and method
WO2001066832A2 (en) 2000-03-07 2001-09-13 Asm America, Inc. Graded thin films
TW508658B (en) 2000-05-15 2002-11-01 Asm Microchemistry Oy Process for producing integrated circuits
US6368954B1 (en) 2000-07-28 2002-04-09 Advanced Micro Devices, Inc. Method of copper interconnect formation using atomic layer copper deposition
US6660660B2 (en) 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
AU2001296529A1 (en) 2000-12-18 2002-07-01 James F. Gibbons Gate electrode with depletion suppression and tunable workfunction
US6537901B2 (en) 2000-12-29 2003-03-25 Hynix Semiconductor Inc. Method of manufacturing a transistor in a semiconductor device
KR100387259B1 (ko) 2000-12-29 2003-06-12 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US6713846B1 (en) 2001-01-26 2004-03-30 Aviza Technology, Inc. Multilayer high κ dielectric films
KR100768176B1 (ko) 2001-02-07 2007-10-17 삼성에스디아이 주식회사 광학적 전기적 특성을 지닌 기능성 박막
KR100768175B1 (ko) 2001-02-07 2007-10-17 삼성에스디아이 주식회사 광학적 전기적 특성을 지닌 기능성 박막
KR100708640B1 (ko) 2001-02-07 2007-04-18 삼성에스디아이 주식회사 광학적 전기적 특성을 지닌 기능성 박막
US6596576B2 (en) 2001-04-10 2003-07-22 Applied Materials, Inc. Limiting hydrogen ion diffusion using multiple layers of SiO2 and Si3N4
US6518106B2 (en) 2001-05-26 2003-02-11 Motorola, Inc. Semiconductor device and a method therefor
US6511876B2 (en) 2001-06-25 2003-01-28 International Business Machines Corporation High mobility FETS using A1203 as a gate oxide
US6700771B2 (en) 2001-08-30 2004-03-02 Micron Technology, Inc. Decoupling capacitor for high frequency noise immunity
US6806145B2 (en) 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US7049226B2 (en) 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US6458695B1 (en) 2001-10-18 2002-10-01 Chartered Semiconductor Manufacturing Ltd. Methods to form dual metal gates by incorporating metals and their conductive oxides
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6730588B1 (en) 2001-12-20 2004-05-04 Lsi Logic Corporation Method of forming SiGe gate electrode
US6696332B2 (en) 2001-12-26 2004-02-24 Texas Instruments Incorporated Bilayer deposition to avoid unwanted interfacial reactions during high K gate dielectric processing
US6824816B2 (en) 2002-01-29 2004-11-30 Asm International N.V. Process for producing metal thin films by ALD
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6730163B2 (en) 2002-03-14 2004-05-04 Micron Technology, Inc. Aluminum-containing material and atomic layer deposition methods
US6717226B2 (en) 2002-03-15 2004-04-06 Motorola, Inc. Transistor with layered high-K gate dielectric and method therefor
US20040036129A1 (en) 2002-08-22 2004-02-26 Micron Technology, Inc. Atomic layer deposition of CMOS gates with variable work functions
US6714435B1 (en) 2002-09-19 2004-03-30 Cova Technologies, Inc. Ferroelectric transistor for storing two data bits
US6723581B1 (en) 2002-10-21 2004-04-20 Agere Systems Inc. Semiconductor device having a high-K gate dielectric and method of manufacture thereof
KR100460841B1 (ko) * 2002-10-22 2004-12-09 한국전자통신연구원 플라즈마 인가 원자층 증착법을 통한 질소첨가 산화물박막의 형성방법
US6869876B2 (en) * 2002-11-05 2005-03-22 Air Products And Chemicals, Inc. Process for atomic layer deposition of metal films
US6858524B2 (en) * 2002-12-03 2005-02-22 Asm International, Nv Method of depositing barrier layer for metal gates
US7045406B2 (en) * 2002-12-03 2006-05-16 Asm International, N.V. Method of forming an electrode with adjusted work function
US7235482B2 (en) 2003-09-08 2007-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a contact interconnection layer containing a metal and nitrogen by atomic layer deposition for deep sub-micron semiconductor technology
KR100598051B1 (ko) * 2005-02-07 2006-07-10 삼성전자주식회사 반도체 소자의 제조방법
DE102005023122A1 (de) * 2005-05-19 2006-11-23 Infineon Technologies Ag Integrierte Schaltungsanordnung mit Schichtstapel und Verfahren
US7547598B2 (en) 2006-01-09 2009-06-16 Hynix Semiconductor Inc. Method for fabricating capacitor in semiconductor device
US8058696B2 (en) * 2006-02-25 2011-11-15 Avalanche Technology, Inc. High capacity low cost multi-state magnetic memory
US7407876B2 (en) 2006-03-20 2008-08-05 Tokyo Electron Limited Method of plasma enhanced atomic layer deposition of TaC and TaCN films having good adhesion to copper
US20080141937A1 (en) * 2006-12-19 2008-06-19 Tokyo Electron Limited Method and system for controlling a vapor delivery system
US7598170B2 (en) 2007-01-26 2009-10-06 Asm America, Inc. Plasma-enhanced ALD of tantalum nitride films
US7829158B2 (en) * 2007-05-07 2010-11-09 Tokyo Electron Limited Method for depositing a barrier layer on a low dielectric constant material
US8017182B2 (en) 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
KR20090067505A (ko) * 2007-12-21 2009-06-25 에이에스엠지니텍코리아 주식회사 루테늄막 증착 방법
US8304823B2 (en) * 2008-04-21 2012-11-06 Namlab Ggmbh Integrated circuit including a ferroelectric memory cell and method of manufacturing the same
US8557702B2 (en) 2009-02-02 2013-10-15 Asm America, Inc. Plasma-enhanced atomic layers deposition of conductive material over dielectric layers

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003502501A (ja) * 1999-06-19 2003-01-21 ゼニテックインコーポレイテッド 化学蒸着反応炉及びこれを利用した薄膜形成方法
JP2003536272A (ja) * 2000-06-08 2003-12-02 ジニテック インク. 薄膜形成方法
JP2005229129A (ja) * 2000-06-08 2005-08-25 Genitech Inc 薄膜形成方法
US20020121697A1 (en) * 2000-08-30 2002-09-05 Marsh Eugene P. Process for the formation of RuSixOy-containing barrier layers for high-k dielectrics
WO2002020870A1 (en) * 2000-09-08 2002-03-14 Applied Materials, Inc. Chemical vapor deposition of tantalum oxide using oxygen-free liquid precursors
JP2002193981A (ja) * 2000-12-25 2002-07-10 Kojundo Chem Lab Co Ltd ターシャリーアミルイミドトリス(ジメチルアミド)タンタルとその製造方法及びそれを用いたmocvd用原料溶液並びにそれを用いた窒化タンタル膜の形成方法
US20040219784A1 (en) * 2001-07-19 2004-11-04 Sang-Bom Kang Methods for forming atomic layers and thin films including tantalum nitride and devices including the same
JP2007502551A (ja) * 2003-06-13 2007-02-08 アプライド マテリアルズ インコーポレイテッド 銅メタライゼーションのためのald窒化タンタルの集積
JP2005203569A (ja) * 2004-01-15 2005-07-28 Semiconductor Leading Edge Technologies Inc 半導体装置の製造方法及び半導体装置
JP2008124464A (ja) * 2006-11-08 2008-05-29 Asm Japan Kk Ru膜および金属配線構造の形成方法
JP2012074714A (ja) * 2011-11-14 2012-04-12 Toshiba Corp 半導体装置の製造方法

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012501093A (ja) * 2008-08-25 2012-01-12 東京エレクトロン株式会社 アルミニウムがドープされた金属炭窒化物ゲート電極の作製方法
JP2014127323A (ja) * 2012-12-26 2014-07-07 Konica Minolta Inc 有機エレクトロルミネッセンス素子製造方法及び有機エレクトロルミネッセンス素子
JP2015193902A (ja) * 2014-03-17 2015-11-05 東京エレクトロン株式会社 炭窒化チタン膜を形成する方法、及び成膜装置
WO2016114157A1 (ja) * 2015-01-14 2016-07-21 宇部興産株式会社 金属炭窒化膜又は半金属炭窒化膜の製造方法、金属炭窒化膜又は半金属炭窒化膜及び金属炭窒化膜又は半金属炭窒化膜の製造装置
JP2016130337A (ja) * 2015-01-14 2016-07-21 宇部興産株式会社 金属又は半金属炭窒化膜の製造方法
JP2018090834A (ja) * 2015-03-30 2018-06-14 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
WO2016159232A1 (ja) * 2015-03-30 2016-10-06 株式会社日立国際電気 半導体装置の製造方法
US11004676B2 (en) 2015-03-30 2021-05-11 Kokusai Electric Corporation Method for manufacturing semiconductor device, non-transitory computer-readable recording medium, and substrate processing apparatus
JP2018059173A (ja) * 2016-10-07 2018-04-12 東京エレクトロン株式会社 成膜方法
KR20180041067A (ko) 2016-10-13 2018-04-23 도쿄엘렉트론가부시키가이샤 산화티타늄 막의 성막 방법 및 하드 마스크의 형성 방법
US10535528B2 (en) 2016-10-13 2020-01-14 Tokyo Electron Limited Method of forming titanium oxide film and method of forming hard mask
WO2018163503A1 (ja) * 2017-03-06 2018-09-13 株式会社日本製鋼所 表示装置およびその製造方法
JP2018147706A (ja) * 2017-03-06 2018-09-20 株式会社日本製鋼所 表示装置およびその製造方法
US10833293B2 (en) 2017-03-06 2020-11-10 The Japan Steel Works, Ltd. Display apparatus and method of manufacturing the same
WO2020246309A1 (ja) * 2019-06-07 2020-12-10 東京エレクトロン株式会社 基板処理方法及び基板処理装置
JP2020200496A (ja) * 2019-06-07 2020-12-17 東京エレクトロン株式会社 基板処理方法及び基板処理装置
JP2021044492A (ja) * 2019-09-13 2021-03-18 キオクシア株式会社 半導体装置およびその製造方法
JP7295749B2 (ja) 2019-09-13 2023-06-21 キオクシア株式会社 半導体装置の製造方法

Also Published As

Publication number Publication date
US20140008803A1 (en) 2014-01-09
US8557702B2 (en) 2013-10-15
WO2010088015A3 (en) 2010-10-21
TW201037768A (en) 2010-10-16
TW201601216A (zh) 2016-01-01
KR101648062B1 (ko) 2016-08-12
US9466574B2 (en) 2016-10-11
US20100193955A1 (en) 2010-08-05
TWI578398B (zh) 2017-04-11
KR20150130564A (ko) 2015-11-23
KR101672417B1 (ko) 2016-11-03
WO2010088015A2 (en) 2010-08-05
KR20110104989A (ko) 2011-09-23
JP5727390B2 (ja) 2015-06-03
TWI508175B (zh) 2015-11-11

Similar Documents

Publication Publication Date Title
JP5727390B2 (ja) 導電材料の誘電体層上へのプラズマ増強原子層堆積
US20220178027A1 (en) Ald of metal-containing films using cyclopentadienyl compounds
US7595270B2 (en) Passivated stoichiometric metal nitride films
JP5497442B2 (ja) 金属炭化物膜の気相成長
US7713874B2 (en) Periodic plasma annealing in an ALD-type process
US10875774B2 (en) Tritertbutyl aluminum reactants for vapor deposition
US20030183171A1 (en) Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US20010000866A1 (en) Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
JP2005534180A (ja) 金属絶縁体金属キャパシタのインシトゥ形成
WO2008051851A1 (en) Plasma-enhanced deposition of metal carbide films
WO2009129332A2 (en) Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121217

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20121217

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20130726

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140311

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140606

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140613

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140811

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20150317

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20150402

R150 Certificate of patent or registration of utility model

Ref document number: 5727390

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250