TWI460261B - 修飾適用於半導體製造之表面之組合物及方法 - Google Patents

修飾適用於半導體製造之表面之組合物及方法 Download PDF

Info

Publication number
TWI460261B
TWI460261B TW097128663A TW97128663A TWI460261B TW I460261 B TWI460261 B TW I460261B TW 097128663 A TW097128663 A TW 097128663A TW 97128663 A TW97128663 A TW 97128663A TW I460261 B TWI460261 B TW I460261B
Authority
TW
Taiwan
Prior art keywords
abrasive
wafer
abrasive article
composite
article
Prior art date
Application number
TW097128663A
Other languages
English (en)
Other versions
TW200918654A (en
Inventor
L Charles Hardy
John J Gagliardi
Heather Kristine Kranz
John C Clark
Thomas Edward Wood
Patricia M Savu
David A Kaisaki
Philip G Clark
Original Assignee
3M Innovative Properties Co
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 3M Innovative Properties Co filed Critical 3M Innovative Properties Co
Publication of TW200918654A publication Critical patent/TW200918654A/zh
Application granted granted Critical
Publication of TWI460261B publication Critical patent/TWI460261B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • B24B37/042Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor
    • B24B37/044Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor characterised by the composition of the lapping agent
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/11Lapping tools
    • B24B37/20Lapping pads for working plane surfaces
    • B24B37/24Lapping pads for working plane surfaces characterised by the composition or properties of the pad materials
    • B24B37/245Pads with fixed abrasives
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)

Description

修飾適用於半導體製造之表面之組合物及方法
本揭示案一般係關於一種修飾適用於半導體製造之晶圓暴露表面的方法,且特別係關於一種使用研磨物件修飾適用於半導體製造之結構化晶圓暴露表面的方法。
在積體電路製造期間,用於半導體製造之半導體晶圓通常經受許多加工步驟,包括沈積、圖案化及蝕刻步驟。半導體晶圓之此等製造步驟之詳述係由Tonshoff等人於公開於Annals of the International Institution for Production Engineering Research ,(第39/2/1990卷),第621-635頁中之"Abrasive Machining of Silicon"報導。在各製造步驟中,通常必需或需要修飾或改善晶圓之暴露表面以製備用於後續製造或生產步驟之晶圓。
舉例而言,在沈積步驟後,晶圓表面上所沈積之材料或層一般需要在進行額外沈積或後續加工之前進一步加工。在另一實例中,在蝕刻步驟後,通常需要在晶圓之蝕刻表面區域上沈積層狀導電材料或絕緣材料中之任一者或兩者。此方法之特定實例用於金屬鑲嵌法。
在鑲嵌法中,將圖案蝕刻至氧化物介電層中。蝕刻後,將可選黏著層/障壁層沈積於整個表面上且接著將金屬沈積在黏著層/障壁層上方或其頂部。接著藉由移除表面上所沈積之金屬及黏著層/障壁層區來修飾、改善或修整所沈積之金屬層。通常,移除足夠表面金屬以使得晶圓之外 部暴露表面包含金屬及氧化物介電材料。所暴露晶圓表面的俯視圖將揭示具有對應於經蝕刻圖案之金屬及與金屬相鄰之介電材料的大體平坦表面。位於經修飾晶圓表面上之金屬及氧化物介電材料本質上具有不同物理特徵,諸如不同硬度值。必須謹慎設計用以修飾由鑲嵌法產生之晶圓的研磨物件以便在不刮擦任一材料表面下同時修飾材料。此外,研磨物件必須能夠於具有金屬暴露區及介電材料暴露區之晶圓上產生大體上平坦的外部暴露表面。
該修飾沈積金屬層直至氧化物介電材料暴露於晶圓外表面之方法由於位於晶圓表面上之金屬特徵的亞微米尺寸而幾乎不留下誤差。顯然,所沈積金屬之移除速率必須較快以使製造成本最小化。此外,自未經蝕刻區移除金屬必須完整。此外,經蝕刻區中剩餘之金屬必須限於離散區或離散區域中。此外,一區或區域內之剩餘金屬必須連續以確保適當電導率。簡而言之,金屬修飾製程在亞微米等級上必須為均勻的、受控制的及可再現的。
此外,作為一種隔離半導體裝置元件之方法,最近大量關注針對於矽基板上形成氮化矽層之淺溝槽隔離(STI)法,淺溝槽係經由蝕刻或光微影而形成,且沈積介電層以填充該等溝槽。由於以此方式形成之溝槽或管線深度之變化,故通常必需沈積過量介電材料於基板頂部上以確保完全填充所有溝槽。
接著通常藉由化學-機械平坦化法移除過量之介電材料(例如,氧化物)以暴露氮化矽層。為達成高度平坦之表 面,氮化物層與剩餘溝槽氧化物層之高度應大體上相同。一般而言,過去之實踐已強調對氧化物拋光優先於氮化矽拋光之選擇性。因此,氮化矽層在化學-機械平坦化法期間充當停蝕層,此係因為在氮化矽層暴露後總拋光速率已減低。
用於平坦化或拋光基板表面之組合物及方法在此項技術中係熟知的。一種習知之修飾或改善晶圓暴露表面的方法採用以含有複數個分散於液體中之鬆散研磨顆粒的漿料來處理晶圓表面之方法。通常將此漿料塗覆於拋光襯墊上,且接著將晶圓表面研磨或抵靠襯墊移動以移除或去除晶圓表面上之材料。一般而言,漿料亦含有與晶圓表面化學反應之藥劑。通常將此類方法稱為化學-機械平坦化(CMP)法。
然而,關於CMP漿料之一個問題為必須謹慎監控該方法以達成所需之晶圓表面構形。第二個問題為與鬆散研磨漿料相關之污穢狀況。另一問題為漿料產生大量顆粒,該等顆粒必須自晶圓表面移除且自以下晶圓處理中拋棄。此等漿料之處理及處置對於半導體晶圓製造者而言產生額外加工成本。
CMP漿料法之替代方法使用研磨物件來修飾或改善半導體表面。此替代CMP法係報導於1997年3月27日公開之國際公開案第WO 97/11484號中。所報導之研磨物件具有包括分散於黏合劑中之研磨顆粒的刻花研磨表面。在使用中,通常在流體或液體存在下,在適合於修飾晶圓上之單 層材料且提供大體上平坦、均勻晶圓表面之運動下使研磨物件與半導體晶圓表面接觸。使用研磨物件克服大量與CMP漿料相關之問題。
本揭示案之實施例利用藉由使用研磨物件來修飾半導體晶圓表面以於晶圓表面上暴露至少兩種通常具有不同硬度值之不同材料而提供之優勢。
本揭示案係關於修飾或改善適用於半導體製造之晶圓表面的方法。舉例而言,一種例示性方法可用以修飾具有具經蝕刻以形成圖案或圖樣之表面之第一材料及展開於第一材料表面上之第二材料的晶圓。此方法之第一步驟包含使晶圓之第二材料與複數個固定於研磨物件上之三維研磨複合物接觸,該等三維研磨複合物包含複數個固定且分散於黏合劑中之研磨顆粒。第二步驟為在第二材料與複數個研磨複合物接觸時相對移動晶圓直至晶圓之暴露表面大體上平坦且包含至少一個暴露第一材料區及一個暴露第二材料區。第二材料通常為金屬,然而,第二材料可為中間材料,諸如黏著層/障壁層,或金屬與黏著層/障壁層之組合。第一材料通常為介電材料。合適中間材料或黏著層/障壁層包括金屬、氧化物、氮化物及矽化物。一些特別合適之中間材料或黏著層/障壁層包括鉭、鈦、氮化鉭、氮化鈦及氮化矽。
在修飾晶圓表面之另一例示性方法中,可將第一介電障壁材料展開於經圖案化之晶圓表面上且可將第二介電材料 展開於第一介電障壁材料之表面上。此方法之第一步驟包含使晶圓之第二介電材料與複數個固定於研磨物件上之三維研磨複合物接觸,該等三維研磨複合物包含複數個固定且分散於黏合劑中之研磨顆粒。第二步驟為在第二介電材料與複數個研磨複合物接觸時相對移動晶圓直至晶圓之暴露表面大體上平坦且包含至少一個暴露第一介電障壁材料區及一個暴露第二介電材料區。第二介電材料通常為氧化矽,例如二氧化矽。第一介電障壁材料通常為氮化矽。
如本說明書中所用,晶圓通常包括具有經蝕刻以形成圖案或圖樣之表面的第一材料及展開於第一材料表面上之第二材料。與第一材料相關之圖樣包括圖案化區、溝槽區及通道,以及構成完整半導體裝置之其他結構。由諸如鑲嵌法之方法產生且藉由本揭示案之研磨物件修飾之晶圓表面較佳無刮痕或干擾半導體裝置功能之其他缺陷。在較佳實施例中,晶圓表面大體上平坦且具有如Rt值所量測,無刮痕或其他缺陷的表面。本揭示案之特定實施例所提供之較佳Rt值通常小於約3,000埃,較佳小於約1,000埃,且最佳小於約500埃。晶圓可包括於晶圓基底層上形成層之第三、第四、第五或更多材料。對於僅具有第一材料及第二材料之晶圓而言,各層可如以上所例示經修飾。
在鑲嵌法期間修飾晶圓之方法可(例如)以至少具有存在於晶圓基底上之第一材料及第二材料的晶圓起始。材料中之至少一者可具有經蝕刻以形成圖樣之表面。將外部材料展開於第一及第二材料上以便填充蝕刻於表面中之圖樣。 置放晶圓使其與複數個固定於研磨物件之三維研磨複合物接觸。置放晶圓之外部材料使其與複數個固定於研磨物件上之三維研磨複合物接觸,該研磨複合物包含複數個固定且分散於黏合劑中之研磨顆粒。在外部材料與複數個研磨複合物接觸時相對於研磨物件移動晶圓直至晶圓之暴露表面大體上平坦且包含至少一個暴露第一材料區及一個暴露第二材料區。
在本揭示案之一實施例中,修飾晶圓之方法可以由展開於至少一種介電材料上之導電材料組成之層開始。介電材料具有經蝕刻以形成圖樣之表面。該晶圓可藉由使晶圓之主要暴露表面(導電材料)與研磨物件接觸且相對於研磨物件移動來修飾。研磨物件通常包含複數個刻花三維研磨複合物之主要暴露表面,該三維研磨複合物包含複數個固定且分散於黏合劑中之研磨顆粒。在研磨物件之複數個研磨複合物與導電材料之間維持接觸及運動直至晶圓之暴露表面大體上平坦且包含至少一個暴露導電材料區及至少一個暴露介電材料區,且導電材料之暴露表面與介電材料之暴露表面位於一個平面中。介電材料可由諸如黏著層/障壁層之一或多種中間材料覆蓋。通常,所暴露之介電材料表面在移除導電材料後基本上不含中間材料。或者,移除導電材料可僅暴露中間材料及導電材料之表面。持續修飾可接著於晶圓表面上暴露介電材料及導電材料。
本發明之方法特別適用於修飾在本申請案中通常稱為第二材料之導電表面。導電表面可由具有小於約0.1 ohm-cm 之電阻率值的各種導電材料製成。較佳導電材料包括金屬,諸如鎢、銅、鋁、鋁銅合金、金、銀或此等金屬之各種合金。較佳介電材料一般具有小於約5之介電常數。
在本揭示案之另一例示性實施例中,提供CMP法來修飾諸如半導體晶圓之基板表面以移除其選擇性厚度部分。在一特定例示性實施例中,該方法包含:a.提供至少包含具有經蝕刻以形成圖案之表面的第一材料,展開於第一材料表面之至少一部分上的第二材料及展開於第二材料表面之至少一部分上之第三材料的晶圓;b.在大體上不含鬆散研磨顆粒且包括水、展現至少一種大於7之pKa 的pH緩衝劑及界面活性劑之含水工作液體存在下(該pH緩衝劑包括鹼性pH調節劑及酸性錯合劑,且該工作液體展現約7至約12之pH值),使晶圓之第三材料與複數個固定於研磨物件上之三維研磨複合物接觸,該等三維研磨複合物包含複數個固定且分散於黏合劑中之研磨顆粒,且c.在第三材料與複數個研磨複合物接觸時相對移動晶圓直至晶圓之暴露表面大體上平坦且包含至少一個暴露第三材料區及一個暴露第二材料區。
在CMP STI法之另一例示性實施例中,該方法包含:a)提供至少包含展開於晶圓之至少一部分上之障壁材料,及展開於障壁材料表面之至少一部分上之介電材料的晶圓;b)在大體上不含鬆散研磨顆粒且包括水、展現至少一種 大於7之pKa 的pH緩衝劑及界面活性劑之含水工作液體存在下(該pH緩衝劑包括鹼性pH調節劑及酸性錯合劑,且該工作液體展現約7至約12之pH值),使晶圓之介電材料與複數個固定於研磨物件上之三維研磨複合物接觸,該等三維研磨複合物包含複數個固定且分散於黏合劑中之研磨顆粒,且c)在介電材料與複數個研磨複合物接觸時相對移動晶圓直至晶圓之暴露表面大體上平坦且包含至少一個暴露介電材料區及一個暴露障壁材料區。
在一特定例示性實施例中,介電材料包含氧化矽且障壁材料包含氮化矽。
在特定例示性方法中,晶圓與研磨物件之間的移動係發生在每平方吋約0.1至25磅(psi)範圍內(將近約千帕(kPa))之壓力下,較佳在約0.2至15 psi(約1.38至約103.42 kPa)範圍內之壓力下。在本揭示案之另一實施例中,使晶圓與研磨物件相對於彼此旋轉及/或移動。舉例而言,使研磨物件或晶圓或研磨物件與晶圓兩者相對於彼此旋轉以及沿晶圓及研磨物件之相對中心線性移動。晶圓及研磨物件亦可隨著速度沿路徑變化而以橢圓形或8字形圖案移動。晶圓與研磨物件之間的旋轉運動或旋轉速度可在1 rpm至10,000 rpm之間。研磨物件之較佳旋轉速度係在研磨物件以10 rpm至1,000 rpm之間,且更佳以10 rpm至250 rpm之間且更佳以10 rpm至60 rpm之間之速度旋轉時。晶圓之較佳旋轉速度係在晶圓以2 rpm至1,000 rpm之間,更佳以5 rpm至 500 rpm之間且甚至更佳以10 rpm至100 rpm之間的速度旋轉時。
在本揭示案之另一實施例中,晶圓之導電表面係在工作液體存在下經研磨物件修飾。一種適用的工作液體為包括各種不同添加劑之水溶液。合適添加劑包括pH調節劑(例如酸或鹼)以及錯合劑、氧化劑或鈍化劑、界面活性劑、濕潤劑、緩衝劑、防銹劑、潤滑劑、皂類或此等添加劑之組合。添加劑亦可包括與晶圓表面上之第二材料(例如金屬或金屬合金導體)反應之藥劑,諸如氧化劑、還原劑、鈍化劑或錯合劑。氧化劑之實例包括過氧化氫、硝酸、鐵氰化鉀、硝酸鐵或此等藥劑之組合。錯合劑之實例包括氫氧化銨及碳酸銨。此外,工作液體可相對不含添加劑或其他藥劑。在此實施例中,工作液體可為自來水、蒸餾水或去離子水。合適鈍化劑為苯并三唑。
在適用於修飾適用於製造半導體裝置之晶圓表面的工作液體之一例示性實施例中,工作液體包含大體上不含鬆散研磨顆粒之最初組份的水溶液,該等組份包括水,展現至少一種大於7之pKa 的pH緩衝劑及界面活性劑,該pH緩衝劑包含鹼性pH調節劑及酸性錯合劑且該工作液體展現約7至約12之pH值。在特定特徵化實施例中,工作液體包含氟化學界面活性劑,且工作液體展現約7至約11之pH值。
在另一例示性實施例中,本揭示案提供一種適用於化學機械拋光基板表面之包含界面活性劑的固定研磨物件。在特定例示性實施例中,製備適用於以化學機械拋光法修飾 晶圓表面之包含界面活性劑之固定研磨物件的方法包含:a.提供具有包含複數個固定於研磨物件上之三維研磨複合物之表面的固定研磨物件,該等三維研磨複合物包含複數個固定且分散於黏合劑中之研磨顆粒;b.將表面暴露於溶劑中之界面活性劑溶液;c.使固定研磨物件乾燥以移除溶劑之至少一部分,藉此於表面之至少一部分上形成界面活性劑塗層。
視情況,在使用固定研磨物件拋光複數個晶圓表面時,以一定拋光速率重複步驟(a)-(c)直至獲得目標拋光速率,且其後拋光速率保持在目標拋光速率之約200/min以內。在一些例示性實施例中,溶劑可為含水溶劑(例如水),不含水溶劑(例如乙醇或其他有機溶劑)或含水溶劑與不含水溶劑之混合物(例如乙醇與水)。
本發明某些實施例之較佳固定研磨物件包含由複數個分散於黏合劑中之研磨顆粒製成之刻花三維研磨外表面。較佳地,研磨物件另外包含襯底且更佳地,此襯底為聚合物薄膜。此襯底將具有前表面及後表面。襯底可選自已用於研磨物件中之材料群,諸如紙、非編織物、布、經處理之布、聚合物薄膜及底漆聚合物薄膜。在較佳實施例中,襯底為底漆聚酯薄膜。
將襯底之至少一個表面以黏合劑及研磨顆粒塗佈。研磨塗層較佳為稍微可侵蝕的。合適黏合劑可為有機或無機材料。黏合劑較佳為有機黏合劑。此外,黏合劑可為熱塑性黏合劑或熱固性黏合劑。若黏合劑為熱固性黏合劑,則黏 合劑較佳可由黏合劑前驅體形成。詳言之,合適黏合劑前驅體為未經固化之可流動狀態。當製造研磨物件時,使黏合劑前驅體暴露於用以幫助起始黏合劑前驅體之固化或聚合之條件(通常為能源)下。在此聚合或固化步驟期間,使黏合劑前驅體凝固且轉化為黏合劑。在本揭示案之特定實施例中,黏合劑前驅體較佳包含自由基可固化聚合物。在暴露於諸如輻射能之能源後,使自由基可固化聚合物交聯以形成黏合劑。一些較佳自由基可固化聚合物之實例包括丙烯酸酯單體、丙烯酸酯寡聚物或丙烯酸酯單體與寡聚物組合。較佳黏合劑前驅體包括丙烯酸酯官能性胺基甲酸酯聚合物。
研磨顆粒可為於暴露晶圓表面上提供所需特性之任何合適研磨顆粒,且特定研磨顆粒可用於特定類型之材料。所需特性可包括暴露晶圓表面之金屬切割速率、表面修整及平坦度。研磨顆粒可視晶圓表面之特定材料來選擇。舉例而言,對於銅晶圓表面而言,較佳研磨顆粒包括α氧化鋁顆粒。或者,對於鋁晶圓表面而言,較佳研磨顆粒包括α及χ氧化鋁。
研磨顆粒之尺寸部分地視研磨物件之特定組成及加工期間所用之工作液體的選擇而定。一般而言,具有不大於約5微米之平均粒度的合適研磨顆粒係較佳的。甚至更佳者為平均研磨顆粒粒度不大於一微米且詳言之不大於約0.5微米之研磨物件。
研磨顆粒可與填充劑顆粒組合使用。較佳填充劑顆粒之 實例包括矽酸鎂、三水合鋁及其組合。
在本揭示案之特定實施例中,黏合劑及研磨顆粒提供複數種成形之研磨複合物。研磨複合物特徵可包含各種三維形狀,包括藉由第一閉合平面曲線延伸至與大體上平行於第一平面曲線之第二閉合平面曲線,及與襯底或與一點具有正、零或負斜度之第三維中來定界的彼等形狀。第一及第二平面曲線及任何中間過渡曲線不必需在各處均為凸面的。第二閉合平面圖可大於或小於第一閉合平面圖,可與第一平面圖不相合或可關於第一閉合平面曲線旋轉。由閉合平面曲線質心之軌線界定之延伸軸不必垂直於第一平面。第二閉合平面曲線可較不良地關於第一閉合平面曲線傾斜。可於研磨複合物特徵之遠端表面上形成較小尺度之特徵,諸如溝槽。
合適複合物特徵可(例如)在基底處具有平滑地或以一或多個不連續步驟轉化為於遠端平面具有略微較小當量直徑之六角不等徑星形的圓形橫截面。此等研磨複合物可為精確成形或不規則成形的。研磨複合物較佳彼此隔開。較佳研磨複合物具有諸如球錐台、金字塔、斜截棱錐、圓錐、立方體、塊體、桿狀、具有平頂表面之十字形或柱樣的幾何形狀。通常將研磨複合物以特定次序或圖案排列於襯底表面上。或者,亦可將研磨複合物無規排列於襯底表面上。研磨物件亦可由較長連續之研磨複合物列組成。可於研磨物件中使用一系列區域密度之研磨複合物。合適區域密度範圍為每平方公分至少2個研磨複合物至每平方公分 至少1,000個研磨複合物。此外,研磨複合物尺寸可包括小於2毫米,小於0.5毫米或小於0.1毫米之高度。
此外,研磨複合物亦可包括一或多種添加劑。合適添加劑包括研磨顆粒表面修飾添加劑、偶合劑、填充劑、膨脹劑、纖維、抗靜電劑、引發劑、懸浮劑、潤滑劑、濕潤劑、界面活性劑、顏料、染料、UV穩定劑、錯合劑、鏈轉移劑、促進劑、催化劑、活化劑、鈍化劑或此等添加劑之組合。
此外,可將研磨塗層緊固於下部襯墊上。下部襯墊將具有前表面及後表面,且研磨塗層將存在於支撐襯墊之前表面上。可將壓敏黏著劑塗覆於研磨物件襯底之後表面上以將研磨物件固定於下部襯墊。
以上概述並不意欲描述各已說明的實施例或本揭示案之每一實施例。以下圖式及實施方式使用本文所揭示之原理更特別例示特定較佳實施例。
在本申請案全文中,應用以下定義:"固定"研磨物件為除可在平坦化製程期間產生者以外,大體上不含未經附著之研磨顆粒的整體研磨物件。
"三維"研磨物件為具有許多研磨顆粒之研磨物件,該等研磨顆粒延伸穿過研磨物件厚度之至少一部分以使得在平坦化期間移除一些顆粒暴露能夠進行平坦化功能之其他研磨顆粒。
"刻花"研磨物件為具有凸起部分及凹陷部分之研磨物 件,其中至少凸起部分含有研磨顆粒及黏合劑。
"可侵蝕"研磨物件為在使用條件下以受控方式分解之研磨物件。
"研磨劑聚結體"係指以整體微粒塊形式接合在一起之複合個研磨顆粒。
"研磨複合物"係指共同提供包含研磨顆粒及黏合劑之刻花三維研磨物件之複數個成形體中的一者。研磨顆粒可為研磨劑聚結體之形式。
"精確成形研磨複合物"係指具有與在自模具移除複合物後保留之模具空腔相反的模製形狀之研磨複合物。較佳地,如美國專利第5,152,917號(Pieper等人)中所述,複合物在使用研磨物件之前大體上不含突出至暴露表面形狀以外的研磨顆粒。
在習知半導體裝置製造流程中,使平坦基底矽晶圓經受一系列加工步驟,其沈積包含兩種或兩種以上離散材料區之均勻層,該等均勻層共同形成將變為多層結構之單層。儘管給定層內之個別元件可以各種方式形成,但通常藉由常用於此項技術中之方式中的任一者將第一材料之均勻層塗覆於晶圓自身或塗覆於現存中間構築體層上,以蝕刻凹點進入或穿過彼層,且接著以第二材料填充凹點。或者,可將包含第一材料之具有大致均勻厚度之特徵通常經由遮罩沈積於晶圓上或沈積於先前製造之晶圓層上,且接著可以第二材料填充與彼等特徵相鄰之區域來完成該層。當完成時,外表面大體上係球面平坦的且平行於基底矽晶圓表 面。
已知填充操作通常係藉由將第二材料塗層沈積於中間晶圓之暴露表面上而實現,其塗層具有足以在保持未經先前沈積/蝕刻或遮蔽沈積步驟佔據之建構下填充彼等層部分的厚度。因此,經修整半導體裝置中包含第一材料之層區亦將為第二材料所覆蓋且第一材料加上覆第二材料之總厚度將大於晶圓中修整暴露層之所需厚度。在使用鑲嵌法於經加工之晶圓暴露表面上產生多層金屬化架構中,於介電第一材料(例如二氧化矽)上使溝槽或凹點圖案化。剩餘介電第一材料及任何上覆黏著層/障壁層之最外表面界定在不悖離平坦度之情況下局部橋接溝槽或凹點的大體上球面平坦之第一表面。第二大體上球面平坦之表面係藉由為界定目的而類似地經局部橋接以便在無中斷之情況下穿過圖案化介電質之溝槽或凹點的聚集底部來界定。第一及第二大體上球面平坦之表面較佳平行於初始矽晶圓表面及可直接位於所製造層之下的裝置之任何層的表面。第二大體上球面平坦之表面通常將對應於直接下伏層(若存在)表面。可選黏著層/障壁層(例如氮化鈦或鈦)及隨後金屬第二材料(例如銅或鋁)層係共形沈積於晶圓之任何蝕刻或圖案區。接著通常使中間晶圓之暴露外表面完全位於如上所定義之第一大體上球面平坦表面的上方。
先前通常已藉由利用研磨漿料及拋光襯墊之球面化學機械平坦化(CMP)移除過量之第二材料。本揭示案之特定實施例以採用三維形狀研磨物件之相對清潔平坦化法替代污 穢之漿料CMP,在該三維形狀研磨物件中,研磨物件之結構元件在黏合劑內包含複數個研磨顆粒。包含在研磨物件作用下化學修飾第二材料或另外促進自中間晶圓表面移除第二材料之成份的工作液體可與研磨物件聯合使用。
以下非限制性描述例示本揭示案特定實施例之各種方法。藉由採用三維研磨物件之球面平坦化法最終獲得對藉由鑲嵌法所形成之金屬管線、襯墊及通道的描繪。平坦化法係藉由使待平坦化之晶圓暴露表面與本揭示案研磨物件表面上之複數個研磨複合物接觸且在維持接觸的同時相對移動晶圓及研磨物件來實現。可使用包含在研磨物件作用下化學修飾第二材料或另外促進自第一晶圓材料表面移除第二材料之成份的工作液體。持續平坦化製程直至晶圓之暴露外表面包含至少一個暴露第二材料區及一個本文中所定義之暴露第一材料區。無法充分持續平坦化製程可導致導電材料對介電質之不當橋接。持續平坦化製程過遠至第一大體上球面平坦表面以外將招致切割一或多個導電管線的危險。在特定情況下,當暴露第一材料表面且第一材料之移除速率不同於第二材料之移除速率時,第二材料之移除速率變得較慢或停止。本揭示案之研磨物件經設計以於包含一種以上材料(其中各材料具有不同移除速率)之晶圓上產生大體上平坦之表面。本揭示案之研磨物件經設計以在平坦化期間最小地刮擦此等材料之表面。
介電質及金屬之作用可逆轉;第一及第二材料不必需分別限於介電質或導體;或甚至限於介電質及導體之至少一 者。本揭示案方法之一實施例可以晶圓開始,該晶圓在經修整半導體裝置之單一層內具有兩種以上材料,一種材料直接下伏於第一材料或第二材料之特定區域,該材料可為第一材料、第二材料、第三材料或材料組合;關於最外部之溝槽或凹點底部的聚結組界定第二大體上球面平坦之表面,若兩個或兩個以上該等組存在於單一層內之不同深度處;在起始該方法的平坦化製程之前,在逐漸平坦化之最終製造層表面上的每一點處不存在第二材料之表面;及具有除矽以外之材料的基底。圖1為適合用於根據本揭示案特定實施例之方法中的圖案化晶圓10之代表圖。為清楚起見,已省略諸如摻雜區、主動裝置、磊晶層、載體及場氧化層之已知特徵。晶圓10具有通常由諸如單晶矽、砷化鎵及此項技術中已知之其他材料之任何適當材料製成的基底11及複數個構形特徵。障壁層或黏著層13(通常為氮化鈦或鈦)覆蓋基底層及基底特徵。其他障壁層可包括鉭、氮化鉭或氮化矽。
金屬導體層14覆蓋障壁層13之前表面及基底特徵。可使用各種金屬或金屬合金,諸如鋁、銅、鋁銅合金、鎢、銀或金。通常藉由將連續金屬層沈積於障壁層13上來塗覆金屬層。接著移除過量金屬以形成圖2中所說明之所需金屬互聯圖案15。金屬移除提供離散金屬互聯表面15及離散特徵表面16,其較佳提供無刮痕或否則將干擾經修整半導體裝置之操作性之其他缺陷的平坦表面。
在另一例示性實施例中,提供一種用於修飾基板表面 (諸如半導體晶圓)以在基本上不移除氮化矽下層之任何部分的情況下大體上完全移除上層且將氮化矽下層大體上完全暴露為大體上完整層之方法,該表面包括上覆於障壁材料(例如氮化矽(Si3 N4 ))下層之諸如介電氧化物(例如二氧化矽(SiO2 ))之介電材料的上層表面。
在一例示性實施例中,該方法包含:a.提供至少包含具有經蝕刻以形成圖案之表面之第一材料,展開於第一材料表面之至少一部分上之第二材料,及展開於第二材料表面之至少一部分上之第三材料的晶圓;b.在大體上不含鬆散研磨顆粒且包括水,展現至少一種大於7之pKa 的pH緩衝劑及界面活性劑之含水工作液體(該pH緩衝劑包括鹼性pH調節劑及酸性錯合劑,且該工作液體展現約7至約12之pH值)存在下,使晶圓之第三材料與複數個固定於研磨物件之三維研磨複合物接觸,該等三維研磨複合物包含複數個固定且分散於黏合劑中之研磨顆粒;且c.在第三材料與複合個研磨複合物接觸的同時相對移動晶圓,直至晶圓之暴露表面大體上平坦且包含至少一個暴露第三材料區及一個暴露第二材料區。
在另一特定例示性實施例中,該方法包含CMP STI法,其包括:a)提供至少包含具有經蝕刻以形成圖案之表面的導電材料,展開於導電材料表面之至少一部分上之障壁材料及展開於障壁材料表面之至少一部分上之介電材料的晶圓; b)在大體上不含鬆散研磨顆粒且包括水,展現至少一種大於7之pKa 之pH緩衝劑及界面活性劑的含水工作液體(該pH緩衝劑包括鹼性pH調節劑及酸性錯合劑,且該工作液體展現約7至約12之pH值)存在下,使晶圓之介電材料與複數個固定於研磨物件上之三維研磨複合物接觸,該等三維研磨複合物包含複數個固定且分散於黏合劑中之研磨顆粒;且c)在介電材料與複數個研磨複合物接觸的同時相對移動晶圓,直至晶圓之暴露表面大體上平坦且包含至少一個暴露介電材料區及一個暴露障壁材料區。
在一特定例示性實施例中,介電材料包含氧化矽且障壁材料包含氮化矽。
設備
圖3示意性地說明一種修飾適用於根據本揭示案之方法之晶圓的設備。此機器之許多變型及/或許多其他機器可適用於本揭示案之特定實施例。此項技術中已知與拋光襯墊及鬆散研磨漿料一起使用的此類型設備及許多變型及其他類型之設備。合適市售設備之實例為可購自Phoenix,AZ之IPEC/WESTECH之CMP(化學機械法)機器。替代CMP機器可購自STRASBAUGH或SPEEDFAM。
設備30包含連接至馬達(未圖示)之頭部單元31。夾盤32自頭部單元31延伸;該夾盤之實例為水平環夾盤。夾盤32之設計較佳容納不同力及樞軸,以使得研磨物件於晶圓上提供所需表面修整及平坦度。然而,夾盤可使晶圓在平坦 化期間樞轉或可不使其樞轉。
夾盤32末端為晶圓固持器33。晶圓固持器33將晶圓34緊固於頭部單元31上且亦防止晶圓在加工期間移位。晶圓固持器經設計以容納晶圓且可(例如)為圓形、橢圓形、長方體、正方形、八角形、六角形或五角形。
在一些情況下,晶圓固持器包括兩個部件:可選扣環及晶圓支撐襯墊。扣環一般可為配合於半導體晶圓外周周圍的圓形裝置。晶圓支撐襯墊可由一或多個元件(例如聚胺基甲酸酯發泡體)來製造。
晶圓固持器33在環部分35處沿半導體晶圓34延伸。環部分(其為可選的)可為獨立件或可與固持器33為整體。在一些情況下,晶圓固持器33不延伸至晶圓34以外,以使得晶圓固持器33不觸碰或接觸研磨物件42。在其他情況下,晶圓固持器33確實延伸至晶圓34以外,以使得晶圓固持器確實觸碰或接觸研磨複合物,在此情況下,晶圓固持器可影響研磨複合物之特徵。舉例而言,晶圓固持器33可在加工期間"調節"研磨物件且移除研磨物件表面之最外部分。
晶圓固持器或扣環可由使研磨物件賦予晶圓所需修飾度之任何材料製成。合適材料之實例包括聚合材料。
晶圓固持器33旋轉之速度將視特定設備、製程條件、研磨物件及所需晶圓修飾標準而定。然而,一般而言,晶圓固持器33以約2至約1,000 rpm之間,通常約5至約500 rpm之間,較佳約10至約300 rpm之間且更佳約20至約100 rpm之間旋轉。若晶圓固持器旋轉過慢或過快,則可能不達成 所需之切割速率。
晶圓固持器33及/或基底42可以圓形方式、螺旋方式、不均勻方式、八字形橢圓方式及無規運動方式旋轉。晶圓固持器或基底亦可諸如藉由經固持器或基底傳遞超音振動而振盪或振動。
與目前採用之100至500 mm直徑晶圓一起使用之研磨物件通常將具有約10至200 mm之間,較佳約20至150 mm之間,更佳約25至100 mm之間之直徑。研磨物件可以約5至10,000 rpm之間,通常約10至1,000之間,約10至250 rpm之間且較佳10 rpm至60 rpm之間旋轉。較佳地,晶圓及研磨物件以相同方向旋轉。然而,晶圓及研磨物件亦可以相反方向旋轉。
晶圓表面34與晶圓固持器33之間的界面較佳應相對平坦且均勻以確保達成所需平坦度。儲集器37固持經由管道38泵入晶圓表面與附著至基底42之研磨物件41之間的界面中之工作液體39(以下更詳細描述)。較佳地,在平坦化期間,工作液體持續流向研磨物件與晶圓表面之間的界面。液體流動速率將部分地視所需平坦化標準(切割速率、表面修整及平坦度)、特定晶圓構造及所暴露之金屬化學物質而定。液體流動速率通常在每分鐘約10至1,000毫升,較佳每分鐘10至500毫升,及每分鐘約25至250毫升之間的範圍內。
在本揭示案之修飾製程期間,通常將研磨物件緊固於用作研磨物件支撐襯墊之下部襯墊43上。下部襯墊部分地提 供使得研磨物件可有效切割所暴露晶圓表面的剛度及使得研磨物件均勻符合暴露晶圓表面的整合性。此整合性對於達成橫穿整個暴露晶圓表面所需之表面修整而言為重要的。因此,特定下部襯墊(亦即下部襯墊之物理特性)之選擇應對應於研磨物件,以使得研磨物件提供所需之晶圓表面特徵(切割速率、表面修整及平坦度)。
合適下部襯墊可由諸如金屬或聚合發泡體、橡膠及塑膠片之任何所需材料製成,且下部襯墊可為複合材料。具有彈性聚碳酸酯層及整合聚胺基甲酸酯發泡體層的較佳雙組份層狀下部襯墊係報導於美國專利第5,692,950號中。
用以將研磨物件附著至下部襯墊之構件較佳在平坦化期間固持平坦且硬質之研磨物件。較佳附著構件為壓敏性黏著劑(例如薄膜或條帶形式)。適用於此目的之壓敏性黏著劑包括基於膠乳縐膠片、松香、丙烯酸系聚合物及共聚物(例如聚丙烯酸丁酯及其他聚丙烯酸酯)、乙烯基醚(例如聚乙烯基正丁基醚)、醇酸樹脂黏著劑、橡膠黏著劑(例如天然橡膠、合成橡膠、氯化橡膠)及其混合物的彼等黏著劑。較佳使用習知技術將壓敏性黏著劑層壓或塗覆於研磨物件之背側。另一類型之壓敏性黏著劑塗層係進一步說明於美國專利第5,141,790號中。
亦可使用勾狀及環狀類型之附著系統將研磨物件緊固於下部襯墊上。環狀織物可位於研磨物件之背側上且勾狀物位於下部襯墊上。或者,勾狀物可位於研磨物件之背側上且環狀物位於下部襯墊上。勾狀及環狀類型之附著系統係 報導於美國專利第4,609,581號、第5,254,194號、第5,505,747號及PCT WO 95/19242中。真空壓板之用途係揭示於美國專利第5,593,344號中。
本揭示案之製程或方法可經修改以使晶圓修飾最佳化。研磨物件可包括使操作者可穿過研磨物件觀看且檢視與形成複數個研磨複合物之層相鄰之晶圓的光學窗或開口。此外,可使用使得可監控晶圓拋光製程(諸如偵測變化之基板電學特徵、變化之機械扭矩或阻力或在平坦化期間產生之變化之雜訊)之習知端點偵測法以使得使用包含複數個三維研磨複合物之研磨物件的本揭示案之方法最佳化。亦期望藉由固定研磨物件使依賴於對來自拋光操作之排出物進行分析的方法良好運作。期望排出物中不存在大量游離研磨顆粒簡化該分析且可能增強該等方法之總體有效性。該等方法係於EP 824995 A及美國專利第Re.34,425號、第5,036,015號、第5,069,002號、第5,222,329號、第5,244,534號、第4,793,895號、第5,242,524號、第5,234,868號、第5,605,760號及第5,439,551號中論述。
如美國專利第5,20,283號、第5,177,908號、第5,234,867號、第5,297,364號、第5,486,129號、第5,230,184號、第5,245,790號及第5,562,530號中所論述之關於產生橫穿所拋光標的物表面及/或橫穿拋光襯墊表面的均勻磨損速率之方法可適用於與本揭示案之研磨物件一起使用。本質上與特定研磨表面無關之晶圓載體及晶圓支撐/附著構件的習知結構可與本揭示案之刻花三維研磨複合物一起使用。儘 管刻花三維研磨複合物之研磨表面一般不需要通常與漿料/襯墊組合一起採用之常規調整,但其可有利地藉由此項技術中已知之任何合適襯墊調整方法而於使用期間或使用之間經調整或整修以提供經修飾之優越初始表面或移除所積聚之沈積物。如美國專利第5,593,344號中所述,亦可藉由替代刻花三維研磨複合物之帶或滾筒及適當工作液體來採用晶圓平坦化製程的變型,該晶圓平坦化製程之變型採用薄片襯墊材料之傳動帶或供應滾筒以及漿料。本質上與特定研磨表面之相互作用無關之拋光相關技術(諸如晶圓載體及晶圓支撐/附著構件的結構)可與包含本揭示案之刻花三維研磨複合物之研磨物件一起使用。
操作條件
影響晶圓加工之變數包括對晶圓表面與研磨物件之間之適當接觸壓力的選擇;液體介質類型;晶圓表面與研磨物件之間的相對速度及相對運動以及液體介質之流動速率。此等變數相互相關,且係基於所加工之個別晶圓表面來選擇。
一般而言,由於對單一半導體晶圓而言可存在多個加工步驟,故半導體製造工業期望該方法將提供相對高之材料移除速率。材料切割速率應為每分鐘至少100埃,較佳每分鐘至少500埃,更佳每分鐘至少1,000埃,且最佳每分鐘至少1500埃。在某些情況下,切割速率高達每分鐘至少2,000埃,且甚至每分鐘3,000或4,000埃可為有利的。研磨物件之切割速率可視機器條件及所加工之晶圓表面類型而 變化。
然而,儘管一般需要具有高切割速率,但必須選擇切割速率以使其不損害晶圓表面之所需表面修整及/或構形。
可藉由已知方法來評估晶圓之表面修整。一種較佳方法為量測晶圓表面之Rt值,其提供"粗糙度"之量值且可指示刮痕或其他表面缺陷。參見例如第2章,RST PLUS Technical Reference Manual,Wyko Corp.,Tucson,AZ。晶圓表面較佳經修飾以產生不大於約4,000埃,更佳不大於約2,000埃,且甚至更佳不大於約500埃之Rt值。
通常使用諸如購自Wyko Corp.之Wyko RST PLUS干涉計之干涉計或TENCOR表面輪廓儀來量測Rt。亦可藉由暗視野顯微術來量測刮痕偵測。可藉由原子力顯微術來量測刮痕深度。極為需要無刮痕及缺陷之表面。
研磨物件與晶圓表面之間之界面壓力(亦即接觸壓力)通常小於約30 psi(約206.84 kPa),較佳小於約25 psi(約172.37 kPa),更佳小於約15 psi(約103.42 kPa)。已發現,用於本揭示案方法之研磨物件在例示界面壓力下提供良好之切割速率。又,在平坦化製程內可使用兩種或兩種以上製程條件。舉例而言,第一加工區段可包含比第二加工區段高之界面壓力。在平坦化製程期間,晶圓及/或研磨物件之旋轉及移動速度亦可變化。
工作液體
較佳在基於晶圓表面組成而選擇之工作液體存在下進行晶圓表面加工。在一些應用中,工作液體通常包含水,此 水可為自來水、蒸餾水或去離子水。工作液體與研磨物件組合經由化學機械拋光法幫助加工。通常,工作液體展現4至12之pH值。在特定應用中,較窄範圍之pH值可為較佳,例如大於7至約11。工作液體之pH值可經有利地調節以用於特定晶圓表面材料及CMP法。舉例而言,為以高移除速率移除表面氧化物材料,pH值一般不小於約7,更佳大於約8,最佳大於約9。用於移除表面氧化物材料之pH值的上限一般不大於約12,較佳小於約11,且更佳為約10.5。
一種特別適用之工作液體為包括各種不同添加劑之水溶液。合適添加劑包括pH調節劑(例如酸或鹼)以及錯合劑、氧化劑或鈍化劑、界面活性劑、濕潤劑、緩衝劑、防銹劑、潤滑劑、皂類或此等添加劑之組合。添加劑亦可包括與晶圓表面上之第二材料(例如金屬或金屬合金導體)反應之藥劑,諸如氧化劑、還原劑、鈍化劑或錯合劑。
舉例而言,在拋光之化學部分期間,可使工作液體與外部或暴露晶圓表面反應。接著,在加工之機械部分期間,研磨物件可移除此反應產物。舉例而言,在金屬表面加工期間,工作液體較佳為包括諸如氧化材料或氧化劑之化學蝕刻劑的水溶液。舉例而言,可在工作液體中之氧化劑與銅反應時進行銅之化學拋光以形成氧化銅之表面層。在研磨物件自晶圓表面移除此金屬氧化物時進行機械加工。或者,可首先將金屬以機械方式移除且接著使其與工作液體中之成份反應。
其他適用之化學添加劑包括錯合劑,其可為蝕刻劑或pH緩衝劑,例如包含與酸性錯合劑配對之鹼性pH調節劑的pH緩衝劑。此等錯合劑可以類似於先前所述氧化劑之方式起作用,因為錯合劑與晶圓表面之間的化學相互作用產生更易於藉由研磨複合物之機械作用而移除的層。
當晶圓包含銅時,可使用如Coombs,Printed Circuits Handbook,第4版 中所述之特定銅蝕刻劑。化學蝕刻劑通常含有具有或不具有酸之氧化劑。合適化學蝕刻劑包括硫酸;過氧化氫;氯化銅;銨、鈉及鉀之過硫酸鹽;氯化鐵;鉻酸-硫酸;鐵氰化鉀;硝酸及其組合。合適錯合劑之實例包括諸如氫氧化銨之鹼性氨及氯化銨及其他銨鹽及添加劑,碳酸銨、硝酸鐵及其組合。可添加許多添加劑以用於穩定、表面處理或蝕刻速率修飾劑。已知一些添加劑提供各向同性蝕刻,亦即在所有方向上相同之蝕刻速率或移除速率。
可併入工作液體中之合適氧化劑或漂白劑包括過渡金屬錯合物,諸如鐵氰化物、EDTA鐵銨、檸檬酸鐵銨、檸檬酸鐵、草酸鐵銨、檸檬酸銅、草酸銅、葡糖酸銅、甘胺酸銅、酒石酸銅及其類似物,其中錯合劑通常為多齒胺、羧酸或兩者之組合。許多配位化合物係描述於Cotton & Wilkinson,Advanced Inorganic Chemistry,第5版 中。可使用具有適用於氧化金屬銅及/或氧化亞銅之氧化電位的彼等配位化合物,諸如包括釩、鉻、錳、鈷、鉬及鎢之配位化合物。
其他合適氧化劑包括鹵素之含氧酸及其鹽,諸如鹼金屬鹽。此等酸係描述於Cotton & Wilkinson,Advanced Inorganic Chemistry,第5版 中。此等酸之陰離子通常含有鹵原子,諸如:氯、溴或碘。此等鹵化物與一個、兩個、三個或四個氧原子鍵結。實例包括:氯酸(HOClO2 );亞氯酸(HOClO);次氯酸(HOCl)及其各別之鈉鹽。舉例而言,氯酸鈉、亞氯酸鈉及次氯酸鈉。已知類似之溴及碘類似物。
對於加工含有銅之晶圓而言,較佳之氧化劑包括硝酸、過氧化氫及鐵氰化鉀。其他合適氧化劑係列舉於West等人,Copper and Its Alloys ,(1982)及Leidheiser,The Corrosion of Copper,Tin,and Their Alloys ,(1971)中。氧化劑於去離子水中之濃度通常為約0.01至50重量%,較佳為0.02至40重量%。
銅金屬之氧化及溶解可藉由添加錯合劑:銅之配位體及/或螯合劑而增強。如一般於Cotton & Wilkinson;及Hathaway於Comprehensive Coordination Chemistry,第5卷 ;Wilkinson,Gillard,McCleverty編中所述,此等化合物可與銅鍵結來增加銅金屬或氧化銅於水中之溶解度。可添加至工作液體中或用於工作液體中之合適添加劑包括亦稱作配位體之單齒錯合劑,諸如氨、胺、鹵化物、類鹵化物、羧酸鹽、硫醇鹽及其類似物。可添加至工作液體中之其他添加劑包括多齒錯合劑,通常為多齒胺及多齒羧酸。合適多齒胺包括乙二胺、二伸乙基三胺、三伸乙基四胺或 其組合。合適多齒羧酸及/或其鹽包括檸檬酸、酒石酸、草酸、葡糖酸、氮基乙酸或其組合。兩種單齒及多齒錯合劑之組合包括胺基酸,諸如甘胺酸及常見分析性螯合劑,諸如EDTA-乙二胺四乙酸及其許多類似物。
其他螯合劑包括:聚磷酸鹽、1,3-二酮、胺基醇、芳族雜環鹼、酚、胺基苯酚、肟、席夫鹼(Schiff base)及含硫化合物。
類似於加工含有銅之晶圓,較佳錯合劑為氫氧化銨及碳酸銨。錯合劑於去離子水中之濃度通常為約0.01至50重量%,較佳為0.02至40重量%。可將錯合劑與氧化劑組合。其他合適錯合劑係列舉於West等人,Copper and Its Alloys ,(1982)及Leidheiser,The Corrosion of Copper,Tin,and Their Alloys ,(1971)中。
銅及其合金由於其優良之耐腐蝕性而用於許多環境及應用中。與溶液接觸之銅表面的性質與溶液之pH值以及銅之電化學電位相關。銅傾向於在低pH值及高pH值下腐蝕。在接近中性pH值及略微鹼性之pH值下,藉由氧化銅塗層使銅鈍化:此等塗層可為氧化亞銅以及氧化銅。對於熟習研磨表面處理技術者而言,表面(亦即金屬或金屬氧化物)之性質可對研磨劑之作用具有顯著效應。因此,拋光溶液之pH值以及可用作防腐劑及/或鈍化劑之添加劑可為重要的。
可將緩衝劑添加至工作液體中來控制pH值且因此緩和由來自沖洗水之次要稀釋物改變之pH值及/或緩和視來源而 定之去離子水pH值的差異。如上所述,pH值可對銅表面之性質及銅移除速率具有顯著效應。最佳緩衝劑與半導體、CMP後清潔需要以及具有降低之潛在雜質(諸如鹼金屬)相符。此外,最佳緩衝劑可經調節以跨越自酸性至接近中性至鹼性之pH值範圍。多質子酸用作緩衝劑,且當以氫氧化銨完全或部分中和來產生銨鹽時,其為包括磷酸-磷酸銨、聚磷酸-聚磷酸銨;硼酸-四硼酸銨;硼酸-五硼酸銨之系統的較佳代表性實例。
其他三質子酸質子傳遞物及多質子酸質子傳遞物及其鹽(尤其為銨鹽)係較佳的。其可包括基於以下質子傳遞物之銨離子緩衝劑系統,所有質子傳遞物均具有至少一種大於7之pKa :天冬胺酸、麩胺酸及胺基酸,包括(例如)丙胺酸、脯胺酸、甘胺酸、組胺酸、離胺酸、精胺酸、鳥胺酸、半胱胺酸、酪胺酸及由兩種胺基酸形成之二肽,例如肌肽(由丙胺酸及組胺酸形成之二肽)。
用於金屬,尤其用於鋼及鍍鋅鋼之防腐劑為眾所熟知的。銅之防腐劑通常不涵蓋於關於防腐劑之通用本文中,但包含更專門化的技術。熟知且最廣泛使用之銅之抑制劑為苯并三唑及其稱作唑衍生物之衍生物,諸如甲苯三唑。已知銅尤其在中性或微鹼性pH值下在一定程度上經氧化亞銅鈍化。此外,已知磷酸鹽在用於鋅及鋼之鈍化塗料中。添加鈍化劑可保護尚未與研磨物件接觸之金屬表面區以使其免於被蝕刻劑過早過量移除,或控制氧化劑與暴露金屬表面反應的程度。鈍化劑之實例為苯并三唑。其他鈍化劑 係列舉於Leidheiser,The Corrosion of Copper,Tin,and Their Alloys ,(1971),第119-123頁中。鈍化劑之量及類型將部分地視所需平坦化標準(切割速率、表面修整及平坦度)而定。工作液體亦可含有諸如界面活性劑、濕潤劑、緩衝劑、防銹劑、潤滑劑、皂類及其類似物之添加劑。選擇此等添加劑以在不損害下伏半導體晶圓表面之情況下提供所需益處。舉例而言,為達成在平坦化期間減少研磨物件與半導體晶圓表面之間之摩擦的目的,工作液體中可包括潤滑劑。
工作液體中亦可包括無機微粒。此等無機微粒可有助於切割速率。該等無機微粒之實例包括:二氧化矽、氧化鋯、碳酸鈣、氧化鉻、二氧化鈰、鈰鹽(例如硝酸鈰)、石榴石、矽酸鹽及二氧化鈦。此等無機微粒之平均粒度應小於約1,000埃,較佳小於約500埃,且更佳小於約250埃。
儘管可將微粒添加至工作液體中,但較佳工作液體大體上不含無機微粒,例如不與研磨物件締合之鬆散研磨顆粒。較佳地,工作液體含有小於1重量%,較佳小於0.1重量%,且更佳0重量%之無機微粒。
一種合適工作液體包含螯合劑、氧化劑、離子型緩衝劑及鈍化劑。該工作液體可包含(以重量百分比計):3.3%過氧化氫;93.1%水;3.0%(NH4 )2 HPO4 ;0.5%(NH4 )3 檸檬酸鹽及0.1% 1-H-苯并三唑。通常,該溶液係用於拋光銅晶圓。另一合適工作液體包含氧化劑、酸及鈍化劑。該工作溶液可包含(以重量百分比計):15.0%過氧化氫,0.425% 磷酸,0.2% 1-H-苯并三唑,其中剩餘百分比為水。
在其他應用中,例如在將氧化物材料(例如二氧化矽)自晶圓表面移除中,目前較佳地工作液體為包括pH調節劑(例如酸或鹼),pH緩衝劑(例如強酸或強鹼及其共軛弱鹼或弱酸)、錯合劑(例如與另一種化學物質(例如金屬離子)螯合或形成化學錯合物之化學物質)中之一或多者的水溶液。
在自展開於障壁材料(例如氮化物材料,諸如氮化矽)上之表面選擇性地移除介電質(例如氧化物材料,諸如二氧化矽)的一些應用中,目前較佳地使用包含與酸性錯合劑配對之鹼性pH調節劑的pH緩衝劑與界面活性劑的水溶液。鹼性pH調節劑可選自鹼金屬氫氧化物(例如氫氧化鈉、氫氧化鉀、氫氧化鋰及其類似物)、鹼土金屬氫氧化物(例如氫氧化鈣、氫氧化鎂、氫氧化鋇及其類似物)、氫氧化銨及其混合物。在一些例示性實施例中,鹼性pH調節劑一般係以足以獲得一般不小於約7,更佳大於約8,最佳大於約9;且一般不大於約12,較佳小於約11且更佳小於約10之pH值的量存在。
酸性錯合劑較佳為多齒酸性錯合劑,更佳為胺基酸或由胺基酸形成之二肽中之至少一者。合適胺基酸包括丙胺酸、脯胺酸、甘胺酸、組胺酸、離胺酸、精胺酸、鳥胺酸、半胱胺酸、酪胺酸及其組合。較佳酸性多齒錯合劑為胺基酸堅果糖,更佳為L-脯胺酸。酸性錯合劑一般係以工作液體之重量計約0.1% w/w(亦即以工作液體計之重量百 分比),更佳至少約1% w/w,甚至更佳至少約2% w/w,且最佳約2.5% w/w,且一般不超過約5% w/w,更佳不超過4% w/w,且甚至更佳小於約3% w/w之量存在。
界面活性劑一般為水溶性界面活性劑,其中非離子性界面活性劑係較佳的。一般而言,非離子性界面活性劑展現以界面活性劑分子中親水物之重量百分比除以5計算,至少約4,更佳至少約6,甚至更佳至少約8且最佳至少約10之經計算親水-親油平衡(亦即HLB)。所計算之HLB一般不大於20。在某些實施例中,界面活性劑為氟化學界面活性劑,亦即該界面活性劑分子包含一或多個氟原子。
非離子性界面活性劑可有利地選自直鏈一級醇乙氧化物、二級醇乙氧化物、支鏈二級醇乙氧化物、辛基酚乙氧化物、炔系一級醇乙氧化物、炔系一級二醇乙氧化物、烷二醇、具羥基末端之氧化乙烯-氧化丙烯無規共聚物、氟脂族聚合酯及其混合物。一般而言,非離子性界面活性劑可以至少約0.025% w/w,更佳至少約0.05% w/w,甚至更佳約0.1% w/w之量存在於工作液體中。工作液體中界面活性劑濃度之上限一般為以工作液體之重量計至多約1% w/w,更佳至多約0.5% w/w,甚至更佳至多約0.2%。在特定較佳實施例中,界面活性劑可以至少臨界微胞濃度(CMC)且不大於臨界微胞濃度約五倍之濃度存在。
在一例示性之本發明較佳實施例中,工作液體包含足以產生約10至約11之pH值之量的鹼性pH調節劑,諸如氫氧化銨;以工作液體計約2% w/w至約4% w/w之量的酸性錯 合劑,諸如L-脯胺酸,及以工作液體重量計約0.05% w/w至約1% w/w之量的界面活性劑,諸如乙氧化醇(例如可購自Dow Chemical Co.,Midland,MI之TergitolTM 15-S-7)。
在特定例示性實施例中,選擇為氟化學界面活性劑之界面活性劑。在其他例示性實施例中,選擇為非離子性界面活性劑之界面活性劑,且工作液體另外包括水、聚電解質及包含鹼性pH調節劑及酸性錯合劑之緩衝劑。在該等實施例中,較佳pH值一般可為約7至約12。
工作液體之量較佳足以幫助將金屬或金屬氧化物沈積物自表面移除。在許多情況下,存在足夠來自鹼性工作液體及/或化學蝕刻劑之液體。然而,在某些情況下,較佳除第一工作液體以外,在平坦化界面上存在第二液體。此第二液體可與來自第一液體之液體相同,或其可不同。
固定研磨物件
固定研磨物件較佳為耐用的,例如固定研磨物件應能夠完成至少兩個,較佳至少5個,更佳至少20個且最佳至少30個不同晶圓。研磨物件可含有襯底。將研磨顆粒分散於黏合劑中以形成固定、黏附或接合於襯底上之刻花及三維研磨複合物。視情況,固定研磨物件無需具有獨立襯底。
在一些例示性實施例中,固定研磨物件包含塗佈於表面(固定研磨物件之表面)上之界面活性劑。在特定例示性實施例中,固定研磨物件包括複數個固定於研磨物件上之三維研磨複合物,該等三維研磨複合物包含複數個固定且分散於黏合劑中之研磨顆粒及安置於三維研磨複合物表面之 至少一部分上的界面活性劑。
在特定其他例示性實施例中,製備用於以化學機械拋光法修飾晶圓表面之包括界面活性劑之固定研磨物件的方法包括:a.提供具有包含複數個固定於研磨物件上之三維研磨複合物之表面的固定研磨物件,該等三維研磨複合物包含複數個固定且分散於黏合劑中之研磨顆粒;b.將表面暴露於溶劑中之界面活性劑溶液;c.使固定研磨物件乾燥以移除溶劑之至少一部分,藉此於表面之至少一部分上形成界面活性劑塗層。
在特定可選實施例中,在使用固定研磨物件拋光複數個晶圓表面時,以一定拋光速率重複步驟(a)-(c)直至獲得目標拋光速率,且其後拋光速率保持在目標拋光速率之約200/min以內。在特定例示性實施例中,溶劑可為含水溶劑(例如水),不含水溶劑(例如醇或其他有機溶劑)或含水溶劑與不含水溶劑之混合物(例如乙醇與水)。
研磨物件應較佳地提供良好之切割速率。此外,研磨物件較佳能夠產生具有可接受之平坦度、表面修整及最小表面凹陷之半導體晶圓。用以製造研磨物件之材料、所需刻花及方法均影響此等標準是否滿足。
在用於本文所述之本發明方法的研磨物件中,研磨複合物為"三維"的,以使得在縱穿研磨物件厚度之至少一部分中存在大量研磨顆粒。
研磨物件亦具有與其締合之"刻花",亦即其為"刻花"研 磨物件。其參考圖4中所說明及上述研磨物件可見,其中金字塔形複合物為凸起部分且其中金字塔之間之谷為凹陷部分。
凹陷部分可用作幫助在整個晶圓表面上分配工作液體之通道。凹陷部分亦可用作幫助自晶圓及研磨物件界面移除磨損研磨顆粒及其他碎片的通道。凹陷部分亦可防止此項技術中稱為"靜摩擦"之現象。若研磨複合物為平滑的而非刻花的,則研磨物件傾向於黏著於或嵌於晶圓表面。最後,凹陷部分允許研磨物件凸起部分上存在較高單位壓力,且因此有助於自研磨表面抹去釋出之研磨顆粒且暴露新研磨顆粒。
本揭示案之研磨物件可為圓形,例如研磨盤之形式。圓形研磨盤之外邊緣較佳為平滑的或者可為扇形。研磨物件亦可為卵形或任何多邊形(諸如三角形、正方形、長方形及其類似形狀)的形式。或者,在另一實施例中,研磨物件可為帶形式。可提供滾筒形式之研磨物件,在研磨技術中通常稱作研磨帶滾筒。一般而言,研磨帶滾筒在修飾製程期間經標記。研磨物件可在使用前、使用期間或使用後經打孔以提供穿過研磨塗層及/或襯底之開口以允許液體介質通過。
襯底
研磨物件可包括襯底。較佳地襯底厚度係極均勻的。若襯底厚度不足夠均勻,則晶圓均勻性可產生極大可變性。各種襯底材料適用於此目的,包括可撓性襯底及更硬質之 襯底。可撓性研磨襯底之實例包括聚合物薄膜、塗底漆之聚合物薄膜、金屬箔、布、紙、硫化纖維、非編織物及其經處理形式及其組合。一種較佳類型之襯底為聚合物薄膜。該等薄膜之實例包括聚酯薄膜、聚酯及共聚酯薄膜、微孔隙聚酯薄膜、聚醯亞胺薄膜、聚醯胺薄膜、聚乙烯醇薄膜、聚丙烯薄膜、聚乙烯薄膜及其類似物。聚合物薄膜襯底之厚度一般在約20至1,000微米之間,較佳在50至500微米之間且更佳在60至200微米之間之範圍內。
聚合物薄膜襯底與黏合劑之間亦應存在良好黏著性。在許多情況下,對聚合物薄膜襯底之經研磨複合物塗佈表面塗底漆以改良黏著性。底漆可涉及表面改變或應用化學類型之底漆。表面改變之實例包括電暈處理、UV處理、電子束處理、火焰處理及刮擦以增加表面積。化學類型底漆之實例包括美國專利第3,188,265號中報導之聚氯亞乙烯及乙烯丙烯酸共聚物;美國專利第4,906,523號中所報導之膠狀分散液;及美國專利第4,749,617號所報導中之氮丙啶型材料。
合適替代襯底包括經壓印之聚合物薄膜(例如聚酯、聚胺基甲酸酯、聚碳酸酯、聚醯胺、聚丙烯或聚乙烯薄膜)或經壓印之纖維素襯底(例如紙或其他非編織纖維素材料)。亦可將經壓印之襯底層壓至未經壓印之材料上以形成襯底。經壓印圖案可為任何刻花。舉例而言,圖案可為球錐台、金字塔、斜截棱錐、圓錐、立方體、塊體、桿狀及其類似物之形式。圖案亦可為六角形陣列、脊或晶格。 亦可能具有由諸如稜柱之幾何形狀製成之脊。
另一種替代襯底亦可為發泡襯底,例如聚合物發泡體,諸如聚胺基甲酸酯發泡體。將研磨複合物直接塗覆於下部襯墊之上表面係在本揭示案之範疇內。因此,使研磨複合物直接接合至下部襯墊上。
可將壓敏性黏著劑層壓至襯底之非黏著側。可將壓敏性黏著劑直接塗佈於襯底之背面。或者,壓敏性黏著劑可為層壓至襯底背面上之轉移帶。在本揭示案之另一態樣中,可將發泡體基板層壓至襯底。
研磨顆粒
研磨複合物包含研磨顆粒及黏合劑。黏合劑將研磨顆粒固定於研磨物件上,以使得在晶圓修飾製程期間,研磨顆粒不易於自研磨物件解離。研磨顆粒可均勻地分散於黏合劑中,或者研磨顆粒可為不均勻分散的。術語"分散"係指研磨顆粒分布於整個黏合劑中。一般較佳地,研磨顆粒係經均勻分散以使得所得研磨塗層提供更一致之修飾製程。
對於修飾或改善晶圓表面而言,精細研磨顆粒係較佳的。研磨顆粒之平均粒度可在約0.001至50微米,通常0.01至10微米之間的範圍內。較佳地,平均顆粒係小於約5微米,更佳小於約3微米。在某些情況下,平均顆粒為約0.5微米或甚至約0.3微米。研磨顆粒之粒度通常係藉由研磨顆粒之最長尺寸來量測。在幾乎所有情況下,粒度將存在一定範圍或分布。在某些情況下,較佳緊密控制粒度分布以使得所得研磨物件於晶圓上提供極為一致之表面修整。 研磨顆粒亦可以研磨劑聚結體之形式存在。聚結體中之研磨顆粒可藉由聚結黏合劑固持在一起。或者,可藉由顆粒間吸引力將研磨顆粒接合在一起。
合適研磨顆粒之實例包括經融合之氧化鋁、經熱處理之氧化鋁、白色經融合之氧化鋁、多孔氧化鋁、過渡氧化鋁、氧化鋯、氧化錫、二氧化鈰、融合氧化鋁氧化鋯或以氧化鋁為主之溶膠凝膠衍生之研磨顆粒。氧化鋁研磨顆粒可含有金屬氧化物修飾劑。以氧化鋁為主之溶膠凝膠衍生之研磨顆粒的實例可見於美國專利第4,314,827號、第4,623,364號、第4,744,802號、第4,770,671號及第4,881,951號中。
對於含有鋁之晶圓表面而言,較佳研磨顆粒為α氧化鋁、χ氧化鋁及其他過渡型氧化鋁。對於含有銅之半導體晶圓而言,較佳研磨顆粒為α氧化鋁。α氧化鋁研磨顆粒可為經融合之氧化鋁研磨顆粒。較佳形式之精細α氧化鋁顆粒為具有內部孔隙之精細α氧化鋁顆粒。多孔氧化鋁顆粒通常係藉由將多孔過渡氧化鋁顆粒在將其轉化為α形式之溫度下加熱歷時較短時段而形成。此α氧化鋁轉化始終涉及表面積之顯著減低,但若將α氧化鋁顆粒暴露於轉化溫度歷時較短時段,則所得顆粒將含有內部孔隙。此等顆粒中之孔或空隙比過渡氧化鋁顆粒中之彼等孔或空隙粗糙得多。而在過渡氧化鋁之情況下,孔直徑在約1至約30 nm之範圍內,而多孔α氧化鋁顆粒中之孔在約40至約200 nm之範圍內。轉化為α氧化鋁之此轉化所需時間視氧化鋁之純 度及過渡氧化鋁之粒度及結晶度而定。一般而言,將過渡氧化鋁在1,000至1400℃之溫度範圍內加熱數十秒至數分鐘。此轉化製程之解釋係由Wefers等人報導於Alcoa Company of America公開之Oxides and Hydroxides of Aluminum (1987)中。小於一微米之α氧化鋁研磨顆粒之商業來源可購自Praxair Surface Technologies of Indianapolis,IN。χ氧化鋁顆粒可為藉由煅燒諸如三水合氧化鋁之水合氧化鋁而形成的多孔χ氧化鋁顆粒。三水合氧化鋁研磨顆粒之商業來源為Huber Engineered Minerals,Norcross,GA。二氧化鈰研磨顆粒可購自Rhone Poulenc;Shelton,CT;Transelco,New York;Fujimi,Japan;Molycorp,Fairfield,NJ;American Rar Ox,Chaveton City,MA及Nanophase,Burr Ridge,IL。氧化鋁之來源為Alcan Chemicals、Alcan Aluminum Corporation、Cleveland,OH及Condea Chemie GMBH、Hamburg,Germany。二氧化鈰研磨顆粒可基本上不含修飾劑或摻雜劑(例如其他金屬氧化物)或可含有修飾劑及/或摻雜劑(例如其他金屬氧化物)。在某些情況下,此等金屬氧化物可與二氧化鈰反應。使用二氧化鈰與兩種或兩種以上金屬氧化物修飾劑之組合亦為可行的。此等金屬氧化物可與二氧化鈰反應以形成反應產物。
研磨物件亦可含有兩種或兩種以上不同類型研磨顆粒之混合物。研磨顆粒可具有不同硬度。在兩種或兩種以上不同研磨顆粒之混合物中,個別研磨顆粒可具有相同平均粒 度,或可具有不同平均粒度。
在某些情況下,較佳以添加劑修飾或處理研磨顆粒表面。此等添加劑可改良研磨顆粒於黏合劑前驅體中之分散性及/或改良與黏合劑前驅體及/或黏合劑之黏著性。研磨顆粒處理亦可改變且改良所處理之研磨顆粒的切割特徵。進一步處理亦可大體上降低黏合劑前驅體/研磨物件漿料的黏度。較低黏度亦允許將較高百分比之研磨顆粒併入由黏合劑前驅體及研磨顆粒所形成之研磨漿粒中。表面處理之另一潛在優勢為使研磨顆粒之聚結最小化。合適表面修飾添加劑之實例包括濕潤劑(有時稱作界面活性劑)及偶合劑。偶合劑可於黏合劑與研磨顆粒之間提供締合橋。合適偶合劑之實例包括矽烷、鈦酸酯及鋁鋯。市售偶合劑之實例包括來自OSI Specialties,Inc.,Danbury,CT之"A174"及"A1230"。用於二氧化鈰研磨顆粒之該偶合劑的另一實例為異丙基三異硬脂醯基鈦酸酯。商業濕潤劑之實例為可購自Byk Chemie,Wallingford,CT之Disperbyk 111及可購自ICI America Inc.,Wilmington,DE之FP4。存在各種方式將此等表面處理併入研磨物件中。舉例而言,在製造研磨物件期間可將表面處理劑直接添加至研磨漿料中。在另一模式中,可將表面處理劑在併入研磨漿料之前塗覆於研磨顆粒之表面上。
填充劑顆粒
研磨複合物可視情況含有填充劑顆粒。填充劑可改變研磨複合物之侵蝕度。在具有適當填充劑及量之某些情況 下,填充劑可減低研磨複合物之侵蝕度。相反地,在具有適當填充劑及量之某些情況下,填充劑可增加研磨複合物之侵蝕度。亦可選擇填充劑來降低研磨複合物之成本,改變漿料之流變學,及/或改變研磨複合物之研磨特徵。通常選擇填充劑以便不會不利地影響所需之修飾標準。適用於本揭示案特定實施例之填充劑的實例包括三水合氧化鋁、矽酸鎂、熱塑性顆粒及熱固性顆粒。其他各種填充劑包括無機鹽、硫、有機硫化合物、石墨、氮化硼及金屬硫化物。填充劑之此等實例意謂展示一些適用填充劑之代表且不意謂涵蓋所有適用填充劑。在某些情況下,較佳使用兩種或兩種以上不同粒度填充劑之摻合物。填充劑可為等徑或針狀的。填充劑可由如上文關於研磨顆粒所述之表面處理來提供。該等填充劑不應引起暴露表面之過度刮擦。
黏合劑
使用含有複數個分散於黏合劑中之研磨顆粒的研磨物件來修飾半導體之暴露晶圓表面。黏合劑之特定化學性質對於研磨物件之效能為重要的。舉例而言,若黏合劑"過硬",則所得研磨物件可於暴露金屬表面中產生較深且不可接受之刮痕。相反,若黏合劑"過軟",則所得研磨物件在修飾製程期間可不提供足夠之金屬切割速率,或可具有不良之物件耐久性。因此,選擇黏合劑來提供所需研磨物件特徵。
較佳黏合劑為自由基可固化黏合劑前驅體。此等黏合劑能夠在暴露於熱能或輻射能之後快速聚合。一種較佳自由 基可固化黏合劑前驅體之子集包括乙烯系不飽和黏合劑前驅體。該等乙烯系不飽和黏合劑前驅體之實例包括具有側位α、β不飽和羰基之胺基塑膠單體或寡聚物、乙烯系不飽和單體或寡聚物、丙烯酸酯化異氰脲酸酯單體、丙烯酸酯化胺基甲酸酯寡聚物、丙烯酸酯化環氧單體或寡聚物、乙烯系不飽和單體或稀釋劑、丙烯酸酯分散液及其混合物。術語丙烯酸酯包括丙烯酸酯及甲基丙烯酸酯。
本揭示案之研磨物件的黏合劑較佳係由有機黏合劑前驅體而形成。黏合劑前驅體較佳能夠充分流動以便可塗佈且接著凝固。凝固可藉由固化(例如聚合及/或交聯)及/或藉由乾燥(例如餾出液體)或簡單地經冷卻而達成。黏合劑前驅體可為有機溶劑性、水性或100%固態(意即大體上不含溶劑)組合物。熱塑性及熱固性聚合物或材料以及其組合均可用作黏合劑前驅體。
在許多情況下,研磨複合物係由研磨顆粒及黏合劑前驅體之混合物漿料而形成。研磨複合物可包含以重量計約1份研磨顆粒至95份研磨顆粒及5份黏合劑至99份黏合劑。較佳地,研磨複合物包含約30至85份研磨顆粒及約15至70份黏合劑。同樣,研磨複合物可包含以體積計具有0.2至0.8份研磨顆粒及0.2至0.8份黏合劑前驅體之研磨複合物。此體積比僅基於研磨顆粒及黏合劑前驅體且不包括襯底或可選填充劑或添加劑之體積組成。
黏合劑前驅體較佳為可固化有機材料(亦即能夠在暴露於熱及/或其他能源(諸如電子束、紫外光、可見光等)後, 或在添加化學催化劑、水份或引起聚合物固化或聚合之其他藥劑後隨時間而聚合及/或交聯的聚合物或材料)。黏合劑前驅體實例包括環氧聚合物、胺基聚合物或胺基塑膠聚合物,諸如烷基化脲-甲醛聚合物、三聚氰胺-甲醛聚合物及烷基化苯并胍胺-甲醛聚合物;包括丙烯酸酯及甲基丙烯酸酯之丙烯酸酯聚合物,諸如丙烯酸乙烯酯、丙烯酸酯化環氧樹脂、丙烯酸酯化胺基甲酸酯、丙烯酸酯化聚酯、丙烯酸酯化聚醚、乙烯基醚、丙烯酸酯化油及丙烯酸酯化聚矽氧;醇酸樹脂聚合物,諸如胺基甲酸酯醇酸樹脂聚合物、聚酯聚合物、反應性胺基甲酸酯聚合物;酚系聚合物,諸如可溶酚醛樹脂及酚醛清漆聚合物、酚系/乳膠聚合物;環氧聚合物,諸如雙酚環氧聚合物、異氰酸酯、異氰脲酸酯;聚矽氧烷聚合物,包括烷基烷氧基矽烷聚合物或反應性乙烯基聚合物。聚合物可為單體、寡聚物、聚合物或其組合之形式。
較佳胺基塑膠黏合劑前驅體之每個分子或每個寡聚物具有至少一個側位α、β不飽和羰基。此等聚合物材料係進一步描述於美國專利第4,903,440號(Larson等人)及第5,236,472號(Kirk等人)中。
乙烯系不飽和黏合劑前驅體包括含有碳原子、氫原子及氧原子且視情況含有氮原子及鹵素原子之單體及聚合化合物。氧原子或氮原子或二者一般存在於醚、酯、胺基甲酸酯、醯胺及脲基團中。乙烯系不飽和單體可為單官能性、雙官能性、三官能性、四官能性或甚至更高官能性,且包 括基於丙烯酸酯及甲基丙烯酸酯之單體。合適之乙烯系不飽和化合物較佳為由含有脂族單羥基或脂族多羥基之化合物與不飽和羧酸反應製成的酯,該等不飽和羧酸諸如丙烯酸、甲基丙烯酸、衣康酸、丁烯酸、異丁烯酸或順丁烯二酸。乙烯系不飽和單體之代表性實例包括甲基丙烯酸甲酯、甲基丙烯酸乙酯、苯乙烯、二乙烯基苯、丙烯酸羥基乙酯、甲基丙烯酸羥基乙酯、丙烯酸羥基丙酯、甲基丙烯酸羥基丙酯、丙烯酸羥基丁酯、甲基丙烯酸羥基丁酯、丙烯酸月桂酯、丙烯酸辛酯、丙烯酸己內酯、甲基丙烯酸己內酯、甲基丙烯酸四氫呋喃甲基酯、丙烯酸環己酯、丙烯酸硬脂醯酯、2-苯氧基丙烯酸乙酯、丙烯酸異辛酯、丙烯酸異冰片酯、丙烯酸異癸酯、單丙烯酸聚乙二醇酯、單丙烯酸聚丙二醇酯、乙烯基甲苯、二丙烯酸乙二醇酯、二丙烯酸聚乙二醇酯、二甲基丙烯酸乙二醇酯、二丙烯酸己二醇酯、二丙烯酸三乙二醇酯、2(2-乙氧基乙氧基)丙烯酸乙酯、丙氧基化三丙烯酸三羥甲基丙烷酯、三丙烯酸三羥甲基丙烷酯、三丙烯酸甘油酯、三丙烯酸異戊四醇酯、三甲基丙烯酸異戊四醇酯、四丙烯酸異戊四醇酯及四甲基丙烯酸異戊四醇酯。其他乙烯系不飽和材料包括羧酸之單烯丙酯、聚烯丙酯或聚甲基烯丙酯及醯胺,諸如鄰苯二甲酸二烯丙酯、己二酸二烯丙酯或N,N-二烯丙基己二醯胺。其他含氮乙烯系不飽和單體包括:參(2-丙烯醯氧基乙基)異氰脲酸酯、1,3,5-參(2-甲基丙烯醯氧基乙基)-s-三嗪、丙烯醯胺、甲基丙烯醯胺、N-甲基-丙烯醯胺、N,N-二甲基丙烯 醯胺、N-乙烯基吡咯啶酮或N-乙烯基-哌啶酮。
較佳黏合劑前驅體含有兩種或兩種以上丙烯酸酯單體之摻合物。舉例而言,黏合劑前驅體可為三官能性丙烯酸酯與單官能性丙烯酸酯單體之摻合物。一種黏合劑前驅體之實例為丙氧基化三丙烯酸三羥甲基丙烷酯與2(2-乙氧基乙氧基)丙烯酸乙酯之摻合物。多官能性丙烯酸酯與單官能性丙烯酸酯聚合物之重量比可在約1份至約90份多官能性丙烯酸酯至約10份至約99份單官能性丙烯酸酯之範圍內。
例如如美國專利第4,751,138號(Tumey等人)所述,由丙烯酸酯與環氧聚合物之混合物來調配黏合劑前驅體亦為可行的。
包括具有至少一個側位丙烯酸酯基之異氰脲酸酯衍生物及具有至少一個側位丙烯酸酯基之異氰酸酯衍生物的其他黏合劑前驅體係進一步描述於美國專利第4,652,274號(Boettcher等人)中。較佳異氰脲酸酯材料為參(羥基乙基)異氰脲酸酯之三丙烯酸酯。
其他黏合劑前驅體包括具羥基末端之異氰酸酯擴展之聚酯或聚醚的二丙烯酸胺基甲酸酯以及聚丙烯酸酯胺基甲酸酯或聚甲基丙烯酸酯胺基甲酸酯。市售丙烯酸酯化胺基甲酸酯之實例包括彼等以商標名"UVITHANE 782"可購自Morton Chemical之丙烯酸酯化胺基甲酸酯;可購自UCB Radcure Specialties,Smyrna,GA之"CMD 6600"、"CMD 8400"及"CMD 8805";來自Henkel Corp.,Hoboken,NJ之"PHOTOMER"樹脂(例如PHOTOMER 6010);來自UCB Radcure Specialties之"EBECRYL 220"(六官能性芳族胺基甲酸酯丙烯酸酯)、"EBECRYL 284"(以1,6-己二醇二丙烯酸酯稀釋之1200之脂族胺基甲酸酯二丙烯酸酯)、"EBECRYL 4827"(芳族胺基甲酸酯二丙烯酸酯)、"EBECRYL 4830"(以二丙烯酸四乙二醇酯稀釋之脂族胺基甲酸酯二丙烯酸酯)、"EBECRYL 6602"(以三丙烯酸三羥甲基丙烷乙氧基酯稀釋之三官能基芳族胺基甲酸酯丙烯酸酯)、"EBECRYL 840"(脂族胺基甲酸酯二丙烯酸酯)及"EBECRYL 8402"(脂族胺基甲酸酯二丙烯酸酯);及來自Sartomer Co.,Exton,PA之"SARTOMER"樹脂(例如SARTOMER 9635、9645、9655、963-B80、966-A80、CN980M50等)。
另一種黏合劑前驅體包括二丙烯酸酯環氧酯以及聚丙烯酸酯環氧酯或聚甲基丙烯酸酯環氧酯,諸如雙酚A環氧聚合物之二丙烯酸酯。市售丙烯酸酯化環氧樹脂之實例包括彼等以商標名"CMD 3500"、"CMD 3600"及"CMD 3700"可購自UCB Radcure Specialties之丙烯酸酯化環氧樹脂。
其他黏合劑前驅體亦可為丙烯酸酯化聚酯聚合物。丙烯酸酯化聚酯為丙烯酸與基於二元酸/脂族二醇之聚酯的反應產物。市售丙烯酸酯化聚酯之實例包括彼等以來自Henkel Corp.之商標名稱"PHOTOMER 5007"(六官能性丙烯酸酯)及"PHOTOMER 5018"(四官能性四丙烯酸酯);及來自UCB Radcure Specialties之"EBECRYL 80"(四官能性經改質聚酯丙烯酸酯)、"EBECRYL 450"(脂肪酸經改質聚酯 六丙烯酸酯)及"EBECRYL 830"(六官能性聚酯丙烯酸酯)已知之彼等丙烯酸酯化聚酯。
另一種較佳黏合劑前驅體為乙烯系不飽和寡聚物與單體之摻合物。舉例而言,黏合劑前驅體可包含丙烯酸酯官能性胺基甲酸酯寡聚物與一或多個單官能性丙烯酸酯單體的摻合物。此丙烯酸酯單體可為五官能性丙烯酸酯、四官能性丙烯酸酯、三官能性丙烯酸酯、二官能性丙烯酸酯、單官能性丙烯酸酯聚合物或其組合。
黏合劑前驅體亦可為如美國專利第5,378,252號(Follensbee)中所述之丙烯酸酯分散液。
除熱固性黏合劑以外,亦可使用熱塑性黏合劑。合適熱塑性黏合劑之實例包括聚醯胺、聚乙烯、聚丙烯、聚酯、聚胺基甲酸酯、聚醚醯亞胺、聚碸、聚苯乙烯、丙烯腈-丁二烯-苯乙烯嵌段共聚物、苯乙烯-丁二烯-苯乙烯嵌段共聚物、苯乙烯-異戊二烯-苯乙烯嵌段共聚物、縮醛聚合物、聚氯乙烯及其組合。
可使用視情況與熱固性樹脂摻合之水溶性黏合劑前驅體。水溶性黏合劑前驅體之實例包括聚乙烯醇、皮膠或水溶性纖維素醚,諸如羥丙基甲基纖維素、甲基纖維素或羥乙基甲基纖維素。此等黏合劑係報導於美國專利第4,255,164號(Butkze等人)中。
研磨複合物可視情況包括增塑劑。一般而言,添加增塑劑將增加研磨複合物之侵蝕度且軟化整個黏合劑組合物。在某些情況下,增塑劑將用作黏合劑前驅體之稀釋劑。增 塑劑較佳與黏合劑相容以使相分離最小化。合適增塑劑之實例包括聚乙二醇、聚氯乙烯、鄰苯二甲酸二丁酯、鄰苯二甲酸烷基苄酯、聚乙酸乙烯酯、聚乙烯醇、纖維素酯、矽油、己二酸酯及癸二酸酯、多元醇、多元醇衍生物、磷酸第三丁基苯基二苯酯、磷酸三甲苯酯、蓖麻油或其組合。鄰苯二甲酸酯衍生物為一種類型之較佳增塑劑。
在含有乙烯系不飽和單體及寡聚物之黏合劑前驅體的情況下,可使用聚合引發劑。實例包括有機過氧化物、偶氮化合物、醌類、亞硝基化合物、醯基鹵化物、腙類、巰基化合物、正哌喃離子化合物、咪唑類、氯三嗪、安息香、安息香烷基醚、二酮、苯酮或其混合物。合適市售紫外光活化光引發劑之實例具有以下商標名:諸如可購自Ciba Geigy Company之"IRGACURE 651"及"IRGACURE 184"及可購自Merck之"DAROCUR 1173"。另一種可見光活化光引發劑具有可購自Ciba Geigy Company之商標名"IRGACURE 369"。合適可見光活化引發劑之實例係報導於美國專利第4,735,632號。
合適引發劑系統可包括光敏劑。代表性光敏劑可具有羰基或三級胺基或其混合物。具有羰基之較佳光敏劑為二苯甲酮、苯乙酮、二苯基乙二酮、苯甲醛、鄰氯苯甲醛、黃嘌呤酮、噻噸酮、9,10-蒽醌或其他芳族酮。具有三級胺之較佳光敏劑為甲基二乙醇胺、乙基二乙醇胺、三乙醇胺、苯基甲基-乙醇胺或二甲基胺基乙基苯甲酸酯。市售光敏劑包括來自Biddle Sawyer Corp.之"QUANTICURE ITX"、 "QUANTICURE QTX"、"QUANTICURE PTX"、"QUANTICURE EPD"。
一般而言,光敏劑或光引發劑系統之量以黏合劑前驅體組份之重量計可為約0.01至10重量%,更佳0.25至4.0重量%。
此外,較佳在添加任何微粒材料(諸如研磨顆粒及/或填充劑顆粒)之前將引發劑(較佳均勻地)分散於黏合劑前驅體中。
一般而言,較佳將黏合劑前驅體暴露於輻射能,較佳暴露於紫外光或可見光以固化或聚合黏合劑前驅體。在某些情況下,特定研磨顆粒及/或特定添加劑將吸收紫外光及可見光,其可阻礙黏合劑前驅體之適當固化。其係(例如)關於二氧化鈰研磨顆粒而發生。使用含有磷酸鹽之光引發劑,尤其為含有醯基膦氧化物之光引發劑可使此問題最小化。該醯基磷酸鹽氧化物之實例為2,4,6-三甲基苄醯基二苯基膦氧化物,其係以商標名稱"LR8893"可購自BASF Corporation。市售醯基膦氧化物之其他實例包括可購自Merck之"DAROCUR 4263"及"DAROCUR 4265"。
當黏合劑係基於環氧樹脂或乙烯基醚時,可使用陽離子引發劑來引發聚合作用。陽離子引發劑之實例包括諸如芳基鋶鹽之鎓陽離子之鹽,以及諸如離子芳烴系統之有機金屬鹽。其他實例係報導於美國專利第4,751,138號(Tumey等人);第5,256,170號(Harmer等人);第4,985,340號(Palazotto)及第4,950,696號中。
亦可使用雙固化及雜交固化光引發劑系統。在雙固化光引發劑系統中,固化或聚合係經由相同或不同反應機制而於兩個階段中發生。在雜交固化光引發劑系統中,在暴露於紫外/可見或電子束輻射時,兩種固化機制同時發生。
研磨複合物可包括其他添加劑,諸如研磨顆粒表面修飾添加劑、鈍化劑、水溶性添加劑、水敏感性藥劑、偶合劑、填充劑、膨脹劑、纖維、抗靜電劑、反應性稀釋劑、引發劑、懸浮劑、潤滑劑、濕潤劑、界面活性劑、顏料、染料、UV穩定劑、錯合劑、鏈轉移劑、促進劑、催化劑或活化劑。選擇此等添加劑之量來提供所需特性。
可將水及/或有機溶劑併入研磨複合物中。選擇水及/或有機溶劑之量來達成黏合劑前驅體及研磨顆粒之所需塗層黏度。一般而言,應使水及/或有機溶劑與黏合劑前驅體相容。可在前驅體聚合之後移除水及/或溶劑,或其可保持與研磨複合物一起。
乙烯系不飽和稀釋劑或單體之實例可見於美國專利第5,236,472號(Kirk等人)中。在某些情況下,此等乙烯系不飽和稀釋劑為適用的,因為其傾向於與水相容。其他反應性稀釋劑係揭示於美國專利第5,178,646號(Barber等人)中。
研磨複合物組態
存在許多不同形式之三維刻花研磨物件。代表形式之實例係示意性說明於圖4、5、6及7中。
較佳研磨複合物可為精確定形的(如以上發明內容中所 定義)或不規則定形的,其中精確定形複合物係較佳的。
個別研磨複合物形狀可具有各種幾何固體中任一者之形式。通常,與襯底接觸之形狀基底具有比複合物末端大之表面積。複合物之形狀可選自多種幾何固體,諸如立方體、圓柱體、稜柱體、長方形、金字塔形、斜截棱錐、圓錐、半球、截頭圓錐、十字形或具有末端之柱樣十字部分。複合物金字塔可具有四側、五側或六側。研磨複合物亦可具有不同形狀之混合。研磨複合物可以列、螺旋、旋轉或晶格方式排列或可隨機置放。
形成研磨複合物之側可相對於襯底垂直,相對於襯底傾斜或以減低之寬度朝向末端逐漸變細。若該等側係逐漸變細的,則較易於將研磨複合物自模具或生產工具之空腔移除。錐角可在約1至75度,較佳約2至50度,更佳約3至35度且最佳約5至15度之間之範圍內。較小之角度係較佳的,因此其隨著複合物磨損而產生一致之標稱接觸面。因此,一般而言,錐角為足夠大以促進將研磨複合物自模具或生產工具移除之角度與足夠小以產生均勻橫截面積之角度之間的折衷。亦可使用末端橫截面大於後部橫截面之研磨複合物,儘管製造可能需要除簡單模製以外之方法。
各研磨複合物之高度較佳係相同的,但在單一研磨物件中可能具有不同高度之複合物。複合物之間關於襯底或底部之複合物高度一般可小於約2,000微米且更詳言之在約25至200微米之範圍內。
研磨複合物之基底可彼此毗鄰,或者相鄰研磨複合物之 基底可以某特定距離彼此分離。在某些實施例中,相鄰研磨複合物之間的物理接觸包括不超過各接觸複合物之垂直高度尺寸的33%。更佳地,毗鄰複合物之間物理接觸的量在各接觸複合物垂直高度之1%至25%的範圍內。此毗鄰之定義亦涵蓋相鄰複合物共享在複合物相對側壁之間接觸且延伸之相同研磨複合物底部或橋樣結構的排列。較佳地,底部結構具有不大於各相鄰複合物之垂直高度尺寸之33%的高度。研磨複合物底部係由用以形成研磨複合物之相同漿料形成。複合物在無插入複合物位於複合物中心之間所繪直線虛線上的意義上係"相鄰"的。較佳地,研磨複合物之至少部分彼此分離以便於在複合物之凸起部分之間提供凹陷區。
研磨複合物之直線間隔可在每直線公分約1個研磨複合物至每直線公分約100個研磨複合物的範圍內。直線間隔可不同,以使得一個位置處之複合物濃度大於另一位置處之複合物濃度。舉例而言,研磨物件中心處之濃度可最大。複合物之區域密度在每平方公分約1至10,000個複合物之範圍內。
使襯底區暴露亦為可行的,亦即研磨塗層不覆蓋襯底之整個表面區域。此類型之排列係進一步描述於美國專利第5,014,468號(Ravipati等人)中。
較佳將研磨複合物以預定圖案列於襯底上或以預定位置列於襯底上。舉例而言,在藉由在襯底與具有空腔之生產工具之間提供漿料而製成之研磨物件中,複合物之預定圖 案將對應於生產工具上之空腔圖案。因此,圖案可在物件之間重現。
在預定圖案之一實施例中,研磨複合物為陣列或排列形式,其意謂複合物為諸如對準列及行或交替偏移列及行之規則陣列。若需要,可將一列研磨複合物在第二列研磨複合物前直接對準。較佳地,可使一列研磨複合物自第二列研磨複合物偏移。
在另一實施例中,研磨複合物可以"隨機"陣列或圖案置放。此意謂該等複合物不處於上述列及行之規則陣列中。舉例而言,研磨複合物可以如1995年3月23日公開之WO PCT 95/07797(Hoopman等人)及1995年8月24日公開之WO PCT 95/22436(Hoopman等人)中所述之方式置放。然而,應理解此"無規"陣列為預定圖案,因為研磨物件上之複合物位置為預定的且對應於用以製造研磨物件之生產工具中的空腔位置。
三維刻花研磨物件亦可具有可變之研磨塗層組成。舉例而言,研磨盤中心可含有不同於(例如較軟、較硬或受到較多或較少侵蝕)研磨盤外部區之研磨塗層。
圖4中之研磨物件40具有固定或接合於襯底42上之金字塔形研磨複合物41。相鄰研磨複合物之間存在凹座或谷43。亦存在自第一列偏移之第二列金字塔形研磨複合物。金字塔形研磨複合物之最外點或末端在加工期間接觸晶圓表面。
圖5中之研磨物件50具有不規則形狀、金字塔形研磨複 合物。在此特定說明中,研磨複合物具有金字塔類型之形狀。界定金字塔之邊界為不規則定形的。不良形狀可為在黏合劑前驅體之顯著固化或凝固之前漿料流動及扭曲初始形狀的結果。不規則形狀之特徵為非直線、不清晰、不可再現、不精確或不良的平面或形狀邊界。
圖6中之研磨物件60具有斜截棱錐形研磨複合物61。
圖7中之研磨物件70具有"十字"形狀71及"x"形72研磨複合物。研磨複合物係以列之圖案列出。各列中之研磨複合物彼此偏移且不與相鄰列中之研磨複合物直接對準。此外,研磨複合物之列係以間隔或谷分離。谷或間隔可僅含有極小量(如以高度量測)之研磨複合物或可不含有研磨複合物。研磨複合物之另一排列或組態類似於圖6,例外之處在於各交替列包含具有"十字"形之研磨複合物或具有"x"形之研磨複合物。在此排列中,來自奇數列之研磨複合物仍與來自偶數列之研磨複合物偏移。在十字形或"x"形複合物之上述排列中,較佳地,形成十字或x形之一條線的長度為約750微米且形成十字或x形之一條線的寬度為約50微米。
用於製造具有精確定形之研磨複合物之研磨物件的較佳方法係描述於美國專利第5,152,917號(Pieper等人)及第5,435,816號(Spurgeon等人)中。合適方法之其他描述係報導於美國專利第5,437,754號(Calhoun);第5,454,844號(Hibbard等人)及第5,304,223號(Pieper等人)中。較佳於清潔環境(例如100級、1,000級或10,000級清潔室)中進行製 造以使研磨物件中之任何污染最小化。
合適方法包括製備包含研磨顆粒、黏合劑前驅體及可選添加劑之漿料;提供具有前表面之生產工具;將漿料引入具有複數個空腔之生產工具的空腔中;將襯底引入生產工具之漿料覆蓋表面;且在物件離開生產工具之空腔前使黏合劑前驅體至少部分固化或膠凝化以形成研磨複合物。
藉由任何合適混合技術將黏合劑前驅體、研磨顆粒及可選添加劑組合在一起來製成漿料。混合技術之實例包括低剪切力及高剪切力混合,其中高剪切力混合係較佳的。亦可與混合步驟組合利用超音波能量來降低漿料黏度(黏度在製造研磨物件中為重要的)及/或影響所得研磨漿料之流變學。或者,可將漿料在30至70℃之範圍中加熱,微流體化或球磨來混合漿料。
通常,將研磨顆粒逐漸添加至黏合劑前驅體中。較佳地,漿料為黏合劑前驅體、研磨顆粒及可選添加劑之均勻混合物。若需要,添加水及/或溶劑來降低黏度。可藉由在混合步驟期間或混合步驟後抽取真空來使氣泡形成最小化。
塗佈台可為任何習知塗佈構件,諸如落錘模塗器、刮刀塗佈器、幕塗佈器、真空模塗器或模塗器。較佳塗佈技術為美國專利第3,594,865號、第4,959,265號(Wood)及第5,077,870號(Millage)中所報導之真空流體軸承模。在塗佈期間,較佳使氣泡形成最小化,儘管在某些情況下,在將漿料塗佈於生產工具中時較佳將空氣併入漿料中。陷入空 氣可於研磨塗層中產生孔隙,諸如空隙,且可能增加研磨複合物之侵蝕度。此外,可在混合或塗佈期間將氣體泵泵入漿料中。
在生產工具經塗佈之後,藉由任何方式使襯底與漿料接觸,使得漿料潤濕襯底表面。藉由迫使所得結構聚至一處的接觸夾壓滾筒使漿料與襯底接觸。夾壓滾筒可由任何材料製成,然而,夾壓滾筒較佳係由結構材料,諸如金屬、金屬合金、橡膠或陶瓷製成。夾壓滾筒之硬度可為約30至120硬度計,較佳約60至100硬度計且更佳約90硬度計。
其次,藉由能源將能量傳遞至漿料中以至少部分地固化黏合劑前驅體。能源之選擇將部分地視黏合劑前驅體之化學性質,生產工具之類型以及其他加工條件而定。能源應不會明顯地降解生產工具或襯底。黏合劑前驅體之部分固化意謂將黏合劑前驅體聚合至漿料不流動之狀態。若需要,可使用習知能源使黏合劑前驅體在自生產工具移除後完全固化。
黏合劑前驅體至少部分固化之後,將生產工具與研磨物件分離。若黏合劑前驅體未完全固化,則接著可使黏合劑前驅體隨時間完全固化及/或暴露於能源。最後,將生產工具重新捲繞於心軸上,以使得生產工具可再次使用且將研磨物件捲繞於第二心軸上。
在此第一方法之另一變型中,將漿料塗佈於襯底上且不塗佈於生產工具之空腔中。接著使經漿料塗佈之襯底與生產工具接觸以使得漿料流入生產工具之空腔中。製造研磨 物件之剩餘步驟與以上所述相同。
較佳地,黏合劑前驅體係由輻射能來固化。輻射能可穿過襯底或穿過生產工具傳遞。襯底或生產工具應不會明顯吸收輻射能。此外,輻射能源應不會明顯降解襯底或生產工具。舉例而言,紫外光可穿過聚酯襯底傳遞。或者,若生產工具係由特定熱塑性材料,諸如聚乙烯、聚丙烯、聚酯、聚碳酸酯、聚(醚碸)、聚(甲基丙烯酸甲酯)、聚胺基甲酸酯、聚氯乙烯或其組合製成,則紫外光或可見光可穿透生產工具且進入漿料中。對於基於熱塑性之生產工具而言,應設定用於製造研磨物件之操作條件以使得不產生過熱。若產生過熱,則其可使熱塑性工具扭曲或熔融。
能源可為熱能來源或輻射能來源,諸如電子束、紫外光或可見光。所需能量之量視黏合劑前驅體中反應性基團之化學性質以及黏合劑漿料之厚度及密度而定。對於熱能而言,約50℃至約250℃之烘箱溫度及約15分鐘至約16小時之持續時間一般係足夠的。電子束輻射或電離輻射可在約0.1至約10 Mrad之能量水平,較佳在約1至約10 Mrad之能量水平下使用。紫外線輻射包括具有約200至約400奈米範圍內之波長,較佳約250至400奈米範圍內之波長的輻射。可見光輻射包括具有約400至約800奈米範圍內之波長,較佳約400至約550奈米範圍內之波長的輻射。
所得凝固漿料或研磨複合物將具有生產工具之相反圖案。藉由在生產工具上至少部分固化或凝固,研磨複合物具有精確及預定圖案。
生產工具具有含有複數個空腔或壓痕之前表面。此等空腔基本上為研磨複合物之反向形狀且致使產生研磨複合物之形狀及位置。
此等空腔可具有為研磨複合物反向形狀之幾何形狀。選擇空腔尺寸來達成每平方公分所需之研磨複合物數。空腔可以點樣圖案存在,其中相鄰空腔在其壓痕併入空腔間隙中所形成之生產工具之常見大體上平坦主要表面的部分處彼此抵靠平接。
生產工具可為帶、薄片、連續薄片或網、諸如輪轉式凹版滾筒之塗佈滾筒、安裝於塗佈滾筒上之套筒或模之形式。生產工具可由金屬(例如鎳)、金屬合金或塑膠製成。生產工具係藉由習知技術製造,該等習知技術包括光微影、滾花、雕刻、滾齒、電鑄或金剛石車削。舉例而言,銅工具可為金剛石車削的,且接著鎳金屬工具可自銅工具電鍍出。生產工具之製備係報導於美國專利第5,152,917號(Pieper等人);第5,489,235號(Gagliardi等人);第5,454,844號(Hibbard等人);第5,435,816號(Spurgeon等人);PCT WO 95/07797(Hoopman等人)及PCT WO 95/22436(Hoopman等人)中。
熱塑性工具可自金屬基礎工具複製出。基礎工具將具有生產工具所需之反向圖案。基礎工具較佳係由金屬,諸如鍍鎳鋁、銅或青銅製成。視情況可將熱塑性薄片材料連同基礎工具一起加熱,以使得藉由將兩者壓在一起而將熱塑性材料壓印出基礎工具圖案。亦可將熱塑性材料擠壓或澆 鑄於基礎工具上且接著施壓。使熱塑性材料冷卻至不可流動狀態且接著將其自基礎工具分離以產生生產工具。
合適熱塑性生產工具係報導於美國專利第5,435,816號(Spurgeon等人)中。適用於形成生產工具之熱塑性材料的實例包括聚酯、聚丙烯、聚乙烯、聚醯胺、聚胺基甲酸酯、聚碳酸酯或其組合。較佳地,熱塑性生產工具含有添加劑,諸如抗氧化劑及/或UV穩定劑。此等添加劑可延長生產工具之適用壽命。生產工具亦可含有脫模塗層以允許較易於將研磨物件自生產工具釋放。該等脫模塗層之實例包括聚矽氧及氟化學物質。
存在許多用於製造具有不規則形狀之研磨複合物之研磨複合物的方法。儘管為不規則形狀,然而此等研磨複合物可以預定圖案展示,因為複合物之位置為預定的。在一種方法中,將漿料塗佈於生產工具之空腔中以產生研磨複合物。生產工具可為與以上在精確定形複合物之情況下所述之生產工具相同的生產工具。然而,在黏合劑前驅體對其而言經充分固化或凝固之前將漿料自生產工具移除以在自生產工具移除後大體上保持其形狀。其後,使黏合劑前驅體固化或凝固。由於黏合劑前驅體在生產工具之空腔中時未固化,故其通常導致漿料流動且使研磨複合物形狀扭曲。
製造此類型研磨物件之方法係報導於美國專利第4,773,920號(Chasman等人)及第5,014,468號(Ravipati等人)中。
在此方法之變型中,可將漿料塗佈於襯底上。接著使襯底與生產工具接觸,以使得生產工具之空腔為漿料所填充。製造研磨物件之剩餘步驟與以上所述相同。製造研磨物件後,可在轉化之前將其撓曲及/或潤濕。
在製造不規則形狀複合物之另一方法中,可將漿料塗佈於輪轉式凹版滾筒表面上。使襯底與輪轉式凹版滾筒接觸且漿料濕潤襯底。接著輪轉式凹版滾筒於漿料中賦予圖案或刻花。其次,將漿料/襯底組合自輪轉式凹版滾筒移除且使所得構造暴露於使黏合劑前驅體凝固之條件下,以使得形成研磨複合物。此方法之變型為將漿料塗佈於襯底上且使襯底與輪轉式凹版滾筒接觸。
輪轉式凹版滾筒可賦予所需圖案,諸如球錐台、金字塔、斜截棱錐、圓錐、立方體、塊體或桿狀。圖案亦可為六角形陣列、脊或晶格。亦可能具有由諸如稜柱之幾何形狀製成之脊。輪轉式凹版滾筒亦可賦予使得在相鄰研磨複合物之間存在底部區域的圖案。此底部區域可包含研磨顆粒與黏合劑之混合物。或者,輪轉式凹版滾筒可賦予使得襯底暴露於相鄰研磨複合物形狀之間的圖案。類似地,輪轉式凹版滾筒可賦予使得存在研磨複合物形狀之混合的圖案。
另一種方法為經由篩網噴霧或塗佈漿料以產生圖案及研磨複合物。接著將黏合劑前驅體固化或凝固以形成研磨複合物。篩網可賦予任何所需圖案,諸如球錐台、金字塔、斜截棱錐、圓錐、立方體、塊體或桿狀。圖案亦可為六角 形陣列、脊或晶格。亦可能具有由諸如稜柱之幾何形狀製成之脊。篩網亦可賦予使得在相鄰研磨複合物之間存在底部區域之圖案。此底部區域可包含研磨顆粒與黏合劑之混合物。或者,篩網可賦予使得襯底暴露於相鄰研磨複合物之間的圖案。類似地,篩網可賦予使得存在研磨複合物形狀之混合的圖案。此方法係報導於美國專利第3,605,349號(Anthon)中。
製造三維刻花研磨物件之另一種方法使用壓印襯底。簡言之,壓印襯底係以漿料塗佈。漿料遵循壓印襯底之輪廓來提供刻花塗層。可藉由任何合適技術(諸如滾塗、噴霧、模塗或刮刀塗佈)將漿料塗覆於壓印襯底上。在將漿料塗覆於壓印襯底上之後,將所得構造暴露於適當能源以起始固化或聚合製程以形成研磨複合物。壓印襯底上之研磨複合物的實例係報導於美國專利第5,015,266號(Yamamoto等人)中。
使用壓印襯底製造研磨物件之另一種方法係報導於美國專利第5,219,462號(Bruxvoort)中。將漿料塗佈於壓印襯底之凹座中。漿料含有研磨顆粒、黏合劑前驅體及膨脹劑。將所得構造暴露於使膨脹劑引起漿料膨脹於襯底前表面上的條件下。其次,使黏合劑前驅體凝固以形成研磨複合物。
此壓印襯底方法之變型使用具有接合至襯底前表面之研磨塗層的穿孔襯底。此穿孔襯底將具有延伸穿過襯底厚度之一系列洞或空腔或預定位置之洞或空腔。將漿料塗佈 (例如刮刀塗佈)於襯底上。此等經漿料填充之空腔本質上將產生刻花研磨塗層。若研磨物件係由適當載體支撐,則可視情況在固化步驟之後移除穿孔襯底。
製造研磨物件之替代性方法使用熱塑性黏合劑。可製備具有襯底或無襯底之物件。通常,根據習知技術將熱塑性黏合劑、研磨顆粒與任何可選添加劑混配在一起以得到混合物,將混合物饋入擠壓機中,且視情況使混合物形成小球或長塊。接著根據各種習知方案中之任一者來形成研磨物件。
舉例而言,可藉由使用具有基本上為研磨物件表面所需圖案反向圖案之模來注射或壓塑混合物而形成研磨物件。亦可將混合物加熱至形成熔融漿料之溫度點,接著將其供應至模具且冷卻。或者,亦可能加熱黏合劑直至其流動且接著添加研磨顆粒及任何添加劑以形成熔融漿料且接著使用習知方法將熔融漿料轉化為研磨複合物。
藉由以下實例進一步說明本揭示案之目標及優勢,但不應將此等實例中所述之特定材料及其量以及其他條件及細節視為不適當地限制本揭示案。
實例 測試程序I
藉由測試程序1來測定許多研磨物件自晶圓表面移除金屬之能力。此測試程序模擬加工晶圓表面。此測試程序之晶圓表面為具有銅或鋁(10,000埃厚之層)表面之氧化矽基底晶圓。
鍍金屬之晶圓係由具有100 mm直徑及約0.5 mm厚度之單晶矽基本單元製成,其係購自San Jose,CA之Wafernet或Silicon Valley Microelectronics。在金屬層沈積之前,使熱氧化層(亦即熱生長氧化矽)於矽晶圓表面上生長。此層約為5,000埃厚。在某些情況下,在金屬沈積之前使鈦(Ti)或氮化鈦黏著層/障壁層沈積於熱氧化層上。任何Ti之厚度均在約50與500埃之間,且任何氮化鈦在約100至3,000埃之間。接著使用物理氣相沈積(PVD)將銅或鋁之均勻層沈積於矽基底上。使用四點探針來量測金屬層之厚度。
測試機器為類似於圖3中所描述之設備的經改良Strasbaugh Lapping Machine,Model 6Y-1。使晶圓工件擱置於可以商標名稱"DF200"購自Rodel of Newark,DE之發泡體襯底上,且將總成置放於彈篝負荷之塑膠扣環中。將實例之研磨物件黏著至附加於Strasbaugh壓板上之"PCF20"支撐襯墊上。
使固持晶圓之載體頭與研磨物件接觸且接著使晶圓以約100 rpm旋轉且使研磨物件以約67 rpm旋轉。晶圓與研磨物件均係以順時針方式旋轉。除旋轉以外,使晶圓移動穿過自距研磨物件邊緣約13 mm起始之弧(9秒週期,約31 mm)。除非另有說明,否則以約350 KPa(50磅)之向下力使研磨物件與載體頭彼此接觸。將過氧化氫溶液(去離子水中15重量%H2 O2 )以每分鐘約80毫升之流動速率泵至晶圓及研磨界面上。使用研磨物件拋光晶圓歷時一分鐘(60秒)之週期。拋光循環之後,將晶圓自固持器移除,以去離子水 沖洗且乾燥。
藉由測定金屬薄膜厚度之變化來計算金屬移除速率。使用相同四點探針在相同位置處獲取初始(亦即拋光前)及最終(亦即拋光後)量值。對兩個與五個之間之讀數取平均值來測定以每分數之埃數(/min)計的移除速率。
在以實例1-9之研磨物件拋光金屬化晶圓之前,首先將具有連續熱生長氧化矽層之晶圓拋光1至4分鐘,之後測試實際金屬塗佈之晶圓。使用去離子水或過氧化氫作為工作液體。使氧化矽晶圓在類似用於經金屬塗佈之測試晶圓之條件的彼等條件下運作。
表1中之名稱係用於描述物件1-14。
藉由依次合併18.75公克SR492、56.25公克SR256、1.5公克D111及2.4公克LR8893且以高剪切力混合機混合1分鐘來製備物件1。在攪拌同時,添加已在500℃下加熱4小時之100公克ALT且將研磨漿料再混合約10分鐘。接著根據製造研磨物件之通用程序將此研磨漿料塗佈於EAA上。
藉由依次合併56.27公克SR492、168.75公克SR256、15.01公克D111及7.21公克LR8893且以高剪切力混合機混合1分鐘來製備物件2。在攪拌同時,添加300公克ALA且將研磨漿料再混合約10分鐘。接著根據製造研磨物件之通用程序將此研磨漿料塗佈於EAA上。
藉由依次合併18.75公克SR351、56.25公克SR256、5.83公克FP4及2.49公克LR8893且以高剪切力混合機混合1分鐘來製備物件3。在攪拌同時,添加400.58公克CEO且將研磨漿料再混合約10分鐘。接著根據製造研磨物件之通用程序將此研磨漿料塗佈於EAA上。
藉由依次合併18.75公克SR351、56.28公克SR256、3.26公克D111及2.40公克LR8893且以高剪切力混合機混合1分鐘來製備物件4。在攪拌同時,添加131.01公克AAF且將研磨漿料再混合約10分鐘。接著根據製造研磨物件之通用程序將此研磨漿料塗佈於EAA上。
藉由依次合併18.76公克SR351、56.28公克SR256、8.0公克D111及2.40公克LR8893且以高剪切力混合機混合1分鐘來製備物件5。在攪拌同時,添加已在400℃下加熱4小時之160公克ALT,且將研磨漿料再混合約10分鐘。接著根據製造研磨物件之通用程序將此研磨漿料塗佈於EAA上。
根據測試程序I來測試物件1-5。對於物件1而言,將十隻不同金屬測試晶圓(命名為1-1至1-10)以相同研磨物件拋光,且將熱氧化物晶圓在第一金屬測試晶圓之前拋光2分鐘,且接著在各連續金屬測試晶圓之間將熱氧化物晶圓拋光4分鐘。對於物件2而言,在金屬測試晶圓之前將熱氧化物晶圓拋光2分鐘。對於物件3而言,在金屬測試晶圓之前將熱氧化物晶圓、金屬晶圓及第二熱氧化物晶圓拋光1分鐘。對於物件4及5而言,在金屬測試晶圓之前將熱氧化物晶圓拋光1分鐘。對於物件4而言,將第二熱氧化物晶圓及金屬測試晶圓拋光1分鐘。對於物件3而言,使研磨物件以約80 rpm旋轉。下表2報導晶圓上之金屬層類型,載體頭與研磨物件之間的向下力及金屬移除速率。
用以商標名WYCO RST PLUS可購自WYCO Corp.,Phoenix,AZ之光干涉儀來量測以物件1(晶圓#5)、物件3處理之測試晶圓及以物件4處理之第二金屬測試晶圓的表面修整。峰值至谷值(Rt)範圍量測分別為962、204及210
藉由依次合併7.50公克CN980、45.00公克SR256、3.75公克SR339、18.75公克SR351、7.01公克FP4及2.40公克LR8893且以高剪切力混合機混合1分鐘來製備物件6。在攪拌同時,添加467.30公克CEO且將研磨漿料再混合約10分鐘。接著根據製造研磨物件之通用程序將此研磨漿料塗佈於PVDC上。
藉由依次合併7.50公克CN980、48.75公克SR256、18.75公克SR351、5.31公克D111及2.40公克LR8893且以高剪切力混合機混合1分鐘來製備物件7。在攪拌同時,添加 151.60公克AAF且將研磨漿料再混合約10分鐘。接著根據製造研磨物件之通用程序將此研磨漿料塗佈於PVDC上。
根據測試程序I於銅上測試物件6及7。對於實例6及7而言,在金屬測試晶圓之前將熱氧化物晶圓拋光1分鐘(60秒)。每一實例測試多個測試晶圓(亦即多次使用相同研磨物件),其中計算各運作之兩個資料點且取平均值。下表3報導各次運作之金屬移除速率。
藉由依次合併37.51公克CD501、112.51公克SR256、16.53公克D111及4.80公克LR8893且以高剪切力混合機混合1分鐘來製備物件8。在攪拌同時,添加400.00公克AAF且將研磨漿料再混合約10分鐘。接著根據製造研磨物件之通用程序將此研磨漿料塗佈於PVDC上。
藉由依次合併15.02公克CN980、97.20公克SR256、37.50公克SR351、14.08公克FP4及4.80公克LR8893且以高剪切力混合機混合1分鐘來製備物件9。在攪拌同時,添加 938公克CEO且將研磨漿料再混合約10分鐘。接著根據製造研磨物件之通用程序將此研磨漿料塗佈於PVDC上。
根據測試程序I於銅上測試物件8及9之研磨物件,但使用各種工作液體。對於所有運作而言,使用DI水作為工作液體,在金屬測試晶圓之前將熱氧化物晶圓拋光1分鐘(60秒)。對各測試使用新的研磨物件,使用H2 O2 之物件9的情況除外,其係使用測試硝酸溶液之相同襯墊來測試。下表4報導各運作所使用之工作液體及金屬移除速率。
各種工作液體溶液係如下製造:H2 O2 溶液係藉由以等重量去離子水稀釋30%過氧化氫(以重量計)而製成。NH4 OH溶液係藉由將10 ml 30%氫氧化銨(以重量計)與足夠去離子水合併構成1,000 ml總體積而製成。
NH4 OH/K3 Fe(CN)6 溶液係藉由產生先前所述之NH4 OH溶液,接著將990 g NH4 OH溶液與10 g K3 Fe(CN)6 合併且攪拌直至鹽完全溶解而製成。HNO3 溶液係藉由將10 ml於水中之70% HNO3 (以重量計)與足夠去離子水合併構成1,000 ml 總體積而製成。HNO3 /苯并三唑溶液係藉由產生先前所述之HNO3 溶液,接著將999 g彼溶液與1公克苯并三唑合併且攪拌直至苯并三唑溶解而製成。
測試程序II
銅測試圖案晶圓係藉由初始使用熱沈積技術於4吋矽晶圓表面上形成約5,000埃之二氧化矽而製成。藉由蝕刻一系列100平方微米之特徵至約5,000埃之深度而使晶圓圖案化。接著將圖案晶圓以200埃PVD鈦塗佈,繼而以約10,000埃PVD銅塗佈。
將測試晶圓拋光歷時共7.5分鐘。將由15%過氧化氫、0.425%磷酸、0.2%苯并三唑、8%聚乙二醇(分子量600)組成之工作液體在拋光期間塗覆於晶圓上。計算以重量百分比計之量。晶圓之顯著區域具有自晶圓表面移除之銅及鈦,暴露除經蝕刻之100平方微米特徵中之終止層以外的氧化矽終止層。
以下實例表明將固定研磨劑用於使沈積有銅之矽晶圓平坦化至熱氧化物終止層。
藉由依次合併60.01公克SR9003、90.03公克SR339、11.12公克D111及4.8公克LR8893且以高剪切力混合機混合1分鐘來製備物件10。在攪拌同時,添加370.01公克TRS2039且將研磨漿料再混合約10分鐘。接著使用含有圓柱體形狀空腔之聚丙烯生產工具,根據製造研磨物件之通用程序將此研磨漿料塗佈於F1上。圓柱體直徑為約175微米,且高約2.5密耳,具有約20%之承載面積比。
根據測試程序II來測試物件10,且量測晶圓上100平方微米特徵之輪廓以測定銅經移除以暴露二氧化矽終止層之表面上之區域中的表面凹陷程度。使用Tencor P-22表面輪廓儀來量測表面凹陷。量測晶圓上六個不同之位點。量值報導於表5中。
測試程序III
銅測試圖案晶圓係藉由初始使用熱沈積技術於4吋矽晶圓表面上形成約5,000埃之二氧化矽而製成。藉由蝕刻一系列100平方微米之特徵至約5,000埃之深度而使晶圓圖案化。接著將圖案晶圓以200埃PVD鈦塗佈,繼而以約10,000埃PVD銅塗佈。
將測試晶圓拋光歷時共3.0分鐘。在拋光期間將3.3% H2 O2 、93.1% H2 O、3.0%(NH4 )2 HPO4 、0.5%(NH4 )3 檸檬酸鹽及0.1% BTA之工作溶液塗覆於晶圓上。計算以重量百分比計之量。晶圓之顯著區域具有自晶圓表面移除之銅及鈦,暴露除經蝕刻之100平方微米特徵中之終止層以外的 氧化矽終止層。
藉由依次合併30.0公克SR9003、45公克SR339、6.9公克"DISPERBYK 111"及2.4公克LR8893且以高剪切力混合機混合1分鐘來製備物件11。在攪拌同時,添加370.01公克TRS2039且將研磨漿料再混合約10分鐘。接著使用含有圓柱體或柱狀形狀空腔之聚丙烯生產工具,根據製造研磨物件之通用程序將研磨漿料塗佈於F1上。物件11係使用具有200 μm柱之生產工具來製備。根據測試程序III來測試物件11,且量測晶圓上100平方微米特徵之輪廓來測定銅經移除以暴露二氧化矽終止層之表面上之區域中的表面凹陷程度。使用Tencor P-22表面輪廓儀來量測表面凹陷。量測晶圓上之四個不同位點。量值報導於表6中。
已製備之其他研磨物件係於以下描述:物件12係如物件11所述,然而使用具有960 μm柱之生產工具來製備。
物件13係如關於物件11所述,然而使用具有1,000 μm柱之生產工具來製備。生產工具於以下更詳細描述。
藉由依次合併30.0公克SR90003、45.0公克SR3392、1.53公克油酸、3.56公克B-CEA、2.4公克LR8893及144.5公克TRS2039來製備物件14。接著使用含有圓柱體或柱狀形狀空腔之聚丙烯生產工具,根據製造研磨物件之通用程序將研磨漿料塗佈於F1上。物件14係使用具有200 μm柱之工具製備。
物件15係如關於物件14所述,然而使用具有960 μm柱之生產工具來製備。通常,製備待用於修飾適用於半導體平坦化之晶圓表面的研磨物件之方法包括過濾步驟。在將研磨漿料塗佈於生產工具前,將研磨漿料經由60 μm或80 μm過濾器過濾。
製造研磨物件之通用程序
物件1-15之研磨物件係藉由以下程序製造:聚丙烯生產工具係藉由將聚丙烯材料澆鑄於具有由許多相鄰斜截棱錐組成之澆鑄表面之金屬基礎工具上而製成。所得生產工具含有斜截棱錐形狀之空腔。金字塔形圖案使得其相鄰基底彼此間隔開不超過約510微米(0.020吋)。各斜截棱錐之高度為約80微米,基底每側為約178微米且頂部每側為約51微米。
200微米柱形圖案為圓柱三角形陣列,該等柱具有200微米之直徑,60微米之高度及373微米之中心間距。
960微米柱形圖案為圓柱三角形陣列,該等柱具有960微米之直徑,75微米之高度及1500微米之中心間距。
1,000微米之柱圖案為四角柱之三角形陣列。柱之側面 為1,000微米,100微米高且具有3,400微米之中心間距。正方形均具有相同定向且以一側平行於連接三角形陣列中各點之直線中的一者來定向。
每公分約有50條線來描繪複合物陣列。使用遮罩類型壓敏性膠帶將生產工具緊固於金屬載體板上。使用高剪切力混合器將由各實例中所列舉之成份組成的研磨漿料混合直至均勻。接著通常將研磨漿料經由60 μm或80 μm過濾器過濾。接著使用刮漿板將此研磨漿料塗佈於生產工具之空腔中且使塗底漆之聚酯薄膜襯底與生產工具空腔中所含之研磨漿料接觸。接著使該物件通過可購自Chem Instruments,#001998型號之桌上型實驗室層壓機。在約40-80 psi(約275.79-551.58 kPa)之壓力及設定為約2至7之速度下將物件連續饋入兩個橡膠滾筒之間。將石英板置放於物件上。藉由使工具連同襯底及研磨漿料一起穿過可購自American Ultraviolet Company之兩個摻雜有鐵之燈或可購自Fusion Systems,Inc.之兩種紫外線("V"形燈)(兩者均在約157.5瓦特/公分(400瓦特/吋)下操作)下方來將物件固化。使輻射穿過薄膜襯底。速度在每分鐘約10.2-13.7公尺(每分鐘15-45呎)之間且使樣本穿過達至兩次。
為製備用於測試之研磨物件,將研磨物件層壓至可購自Minnesota Mining及Manufacturing Company,St.Paul,MN之壓敏性膠帶上。接著衝模切割30.5 cm(12吋)直徑之環狀測試樣本以用於測試。
平坦化製程完成之後,通常使用此項技術中已知之程序 來清潔經加工之晶圓。一般而言,選擇清潔介質以使得在大體上不損壞晶圓表面之情況下移除碎片。合適清潔介質之實例包括自來水、蒸餾水、去離子水、有機溶劑及其類似物。其可單獨使用或彼此組合使用。若需要,其亦可包括皂類或其他添加劑來幫助清潔製程。
通常,本揭示案之研磨物件係用以使一種以上半導體晶圓平坦化。可在兩個連續平坦化步驟之間整修或改良研磨物件亦在本揭示案之範疇內。改良步驟可移除"經磨損之研磨顆粒"及/或移除任何不良之沈積物或碎片,且藉此增強研磨物件之切割能力,以及平坦化表面之品質。在該等環境中,可根據眾所熟知之習知技術來改良研磨物件表面,該等習知技術包括使研磨表面與金剛石改良工具、刷子、經接合研磨劑、經塗佈研磨劑、金屬桿、噴射水流或其類似物接觸。其他技術包括暴露於雷射或電暈能量(例如使用可購自Sherman Treaters,Ltd.,United Kingdom之Sherman電暈處理裝置)。由於與改良步驟相關之時間及金錢,故此改良步驟並不總是較佳。在兩個連續平坦化步驟之間不整修或改良研磨物件亦在本揭示案之範疇內。
評估工作液體
進行一系列實驗來評估各種適用於修飾適合於製造半導體裝置之晶圓表面的工作液體。在一例示性實施例中,工作液體為大體上不含鬆散研磨顆粒之初始組份的水溶液,該等組份包含水、界面活性劑及展現至少一種大於7之pKa 的pH緩衝劑,該pH緩衝劑包含鹼性pH調節劑及酸性錯合 劑且該工作液體展現約7至約12之pH值。評估含有界面活性劑之工作液體加速或維持使用固定研磨劑網之停止-開始氮化物CMP法中之氧化物移除速率的能力。
使用安裝於60/90肋狀下部襯墊(3M Company,St.Paul,MN)上的3M SWR550-125/10固定研磨網(3M Company,St.Paul,MN),使用ReflexionTM 網拋光器(Applied Materials,Inc.,Santa Clara,CA)來拋光200 mm Profiler II晶圓載體上之200 mm Blanket TEOS晶圓。於去離子水中製備具有經緩衝氫氧化銨水溶液(作為鹼性pH控制劑)中0.1% w/w所選界面活性劑及2.5% w/w L-脯胺酸(作為酸性多齒錯合劑)的含有界面活性劑之工作液體。為比較目的,亦製備僅包含氫氧化銨緩衝水溶液(作為鹼性pH控制劑)及2.5% w/w L-脯胺酸(作為酸性多齒錯合劑)之對照工作液體。在CMP法期間,以100 mL/min之體積流動速率將工作液體個別地塗覆於晶圓表面上。
所評估之界面活性劑列舉於表7中。將界面活性劑與水、約9至12之pH值範圍內的鹼性pH調節劑(例如氫氧化銨)及多齒胺基酸錯合劑(例如2.5% w/w L-脯胺酸)合併。接著將工作液體與3M微複製固定研磨產物組合塗覆來進行適用於半導體裝置製造之CMP法。
可在鹼性pH值下產生之特定優勢包括在維持足夠氧化物移除速率下使用較低之拋光壓力。一般較低之拋光壓力產生減少之缺陷點及改良之產率。拋光製程參數包括5 mm增量,3 psi(約20.68 kPa)晶圓壓力,每分鐘30轉(RPM)之板旋轉速度,28 RPM之載體旋轉速度及每晶圓60秒之拋光時間。含有界面活性劑之工作液體及對照工作液體之CMP評估結果展示於表8中。
如表8所示,在7至12之pH值下聯合使用界面活性劑與2.5% w/w L-脯胺酸相對於在類似pH值下不具有界面活性劑之對照工作液體,氧化物移除速率增加兩倍以上。在pH值在約9與11之間之特定實例中,氧化物移除速率超過氮化物移除速率200倍或更多,藉此允許在將工作液體用於CMP STI法中時停止對氮化物之選擇性。
進行另一組實驗來評估界面活性劑用以加速用於CMP之固定研磨劑網之磨合運轉時間的用途。使用安裝於60/90肋狀下部襯墊上的3M SWR550-125/10固定研磨網(3M Company,St.Paul,MN),使用ReflexionTM 網拋光器(Applied Materials,Santa Clara,CA)來拋光200 mm Profiler II晶圓載體(3M Company,St.Paul,MN)上之200 mm Blanket TEOS晶圓。以100 mL/min之體積流動速率來使用包含去離子水中2.5% w/w L-脯胺酸及0.05% w/w TergitolTM 15-S-7界面活性劑(Dow Chemical Company,Midland,MI)之工作液體。拋光製程參數包括5 mm增量,3 psi(約20.68 kPa)晶圓壓力,每分鐘30轉(RPM)之板旋轉速度,28 RPM之載體旋轉速度及每晶圓60秒之拋光時間。
使用三種不同之固定研磨劑磨合運轉程序。測定達到2000-2500/min目標值之穩定氧化物移除速率所需之晶圓數。圖8展示對於此實驗中所評估之各磨合運轉程序而言,作為所加工晶圓數之函數的拋光速率。
在第一測試(在圖8中以菱形符號說明)中,加工145個晶圓以在2000-2500/min之目標下達到平衡狀態下獲得恆定(在+/-200/min下穩定)拋光速率。在此測試之前,使用僅在去離子水中之固定研磨網進行標準濕潤空轉隔夜(約15小時之空轉時間)。直至運轉所有140個晶圓,拋光速率才完全穩定在目標2000-2500/min之速率下。此表示達成穩定拋光速率之過長磨合運轉時間。在5小時之濕潤空轉後進行第二測試(在圖8中以方形符號說明)。在第二測試中,需要加工約40個晶圓來使拋光速率達成2000-2500/min之穩定目標拋光速率。此仍為不良之長磨合運轉時間。以含有界面活性劑之工作液體浸泡固定研磨網且使其在室溫下於網上乾燥隔夜後進行第三測試(在圖8中以三角形符號說明)。在拋光前之空轉時間期間不進行對固定研 磨網之沖洗。此處,在加工僅約25個晶圓後拋光速率即達到目標2000-2500/min之穩定值。
亦可使用將界面活性劑保持於固定研磨劑上之其他方法。一個實例為使用含有界面活性劑之工作液體而非在濕潤空轉期間將去離子水直接泵至網上。另一實例可使用單獨之界面活性劑或稀釋於去離子水中且在空轉時間期間泵至固定研磨網拋光表面上之界面活性劑。另一方法可使用剛好在空轉時期之後塗覆於固定研磨劑表面之界面活性劑的濃縮溶液。
熟習此項技術者自以上描述顯而易見,可在不偏離本揭示案範疇及原理之情況下進行各種修改,且應瞭解本揭示案並不過度地受限於上文所述之說明性實施例。已描述本揭示案之各種實施例。此等及其他實施例在以下申請專利範圍之範疇內。
10‧‧‧圖案化晶圓
11‧‧‧基底
13‧‧‧障壁層/黏著層
14‧‧‧金屬導體層
15‧‧‧離散金屬互聯表面
16‧‧‧離散特徵表面
30‧‧‧設備
31‧‧‧頭部單元
32‧‧‧夾盤
33‧‧‧晶圓固持器
34‧‧‧晶圓/半導體晶圓/晶圓表面
35‧‧‧環部分
37‧‧‧儲集器
38‧‧‧管道
39‧‧‧工作液體
40‧‧‧研磨物件
41‧‧‧研磨物件/金字塔形研磨複合物
42‧‧‧研磨物件/基底/襯底
43‧‧‧下部襯墊/凹座/谷
50‧‧‧研磨物件
60‧‧‧研磨物件
61‧‧‧斜截棱錐形研磨複合物
70‧‧‧研磨物件
71‧‧‧"十字"形狀
72‧‧‧"x"形
圖1為表面修飾之前結構化晶圓之一部分的橫截面示意圖;圖2為表面修飾之後結構化晶圓之一部分的橫截面示意圖;圖3為用於修飾用於半導體製造之晶圓表面的一種設備之部分側面示意圖;圖4為適用於本揭示案方法之研磨物件之一部分的橫截面圖;圖5為適用於本揭示案方法之另一研磨物件之一部分的 橫截面圖;圖6為適用於本揭示案方法之一種研磨物件之一部分的截面圖;且圖7為適用於本揭示案方法之另一研磨物件之一部分的俯視圖;圖8為作為根據本揭示案實施例加工之晶圓數之函數的拋光速率曲線。
30‧‧‧設備
31‧‧‧頭部單元
32‧‧‧夾盤
33‧‧‧晶圓固持器
34‧‧‧晶圓/半導體晶圓/晶圓表面
35‧‧‧環部分
37‧‧‧儲集器
38‧‧‧管道
39‧‧‧工作液體
41‧‧‧研磨物件/金字塔形研磨複合物
42‧‧‧研磨物件/基底/襯底
43‧‧‧下部襯墊/凹座/谷

Claims (12)

  1. 一種適用於修飾適用於製造半導體裝置之晶圓表面的工作液體,該液體為大體上不含鬆散研磨顆粒之初始組份的水溶液,該等組份包含:a.水;b.展現至少一種大於7之pKa 之pH緩衝劑,其中該pH緩衝劑包含鹼性pH調節劑及多齒酸性錯合劑,其中該多齒酸性錯合劑包含胺基酸或由胺基酸形成之二肽中之至少一者;及c.展現至少約4之親水-親油平衡的非離子性界面活性劑;其中該工作液體展現約7至約12之pH值。
  2. 如請求項1之工作液體,其中該鹼性pH調節劑係選自由鹼金屬氫氧化物、鹼土金屬氫氧化物、氫氧化銨及其混合物組成之群。
  3. 如請求項1之工作液體,其中該胺基酸係選自丙胺酸、脯胺酸、甘胺酸、組胺酸、離胺酸、精胺酸、鳥胺酸、半胱胺酸、酪胺酸及其組合。
  4. 如請求項3之工作液體,其中該胺基酸為L-脯胺酸。
  5. 如請求項1之工作液體,其中該非離子性界面活性劑係選自直鏈一級醇乙氧化物、二級醇乙氧化物、支鏈二級醇乙氧化物、辛基酚乙氧化物、炔系一級醇乙氧化物、炔系一級二醇乙氧化物、烷二醇、具羥基末端之氧化乙烯-氧化丙烯無規共聚物、氟脂族聚合酯及其混合物。
  6. 如請求項1之工作液體,其中該非離子性界面活性劑係以該工作液體之重量計至少約0.025重量%且至多約0.2重量%之量存在。
  7. 如請求項1之工作液體,其中該酸性錯合劑係以該工作液體之重量計約0.1重量%至約5重量%之量存在。
  8. 如請求項1之工作液體,其中該鹼性pH調節劑係以足以產生約10至約11之pH值的量存在,該酸性錯合劑包含以該工作液體之重量計約2重量%至約4重量%之量的L-脯胺酸,且該界面活性劑包含以該工作液體之重量計約0.05重量%至約0.5重量%之量的乙氧化醇。
  9. 如請求項1之工作液體,其中該工作液體展現約9至約11之pH值。
  10. 一種修飾適用於製造半導體裝置之晶圓表面的方法,其包含以下步驟:a.提供至少包含具有經蝕刻以形成圖案之表面的第一材料,展開於該第一材料表面之至少一部分上之第二材料及展開於該第二材料表面之至少一部分上之第三材料的晶圓;b.在如請求項1之工作液體存在下,使該晶圓之第三材料與複數個固定於研磨物件上之三維研磨複合物接觸,該等三維研磨複合物包含複數個固定且分散於黏合劑中之研磨顆粒;且c.在該第三材料與該複數個研磨複合物接觸時相對移動該晶圓直至該晶圓之經暴露表面大體上平坦且包含至 少一個暴露第三材料區及一個暴露第二材料區。
  11. 一種修飾適用於製造半導體裝置之晶圓表面的方法,其包含:a.提供至少包含展開於該晶圓之至少一部分上之障壁材料,及展開於該障壁材料之至少一部分上之介電材料的晶圓;b.在如請求項1之工作液體存在下,使該晶圓之介電材料與複數個固定於研磨物件上之三維研磨複合物接觸,該等三維研磨複合物包含複數個固定且分散於黏合劑中之研磨顆粒;且c.在該介電材料與該複數個研磨複合物接觸時相對移動該晶圓直至該晶圓之經暴露表面大體上平坦且包含至少一個暴露介電材料區及一個暴露障壁材料區。
  12. 如請求項11之方法,其中該障壁材料包含氮化矽且該介電材料包含氧化矽。
TW097128663A 2007-08-15 2008-07-29 修飾適用於半導體製造之表面之組合物及方法 TWI460261B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/839,329 US8092707B2 (en) 1997-04-30 2007-08-15 Compositions and methods for modifying a surface suited for semiconductor fabrication

Publications (2)

Publication Number Publication Date
TW200918654A TW200918654A (en) 2009-05-01
TWI460261B true TWI460261B (zh) 2014-11-11

Family

ID=38986855

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097128663A TWI460261B (zh) 2007-08-15 2008-07-29 修飾適用於半導體製造之表面之組合物及方法

Country Status (7)

Country Link
US (2) US8092707B2 (zh)
EP (1) EP2186121B1 (zh)
JP (1) JP5513384B2 (zh)
KR (1) KR101494034B1 (zh)
CN (1) CN101779274B (zh)
TW (1) TWI460261B (zh)
WO (1) WO2009023387A2 (zh)

Families Citing this family (92)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7387970B2 (en) * 2003-05-07 2008-06-17 Freescale Semiconductor, Inc. Method of using an aqueous solution and composition thereof
US8158532B2 (en) 2003-10-20 2012-04-17 Novellus Systems, Inc. Topography reduction and control by selective accelerator removal
US7972970B2 (en) 2003-10-20 2011-07-05 Novellus Systems, Inc. Fabrication of semiconductor interconnect structure
US8372757B2 (en) 2003-10-20 2013-02-12 Novellus Systems, Inc. Wet etching methods for copper removal and planarization in semiconductor processing
WO2006125462A1 (en) * 2005-05-25 2006-11-30 Freescale Semiconductor, Inc Cleaning solution for a semiconductor wafer
US20100273330A1 (en) * 2006-08-23 2010-10-28 Citibank N.A. As Collateral Agent Rinse formulation for use in the manufacture of an integrated circuit
US8048708B2 (en) * 2008-06-25 2011-11-01 Micron Technology, Inc. Method and apparatus providing an imager module with a permanent carrier
JP5518869B2 (ja) * 2008-09-12 2014-06-11 フエロ コーポレーション 化学的機械研磨用組成物、その製造方法、及びその使用方法
JP2010226089A (ja) * 2009-01-14 2010-10-07 Rohm & Haas Electronic Materials Llc 半導体ウェハをクリーニングする方法
GB0902429D0 (en) * 2009-02-13 2009-04-01 Probe Ind Ltd Compositions and their use
US8754021B2 (en) * 2009-02-27 2014-06-17 Advanced Technology Materials, Inc. Non-amine post-CMP composition and method of use
CN102348535B (zh) * 2009-03-11 2015-01-14 圣戈班磨料磨具有限公司 包含具有改进形状的熔凝的氧化锆氧化铝颗粒的磨料物品
WO2010104816A1 (en) * 2009-03-11 2010-09-16 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulation for removing residues on surfaces
MY156687A (en) * 2009-06-22 2016-03-15 Cabot Microelectronics Corp Cmp compositions and method for suppressing polysilicon removal rates
KR101126509B1 (ko) * 2009-07-23 2012-03-29 노벨러스 시스템즈, 인코포레이티드 등방성 구리 식각을 위한 식각 조성물
US8597461B2 (en) 2009-09-02 2013-12-03 Novellus Systems, Inc. Reduced isotropic etchant material consumption and waste generation
JP5646862B2 (ja) * 2009-09-18 2014-12-24 長興開発科技股▲ふん▼有限公司 シリコン貫通ビア構造を有する半導体ウェハーの研磨方法、及びそれに使用する研磨組成物
JP2011110637A (ja) * 2009-11-25 2011-06-09 Asahi Glass Co Ltd 磁気ディスク用ガラス基板の製造方法
WO2011068714A2 (en) * 2009-12-02 2011-06-09 3M Innovative Properties Company Dual tapered shaped abrasive particles
JP5877940B2 (ja) * 2010-04-08 2016-03-08 株式会社フジミインコーポレーテッド 銅及びシリコンが表面に露出したウェーハの研磨方法
US9859141B2 (en) 2010-04-15 2018-01-02 Suss Microtec Lithography Gmbh Apparatus and method for aligning and centering wafers
US9837295B2 (en) 2010-04-15 2017-12-05 Suss Microtec Lithography Gmbh Apparatus and method for semiconductor wafer leveling, force balancing and contact sensing
US8723046B2 (en) * 2010-06-22 2014-05-13 Nissha Printing Co., Ltd. Narrow frame touch input sheet with good anticorrosion property and manufacturing method thereof
GB2484348A (en) * 2010-10-08 2012-04-11 Rec Wafer Norway As Abrasive slurry and method of production of photovoltaic wafers
TWI575040B (zh) * 2011-03-18 2017-03-21 長興開發科技股份有限公司 可用於拋光矽通孔晶圓之拋光組成物及其用途
JP5617065B2 (ja) * 2011-09-09 2014-11-05 東京エレクトロン株式会社 剥離方法、プログラム、コンピュータ記憶媒体及び剥離システム
SG11201400767WA (en) * 2011-09-30 2014-04-28 3M Innovative Properties Co Methods of continuously wet etching a patterned substrate
US20140197356A1 (en) * 2011-12-21 2014-07-17 Cabot Microelectronics Corporation Cmp compositions and methods for suppressing polysilicon removal rates
JP2015512971A (ja) 2012-02-15 2015-04-30 インテグリス,インコーポレイテッド 組成物を使用したcmp後除去及び使用方法
CN102618174A (zh) * 2012-02-28 2012-08-01 南通海迅天恒纳米科技有限公司 高稀释比和高稳定性的硅片化学机械抛光组合物
TWI456013B (zh) * 2012-04-10 2014-10-11 Uwiz Technology Co Ltd 研磨液組成物
WO2013169208A1 (en) * 2012-05-09 2013-11-14 National University Of Singapore Non-acidic isotropic etch-back for silicon wafer solar cells
JP5154704B1 (ja) * 2012-06-29 2013-02-27 三島光産株式会社 研磨パッド成形金型の製造方法、その方法で製造される研磨パッド成形金型、及びその金型で製造した研磨パッド
EP2692816A1 (de) * 2012-08-02 2014-02-05 Robert Bosch Gmbh Schleifkorn mit einander durchdringenden flächigen Körpern
EP2692817A1 (de) * 2012-08-02 2014-02-05 Robert Bosch Gmbh Schleifkorn mit unter einem Winkel angeordneten Platten
WO2014047014A1 (en) * 2012-09-21 2014-03-27 3M Innovative Properties Company Incorporating additives into fixed abrasive webs for improved cmp performance
US9388330B2 (en) * 2012-12-17 2016-07-12 Fuji Engineering Co., Ltd. Bag containing blasting material
CN104903052A (zh) * 2013-01-04 2015-09-09 福吉米株式会社 合金材料的研磨方法和合金材料的制造方法
US8957006B2 (en) * 2013-03-11 2015-02-17 Taiwan Semiconductor Manufacturing Company, Ltd. Cleaning solution comprising an ether acetate for preventing pattern collapse
JP6209845B2 (ja) * 2013-04-11 2017-10-11 日立化成株式会社 研磨液、研磨液セット及び基体の研磨方法
US10001442B2 (en) * 2013-06-13 2018-06-19 The Regents Of The University Of California Optical fiber-based hybrid SERS platform for in vivo detection of bio-molecules
WO2015047939A1 (en) * 2013-09-25 2015-04-02 3M Innovative Properties Company Composite ceramic abrasive polishing solution
WO2015057433A1 (en) * 2013-10-18 2015-04-23 Cabot Microelectronics Corporation Polishing composition and method for nickel-phosphorous coated memory disks
US9834746B2 (en) 2013-10-21 2017-12-05 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulations for removing residues on surfaces
CN104576351B (zh) * 2013-10-23 2017-09-22 中芯国际集成电路制造(上海)有限公司 化学机械研磨方法
KR101524624B1 (ko) * 2013-11-18 2015-06-03 주식회사 케이씨텍 고단차 연마용 슬러리 첨가제 조성물 및 이를 포함하는 고단차 연마용 슬러리 조성물
WO2015084921A1 (en) 2013-12-06 2015-06-11 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulation for removing residues on surfaces
CN104726061A (zh) * 2013-12-19 2015-06-24 3M创新有限公司 磨料、研磨件及其制备方法
WO2015119925A1 (en) 2014-02-05 2015-08-13 Advanced Technology Materials, Inc. Non-amine post-cmp compositions and method of use
SG10201907142VA (en) * 2014-03-18 2019-09-27 Fujifilm Electronic Materials Usa Inc Etching composition
TWI558850B (zh) * 2014-03-29 2016-11-21 精密聚合物股份有限公司 電子零件用處理液及電子零件之製造方法
US9873180B2 (en) 2014-10-17 2018-01-23 Applied Materials, Inc. CMP pad construction with composite material properties using additive manufacturing processes
US10875153B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Advanced polishing pad materials and formulations
KR102295988B1 (ko) 2014-10-17 2021-09-01 어플라이드 머티어리얼스, 인코포레이티드 애디티브 제조 프로세스들을 이용한 복합 재료 특성들을 갖는 cmp 패드 구성
US9776361B2 (en) 2014-10-17 2017-10-03 Applied Materials, Inc. Polishing articles and integrated system and methods for manufacturing chemical mechanical polishing articles
US11745302B2 (en) 2014-10-17 2023-09-05 Applied Materials, Inc. Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process
WO2016068182A1 (ja) * 2014-10-31 2016-05-06 富士フイルム株式会社 Mramドライエッチング残渣除去組成物、磁気抵抗メモリの製造方法、及び、コバルト除去組成物
CN104659156B (zh) * 2015-03-03 2017-05-17 中节能太阳能科技(镇江)有限公司 一种单晶硅太阳能电池的刻蚀方法
TWI603813B (zh) * 2015-04-20 2017-11-01 中國砂輪企業股份有限公司 研磨工具及其製造方法
TWI609742B (zh) * 2015-04-20 2018-01-01 中國砂輪企業股份有限公司 研磨工具
KR102447178B1 (ko) 2015-09-01 2022-09-26 삼성전자주식회사 반도체 장치의 제조 방법
WO2017074773A1 (en) 2015-10-30 2017-05-04 Applied Materials, Inc. An apparatus and method of forming a polishing article that has a desired zeta potential
US10593574B2 (en) 2015-11-06 2020-03-17 Applied Materials, Inc. Techniques for combining CMP process tracking data with 3D printed CMP consumables
US9944829B2 (en) * 2015-12-03 2018-04-17 Treliant Fang Halite salts as silicon carbide etchants for enhancing CMP material removal rate for SiC wafer
US10391605B2 (en) 2016-01-19 2019-08-27 Applied Materials, Inc. Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process
CN105710760A (zh) * 2016-04-22 2016-06-29 衡阳市宏达威环保科技有限公司 一种铝合金碱性研磨液
CN110088359B (zh) * 2016-10-11 2022-07-01 富士胶片电子材料美国有限公司 高温cmp组合物及其使用方法
WO2018125905A1 (en) * 2016-12-30 2018-07-05 Fujifilm Planar Solutions, LLC Polishing compositions
CN106956212B (zh) * 2017-03-17 2018-12-04 衢州学院 一种采用化学抛光液和陶瓷抛光盘的氮化铝基片抛光方法
JP6938262B2 (ja) * 2017-07-24 2021-09-22 株式会社ディスコ ウエーハの加工方法
US11471999B2 (en) * 2017-07-26 2022-10-18 Applied Materials, Inc. Integrated abrasive polishing pads and manufacturing methods
WO2019032286A1 (en) 2017-08-07 2019-02-14 Applied Materials, Inc. ABRASIVE DISTRIBUTION POLISHING PADS AND METHODS OF MAKING SAME
US10170335B1 (en) * 2017-09-21 2019-01-01 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing method for cobalt
CN111902379B (zh) 2018-03-28 2023-02-17 富士胶片电子材料美国有限公司 清洗组合物
CN108504289A (zh) * 2018-04-03 2018-09-07 苏州晶瑞化学股份有限公司 一种金刚线切割多晶硅片制绒抛光调控剂
TWI755607B (zh) 2018-06-22 2022-02-21 美商應用材料股份有限公司 金屬薄膜之催化沉積
US10947414B2 (en) * 2018-07-31 2021-03-16 Taiwan Semiconductor Manufacturing Co., Ltd. Compositions for use in chemical mechanical polishing
CN109015204B (zh) * 2018-08-29 2020-11-27 包头市利晨科技有限公司 一种适用于cr39树脂镜片的抛光方法
WO2020050932A1 (en) 2018-09-04 2020-03-12 Applied Materials, Inc. Formulations for advanced polishing pads
US20200377792A1 (en) * 2019-06-03 2020-12-03 Fujifilm Electronic Materials U.S.A., Inc. Etching compositions
JP7527313B2 (ja) * 2019-06-13 2024-08-02 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 半導体デバイスの製造中にp-ドープされたシリコン及びシリコン―ゲルマニウムに対してポリシリコンを選択的に除去するための液体組成物
CN110273158B (zh) * 2019-07-25 2021-02-26 郑州大学 一种用作医用镁合金缓蚀剂的席夫碱、其制备方法及利用其制备自修复耐蚀杂化涂层的方法
CN114651317A (zh) 2019-09-10 2022-06-21 富士胶片电子材料美国有限公司 蚀刻组合物
KR102358801B1 (ko) * 2019-12-27 2022-02-08 주식회사 케이씨텍 표면 처리 조성물 및 이를 이용한 표면 처리 방법
CN111469062B (zh) * 2020-04-15 2021-08-17 浙江工业大学 制动分离式液态金属研磨盘装置
CN112266832B (zh) * 2020-09-21 2021-08-24 江苏奥首材料科技有限公司 一种半导体芯片清洗剂及制备方法与应用
CN112408485B (zh) * 2020-11-26 2023-02-03 江西理工大学 一种制备阵列微/纳结构钨氧化物的方法
US11878389B2 (en) 2021-02-10 2024-01-23 Applied Materials, Inc. Structures formed using an additive manufacturing process for regenerating surface texture in situ
CN113265224B (zh) * 2021-04-29 2021-11-30 郑州磨料磨具磨削研究所有限公司 一种喷雾型金刚石研磨液及其制备方法
US20240191101A1 (en) * 2021-05-13 2024-06-13 Araca Inc. Silicon carbide (sic) wafer polishing with slurry formulation and process
CN114907022B (zh) * 2022-04-28 2023-04-25 中国科学院合肥物质科学研究院 一种具有防结冰和除冰性能的高透明太阳光热转换涂层玻璃及其制备方法
US20230402276A1 (en) * 2022-06-13 2023-12-14 Tokyo Electron Limited Methods For Selective Removal Of Surface Oxides On Metal Films

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5460742A (en) * 1993-05-18 1995-10-24 Reckitt & Colman Inc. Aqueous acidic hard surface cleaner with abrasive
US5507978A (en) * 1995-05-08 1996-04-16 Ocg Microelectronic Materials, Inc. Novolak containing photoresist stripper composition
US5958794A (en) * 1995-09-22 1999-09-28 Minnesota Mining And Manufacturing Company Method of modifying an exposed surface of a semiconductor wafer
US6121143A (en) * 1997-09-19 2000-09-19 3M Innovative Properties Company Abrasive articles comprising a fluorochemical agent for wafer surface modification
US20030176151A1 (en) * 2002-02-12 2003-09-18 Applied Materials, Inc. STI polish enhancement using fixed abrasives with amino acid additives

Family Cites Families (184)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1910444A (en) 1931-02-13 1933-05-23 Carborundum Co Process of making abrasive materials
US2115897A (en) 1935-05-15 1938-05-03 Carborundum Co Abrasive article
US2286208A (en) 1940-12-03 1942-06-16 Carborundum Co Granular coated article and its manufacture
US2485295A (en) 1947-09-17 1949-10-18 Adolph J Larson Pack of abrasive coated sheets
US2667436A (en) 1950-09-21 1954-01-26 Carborundum Co Pressure sensitive adhesive coated sheet material
US2952951A (en) 1952-07-28 1960-09-20 Simpson Harry Arthur Abrasive or like materials and articles
US2755607A (en) 1953-06-01 1956-07-24 Norton Co Coated abrasives
US3188265A (en) 1957-11-12 1965-06-08 Minnesota Mining & Mfg Packaging films
US2888785A (en) 1958-06-30 1959-06-02 Thelma E Kellican Eraser cleaner
US3041156A (en) 1959-07-22 1962-06-26 Norton Co Phenolic resin bonded grinding wheels
NL149551B (nl) 1964-08-04 1976-05-17 Dow Chemical Co Werkwijze voor het reinigen en passiveren van ijzerhoudende metaaloppervlakken, waarop metallisch koper is afgezet.
US3324608A (en) 1965-01-27 1967-06-13 Thompson Proc Co Inc Facing assembly for lens grinding tools and the like
US3504457A (en) 1966-07-05 1970-04-07 Geoscience Instr Corp Polishing apparatus
US3499250A (en) 1967-04-07 1970-03-10 Geoscience Instr Corp Polishing apparatus
US3605349A (en) 1969-05-08 1971-09-20 Frederick B Anthon Abrasive finishing article
US3594865A (en) 1969-07-10 1971-07-27 American Velcro Inc Apparatus for molding plastic shapes in molding recesses formed in moving endless wire dies
US3849949A (en) 1972-10-10 1974-11-26 Minnesota Mining & Mfg Roll of pressure sensitive adhesive, adhesive coated abrasive discs and dispenser
US3916584A (en) 1973-03-22 1975-11-04 Minnesota Mining & Mfg Spheroidal composite particle and method of making
US3875703A (en) 1973-12-26 1975-04-08 Joseph V Clemente Flexible sanding disc unit
US3911562A (en) 1974-01-14 1975-10-14 Signetics Corp Method of chemical polishing of planar silicon structures having filled grooves therein
US3887446A (en) 1974-07-26 1975-06-03 Us Navy Electrochemical preparation of metallic tellurides
US4138228A (en) 1977-02-02 1979-02-06 Ralf Hoehn Abrasive of a microporous polymer matrix with inorganic particles thereon
US4255164A (en) 1979-04-30 1981-03-10 Minnesota Mining And Manufacturing Company Fining sheet and method of making and using the same
US4314827A (en) 1979-06-29 1982-02-09 Minnesota Mining And Manufacturing Company Non-fused aluminum oxide-based abrasive mineral
US4355110A (en) 1979-07-19 1982-10-19 Miller Brewing Company Preparation of debranching enzyme from rice for producing a low calorie beer
US4479981A (en) * 1982-05-03 1984-10-30 Ashland Oil, Inc. Water-borne hard coating compositions and processes therefor
US4509581A (en) 1982-05-20 1985-04-09 Wirtz Manufacturing Company, Inc. Machine for continuously casting battery grids
US4512113A (en) 1982-09-23 1985-04-23 Budinger William D Workpiece holder for polishing operation
US5527368C1 (en) 1983-03-11 2001-05-08 Norton Co Coated abrasives with rapidly curable adhesives
US4563388A (en) 1983-03-28 1986-01-07 Minnesota Mining And Manufacturing Company Polyolefin substrate coated with acrylic-type normally tacky and pressure-sensitive adhesive and a method of making same
US4642221A (en) 1983-07-05 1987-02-10 Atlantic Richfield Company Method and composition for inhibiting corrosion in aqueous heat transfer systems
US4623364A (en) 1984-03-23 1986-11-18 Norton Company Abrasive material and method for preparing the same
US4642126A (en) 1985-02-11 1987-02-10 Norton Company Coated abrasives with rapidly curable adhesives and controllable curvature
US4609581A (en) 1985-04-15 1986-09-02 Minnesota Mining And Manufacturing Company Coated abrasive sheet material with loop attachment means
CA1254238A (en) 1985-04-30 1989-05-16 Alvin P. Gerk Process for durable sol-gel produced alumina-based ceramics, abrasive grain and abrasive products
US4652275A (en) 1985-08-07 1987-03-24 Minnesota Mining And Manufacturing Company Erodable agglomerates and abrasive products containing the same
US4652274A (en) 1985-08-07 1987-03-24 Minnesota Mining And Manufacturing Company Coated abrasive product having radiation curable binder
US4944836A (en) 1985-10-28 1990-07-31 International Business Machines Corporation Chem-mech polishing method for producing coplanar metal/insulator films on a substrate
US4671851A (en) 1985-10-28 1987-06-09 International Business Machines Corporation Method for removing protuberances at the surface of a semiconductor wafer using a chem-mech polishing technique
US4773920B1 (en) 1985-12-16 1995-05-02 Minnesota Mining & Mfg Coated abrasive suitable for use as a lapping material.
US4749617A (en) 1985-12-18 1988-06-07 Minnesota Mining And Manufacturing Company Composite article containing rigid layers
US4770671A (en) 1985-12-30 1988-09-13 Minnesota Mining And Manufacturing Company Abrasive grits formed of ceramic containing oxides of aluminum and yttrium, method of making and using the same and products made therewith
US4644703A (en) 1986-03-13 1987-02-24 Norton Company Plural layered coated abrasive
US4927432A (en) 1986-03-25 1990-05-22 Rodel, Inc. Pad material for grinding, lapping and polishing
US4751138A (en) 1986-08-11 1988-06-14 Minnesota Mining And Manufacturing Company Coated abrasive having radiation curable binder
US4799939A (en) 1987-02-26 1989-01-24 Minnesota Mining And Manufacturing Company Erodable agglomerates and abrasive products containing the same
US4735632A (en) 1987-04-02 1988-04-05 Minnesota Mining And Manufacturing Company Coated abrasive binder containing ternary photoinitiator system
US4881951A (en) 1987-05-27 1989-11-21 Minnesota Mining And Manufacturing Co. Abrasive grits formed of ceramic containing oxides of aluminum and rare earth metal, method of making and products made therewith
GB8718219D0 (en) * 1987-07-31 1987-09-09 Unilever Plc Liquid abrasive cleaning composition
US4933234A (en) 1987-08-13 1990-06-12 Minnesota Mining And Manufacturing Company Primed polymeric surfaces for cyanoacrylate adhesives
US4950696A (en) 1987-08-28 1990-08-21 Minnesota Mining And Manufacturing Company Energy-induced dual curable compositions
US4906523A (en) 1987-09-24 1990-03-06 Minnesota Mining And Manufacturing Company Primer for surfaces containing inorganic oxide
JP2707264B2 (ja) 1987-12-28 1998-01-28 ハイ・コントロール・リミテッド 研磨シートおよびその製造方法
JPH01188599A (ja) * 1988-01-22 1989-07-27 Daikin Ind Ltd 共沸溶剤組成物
US4930266A (en) 1988-02-26 1990-06-05 Minnesota Mining And Manufacturing Company Abrasive sheeting having individually positioned abrasive granules
US4974373A (en) 1988-03-14 1990-12-04 Tokyo Magnetic Printing Co., Ltd. Abrasive tools
US5104929A (en) 1988-04-11 1992-04-14 Minnesota Mining And Manufacturing Company Abrasion resistant coatings comprising silicon dioxide dispersions
US4885332A (en) 1988-04-11 1989-12-05 Minnesota Mining And Manufacturing Company Photocurable abrasion resistant coatings comprising silicon dioxide dispersions
US5254194A (en) 1988-05-13 1993-10-19 Minnesota Mining And Manufacturing Company Coated abrasive sheet material with loop material for attachment incorporated therein
US4985340A (en) 1988-06-01 1991-01-15 Minnesota Mining And Manufacturing Company Energy curable compositions: two component curing agents
CH675250A5 (zh) 1988-06-17 1990-09-14 Lonza Ag
US4879258A (en) 1988-08-31 1989-11-07 Texas Instruments Incorporated Integrated circuit planarization by mechanical polishing
US5011508A (en) 1988-10-14 1991-04-30 Minnesota Mining And Manufacturing Company Shelling-resistant abrasive grain, a method of making the same, and abrasive products
US4903440A (en) 1988-11-23 1990-02-27 Minnesota Mining And Manufacturing Company Abrasive product having binder comprising an aminoplast resin
US4954142A (en) 1989-03-07 1990-09-04 International Business Machines Corporation Method of chemical-mechanical polishing an electronic component substrate and polishing slurry therefor
US4959265A (en) 1989-04-17 1990-09-25 Minnesota Mining And Manufacturing Company Pressure-sensitive adhesive tape fastener for releasably attaching an object to a fabric
US5014468A (en) 1989-05-05 1991-05-14 Norton Company Patterned coated abrasive for fine surface finishing
US5061294A (en) 1989-05-15 1991-10-29 Minnesota Mining And Manufacturing Company Abrasive article with conductive, doped, conjugated, polymer coat and method of making same
US5011513A (en) 1989-05-31 1991-04-30 Norton Company Single step, radiation curable ophthalmic fining pad
US4997461A (en) 1989-09-11 1991-03-05 Norton Company Nitrified bonded sol gel sintered aluminous abrasive bodies
US5068280A (en) 1989-09-12 1991-11-26 The Dow Chemical Company Polyurethane and/or polyurea dispersions in active hydrogen-containing compositions
US5141790A (en) 1989-11-20 1992-08-25 Minnesota Mining And Manufacturing Company Repositionable pressure-sensitive adhesive tape
US5199227A (en) 1989-12-20 1993-04-06 Minnesota Mining And Manufacturing Company Surface finishing tape
US5020283A (en) 1990-01-22 1991-06-04 Micron Technology, Inc. Polishing pad with uniform abrasion
US5177908A (en) 1990-01-22 1993-01-12 Micron Technology, Inc. Polishing pad
US5257478A (en) 1990-03-22 1993-11-02 Rodel, Inc. Apparatus for interlayer planarization of semiconductor material
US5104421B1 (en) 1990-03-23 1993-11-16 Fujimi Abrasives Co.,Ltd. Polishing method of goods and abrasive pad therefor
DE69107492T2 (de) 1990-03-30 1995-10-19 Wiand Ronald C Marmor-, Granit- und Steinenbearbeitungsverfahren und Schleifpolster hierfür.
US5085671A (en) 1990-05-02 1992-02-04 Minnesota Mining And Manufacturing Company Method of coating alumina particles with refractory material, abrasive particles made by the method and abrasive products containing the same
US5082540A (en) 1990-05-07 1992-01-21 The Secretary Of State For Defence In Her Britannic Majesty's Government Of The United Kingdom Of Great Britain And Northern Ireland Fluoride ion sensitive materials
US5607488A (en) 1990-05-21 1997-03-04 Wiand; Ronald C. Molded abrasive article and process
US5174795A (en) 1990-05-21 1992-12-29 Wiand Ronald C Flexible abrasive pad with ramp edge surface
US5137542A (en) 1990-08-08 1992-08-11 Minnesota Mining And Manufacturing Company Abrasive printed with an electrically conductive ink
US5370718A (en) 1990-08-22 1994-12-06 Hitachi Maxell, Ltd. Abrasive tape
US5077870A (en) 1990-09-21 1992-01-07 Minnesota Mining And Manufacturing Company Mushroom-type hook strip for a mechanical fastener
US5981454A (en) 1993-06-21 1999-11-09 Ekc Technology, Inc. Post clean treatment composition comprising an organic acid and hydroxylamine
US6546939B1 (en) 1990-11-05 2003-04-15 Ekc Technology, Inc. Post clean treatment
US6110881A (en) 1990-11-05 2000-08-29 Ekc Technology, Inc. Cleaning solutions including nucleophilic amine compound having reduction and oxidation potentials
US5279771A (en) 1990-11-05 1994-01-18 Ekc Technology, Inc. Stripping compositions comprising hydroxylamine and alkanolamine
US5152917B1 (en) 1991-02-06 1998-01-13 Minnesota Mining & Mfg Structured abrasive article
US5378251A (en) 1991-02-06 1995-01-03 Minnesota Mining And Manufacturing Company Abrasive articles and methods of making and using same
US5107626A (en) 1991-02-06 1992-04-28 Minnesota Mining And Manufacturing Company Method of providing a patterned surface on a substrate
US5236472A (en) 1991-02-22 1993-08-17 Minnesota Mining And Manufacturing Company Abrasive product having a binder comprising an aminoplast binder
DE69206685T2 (de) 1991-06-06 1996-07-04 Commissariat Energie Atomique Poliermaschine mit einem gespannten Feinschleifband und einem verbesserten Werkstückträgerkopf
US5197999A (en) 1991-09-30 1993-03-30 National Semiconductor Corporation Polishing pad for planarization
US5320706A (en) 1991-10-15 1994-06-14 Texas Instruments Incorporated Removing slurry residue from semiconductor wafer planarization
US5219462A (en) 1992-01-13 1993-06-15 Minnesota Mining And Manufacturing Company Abrasive article having abrasive composite members positioned in recesses
US5437754A (en) 1992-01-13 1995-08-01 Minnesota Mining And Manufacturing Company Abrasive article having precise lateral spacing between abrasive composite members
US5178646A (en) 1992-01-22 1993-01-12 Minnesota Mining And Manufacturing Company Coatable thermally curable binder presursor solutions modified with a reactive diluent, abrasive articles incorporating same, and methods of making said abrasive articles
US5368618A (en) 1992-01-22 1994-11-29 Minnesota Mining And Manufacturing Company Method of making a coated abrasive article
US5256170A (en) 1992-01-22 1993-10-26 Minnesota Mining And Manufacturing Company Coated abrasive article and method of making same
US5222329A (en) 1992-03-26 1993-06-29 Micron Technology, Inc. Acoustical method and system for detecting and controlling chemical-mechanical polishing (CMP) depths into layers of conductors, semiconductors, and dielectric materials
US5445996A (en) 1992-05-26 1995-08-29 Kabushiki Kaisha Toshiba Method for planarizing a semiconductor device having a amorphous layer
US5203884A (en) 1992-06-04 1993-04-20 Minnesota Mining And Manufacturing Company Abrasive article having vanadium oxide incorporated therein
US5366523A (en) 1992-07-23 1994-11-22 Minnesota Mining And Manufacturing Company Abrasive article containing shaped abrasive particles
US5213591A (en) 1992-07-28 1993-05-25 Ahmet Celikkaya Abrasive grain, method of making same and abrasive products
MY114512A (en) 1992-08-19 2002-11-30 Rodel Inc Polymeric substrate with polymeric microelements
US6069080A (en) 1992-08-19 2000-05-30 Rodel Holdings, Inc. Fixed abrasive polishing system for the manufacture of semiconductor devices, memory disks and the like
US5307593A (en) 1992-08-31 1994-05-03 Minnesota Mining And Manufacturing Company Method of texturing rigid memory disks using an abrasive article
JP2839801B2 (ja) 1992-09-18 1998-12-16 三菱マテリアル株式会社 ウェーハの製造方法
US5232875A (en) 1992-10-15 1993-08-03 Micron Technology, Inc. Method and apparatus for improving planarity of chemical-mechanical planarization operations
CA2151932A1 (en) 1992-12-17 1994-06-23 Scott R. Culler Reduced viscosity slurries, abrasive articles made therefrom, and methods of making said articles
US5342419A (en) 1992-12-31 1994-08-30 Minnesota Mining And Manufacturing Company Abrasive composites having a controlled rate of erosion, articles incorporating same, and methods of making and using same
US5435816A (en) 1993-01-14 1995-07-25 Minnesota Mining And Manufacturing Company Method of making an abrasive article
US5424224A (en) 1993-01-19 1995-06-13 Texas Instruments Incorporated Method of surface protection of a semiconductor wafer during polishing
CA2113318A1 (en) 1993-01-28 1994-07-29 Robert J. Jantschek Abrasive attachment system for rotative abrading applications
EP0616362A3 (en) 1993-03-15 1995-06-21 Tokyo Shibaura Electric Co Process for polishing workpieces and device therefor.
GB9309972D0 (en) 1993-05-14 1993-06-30 De Beers Ind Diamond Tool insert
US5391258A (en) * 1993-05-26 1995-02-21 Rodel, Inc. Compositions and methods for polishing
JP2622069B2 (ja) 1993-06-30 1997-06-18 三菱マテリアル株式会社 研磨布のドレッシング装置
US5549962A (en) 1993-06-30 1996-08-27 Minnesota Mining And Manufacturing Company Precisely shaped particles and method of making the same
BE1007281A3 (nl) 1993-07-12 1995-05-09 Philips Electronics Nv Werkwijze voor het polijsten van een oppervlak van koper of een in hoofdzaak koper bevattende legering, magneetkop vervaardigbaar met gebruikmaking van de werkwijze, röntgenstralingcollimerend element en röntgenstralingreflecterend element, beide voorzien van een volgens de werkwijze gepolijst oppervlak en polijstmiddel geschikt voor toepassing in de werkwijze.
US5378252A (en) 1993-09-03 1995-01-03 Minnesota Mining And Manufacturing Company Abrasive articles
US5489235A (en) 1993-09-13 1996-02-06 Minnesota Mining And Manufacturing Company Abrasive article and method of making same
JP2832138B2 (ja) 1993-09-30 1998-12-02 信越半導体株式会社 ウェーハ外周部の研磨装置
US5453312A (en) 1993-10-29 1995-09-26 Minnesota Mining And Manufacturing Company Abrasive article, a process for its manufacture, and a method of using it to reduce a workpiece surface
CA2133259A1 (en) 1993-10-29 1995-04-30 Gene O. Lindholm Method for the polishing and finishing of optical lenses
US5454844A (en) 1993-10-29 1995-10-03 Minnesota Mining And Manufacturing Company Abrasive article, a process of making same, and a method of using same to finish a workpiece surface
US5340370A (en) 1993-11-03 1994-08-23 Intel Corporation Slurries for chemical mechanical polishing
CA2134156A1 (en) 1993-11-22 1995-05-23 Thomas P. Klun Coatable compositions, abrasive articles made therefrom, and methods of making and using same
US5575885A (en) 1993-12-14 1996-11-19 Kabushiki Kaisha Toshiba Copper-based metal polishing solution and method for manufacturing semiconductor device
US5653623A (en) 1993-12-14 1997-08-05 Ebara Corporation Polishing apparatus with improved exhaust
US5441598A (en) 1993-12-16 1995-08-15 Motorola, Inc. Polishing pad for chemical-mechanical polishing of a semiconductor substrate
US5391210A (en) 1993-12-16 1995-02-21 Minnesota Mining And Manufacturing Company Abrasive article
US5505747A (en) 1994-01-13 1996-04-09 Minnesota Mining And Manufacturing Company Method of making an abrasive article
US5417725A (en) 1994-02-01 1995-05-23 Graves; Gordon C. Penetration and fixture freeing agent
US5422316A (en) 1994-03-18 1995-06-06 Memc Electronic Materials, Inc. Semiconductor wafer polisher and method
US5489233A (en) 1994-04-08 1996-02-06 Rodel, Inc. Polishing pads and methods for their use
US5466389A (en) * 1994-04-20 1995-11-14 J. T. Baker Inc. PH adjusted nonionic surfactant-containing alkaline cleaner composition for cleaning microelectronics substrates
US5622875A (en) 1994-05-06 1997-04-22 Kobe Precision, Inc. Method for reclaiming substrate from semiconductor wafers
USD366365S (en) 1994-05-11 1996-01-23 Minnesota Mining And Manufacturing Company Coated abrasive sheet article
JP2894209B2 (ja) 1994-06-03 1999-05-24 信越半導体株式会社 シリコンウェーハ研磨用パッド及び研磨方法
JP3397501B2 (ja) 1994-07-12 2003-04-14 株式会社東芝 研磨剤および研磨方法
US5607341A (en) 1994-08-08 1997-03-04 Leach; Michael A. Method and structure for polishing a wafer during manufacture of integrated circuits
JPH0947947A (ja) 1994-08-30 1997-02-18 Seiko Seiki Co Ltd 研削装置、並びに研削方法、並びに半導体装置及び半導体基板の製造方法
US5527423A (en) 1994-10-06 1996-06-18 Cabot Corporation Chemical mechanical polishing slurry for metal layers
US5643044A (en) 1994-11-01 1997-07-01 Lund; Douglas E. Automatic chemical and mechanical polishing system for semiconductor wafers
JP2616736B2 (ja) 1995-01-25 1997-06-04 日本電気株式会社 ウエーハ研磨装置
JP3305557B2 (ja) 1995-04-10 2002-07-22 大日本印刷株式会社 研磨テープ、その製造方法および研磨テープ用塗工剤
TW303487B (zh) 1995-05-29 1997-04-21 Shinetsu Handotai Co Ltd
US6046110A (en) 1995-06-08 2000-04-04 Kabushiki Kaisha Toshiba Copper-based metal polishing solution and method for manufacturing a semiconductor device
JPH0982668A (ja) 1995-09-20 1997-03-28 Sony Corp 研磨用スラリー及びこの研磨用スラリーを用いる研磨方法
US5609517A (en) 1995-11-20 1997-03-11 International Business Machines Corporation Composite polishing pad
US5840629A (en) 1995-12-14 1998-11-24 Sematech, Inc. Copper chemical mechanical polishing slurry utilizing a chromate oxidant
US5700383A (en) 1995-12-21 1997-12-23 Intel Corporation Slurries and methods for chemical mechanical polish of aluminum and titanium aluminide
US5624303A (en) 1996-01-22 1997-04-29 Micron Technology, Inc. Polishing pad and a method for making a polishing pad with covalently bonded particles
JPH09258397A (ja) 1996-03-19 1997-10-03 Fuji Photo Film Co Ltd ハロゲン化銀感光材料
US5780358A (en) 1996-04-08 1998-07-14 Chartered Semiconductor Manufacturing Ltd. Method for chemical-mechanical polish (CMP) planarizing of cooper containing conductor layers
US5692950A (en) 1996-08-08 1997-12-02 Minnesota Mining And Manufacturing Company Abrasive construction for semiconductor wafer modification
US5972792A (en) 1996-10-18 1999-10-26 Micron Technology, Inc. Method for chemical-mechanical planarization of a substrate on a fixed-abrasive polishing pad
US5958288A (en) 1996-11-26 1999-09-28 Cabot Corporation Composition and slurry useful for metal CMP
SG68005A1 (en) 1996-12-02 1999-10-19 Fujimi Inc Polishing composition
US6309560B1 (en) 1996-12-09 2001-10-30 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper substrates
US5954997A (en) * 1996-12-09 1999-09-21 Cabot Corporation Chemical mechanical polishing slurry useful for copper substrates
DE69841220D1 (de) * 1997-04-30 2009-11-19 Minnesota Mining & Mfg Verfahren zum planarisieren der oberfläche eines halbleiterwafers
US6194317B1 (en) * 1998-04-30 2001-02-27 3M Innovative Properties Company Method of planarizing the upper surface of a semiconductor wafer
US6083419A (en) 1997-07-28 2000-07-04 Cabot Corporation Polishing composition including an inhibitor of tungsten etching
US5897375A (en) 1997-10-20 1999-04-27 Motorola, Inc. Chemical mechanical polishing (CMP) slurry for copper and method of use in integrated circuit manufacture
JP3371775B2 (ja) 1997-10-31 2003-01-27 株式会社日立製作所 研磨方法
US6096652A (en) 1997-11-03 2000-08-01 Motorola, Inc. Method of chemical mechanical planarization using copper coordinating ligands
US5897426A (en) 1998-04-24 1999-04-27 Applied Materials, Inc. Chemical mechanical polishing with multiple polishing pads
US6066028A (en) 1998-12-14 2000-05-23 The United States Of America As Represented By The Secretary Of The Navy Polishing of copper
US6592676B1 (en) 1999-01-08 2003-07-15 Interuniversitair Micro-Elektronica Centrum Chemical solution and method for reducing the metal contamination on the surface of a semiconductor substrate
US6376381B1 (en) 1999-08-31 2002-04-23 Micron Technology, Inc. Planarizing solutions, planarizing machines, and methods for mechanical and/or chemical-mechanical planarization of microelectronic substrate assemblies
US6491843B1 (en) * 1999-12-08 2002-12-10 Eastman Kodak Company Slurry for chemical mechanical polishing silicon dioxide
US20030006396A1 (en) 1999-12-14 2003-01-09 Hongyu Wang Polishing composition for CMP having abrasive particles
TW584658B (en) * 2001-04-12 2004-04-21 Rodel Inc Polishing composition having a surfactant
US6936543B2 (en) * 2002-06-07 2005-08-30 Cabot Microelectronics Corporation CMP method utilizing amphiphilic nonionic surfactants
JP4159084B2 (ja) 2002-11-15 2008-10-01 シチズン電子株式会社 傾斜スイッチ
US20050056810A1 (en) 2003-09-17 2005-03-17 Jinru Bian Polishing composition for semiconductor wafers
US20070077865A1 (en) 2005-10-04 2007-04-05 Cabot Microelectronics Corporation Method for controlling polysilicon removal
US20080182413A1 (en) 2006-08-16 2008-07-31 Menk Gregory E Selective chemistry for fixed abrasive cmp
US8591764B2 (en) 2006-12-20 2013-11-26 3M Innovative Properties Company Chemical mechanical planarization composition, system, and method of use
CN101910353A (zh) 2007-10-31 2010-12-08 3M创新有限公司 用于打磨晶片的组合物、方法和工艺

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5460742A (en) * 1993-05-18 1995-10-24 Reckitt & Colman Inc. Aqueous acidic hard surface cleaner with abrasive
US5507978A (en) * 1995-05-08 1996-04-16 Ocg Microelectronic Materials, Inc. Novolak containing photoresist stripper composition
US5958794A (en) * 1995-09-22 1999-09-28 Minnesota Mining And Manufacturing Company Method of modifying an exposed surface of a semiconductor wafer
US6121143A (en) * 1997-09-19 2000-09-19 3M Innovative Properties Company Abrasive articles comprising a fluorochemical agent for wafer surface modification
US20030176151A1 (en) * 2002-02-12 2003-09-18 Applied Materials, Inc. STI polish enhancement using fixed abrasives with amino acid additives

Also Published As

Publication number Publication date
US20120094487A1 (en) 2012-04-19
EP2186121B1 (en) 2017-05-17
US20080026583A1 (en) 2008-01-31
US8092707B2 (en) 2012-01-10
JP5513384B2 (ja) 2014-06-04
JP2010537404A (ja) 2010-12-02
EP2186121A4 (en) 2011-10-12
CN101779274B (zh) 2012-09-05
CN101779274A (zh) 2010-07-14
WO2009023387A3 (en) 2009-04-02
WO2009023387A2 (en) 2009-02-19
EP2186121A2 (en) 2010-05-19
KR101494034B1 (ko) 2015-02-16
TW200918654A (en) 2009-05-01
KR20100065328A (ko) 2010-06-16

Similar Documents

Publication Publication Date Title
TWI460261B (zh) 修飾適用於半導體製造之表面之組合物及方法
EP1016133B1 (en) Method of planarizing the upper surface of a semiconductor wafer
US6194317B1 (en) Method of planarizing the upper surface of a semiconductor wafer
EP1015175B1 (en) Abrasive articles comprising a fluorochemical agent for wafer surface modification
JP4634381B2 (ja) 三次元固定砥粒物品のインサイチュ活性化
KR100577127B1 (ko) 반도체 가공에 적합한 구조 웨이퍼 수정용 작동 액체 및방법
JP4515316B2 (ja) 半導体ウェーハの露出面を研磨する方法
US6039633A (en) Method and apparatus for mechanical and chemical-mechanical planarization of microelectronic-device substrate assemblies
TWI558801B (zh) 平滑鑽石表面及形成其之cmp法
TW200422365A (en) Mixed-abrasive polishing composition and method for using the same
JP4573492B2 (ja) 合成砥石
KR20240090747A (ko) 다결정 물질의 폴리싱
TW309631B (en) Method of modifying an exposed surface of a semiconductor wafer
JP2005349498A (ja) 研磨具および研磨具の製造方法
JP2003347244A (ja) 半導体ウエハの研磨方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees