TWI359443B - Method of manufacturing semiconductor device and s - Google Patents

Method of manufacturing semiconductor device and s Download PDF

Info

Publication number
TWI359443B
TWI359443B TW094130049A TW94130049A TWI359443B TW I359443 B TWI359443 B TW I359443B TW 094130049 A TW094130049 A TW 094130049A TW 94130049 A TW94130049 A TW 94130049A TW I359443 B TWI359443 B TW I359443B
Authority
TW
Taiwan
Prior art keywords
film
semiconductor device
forming
manufacturing
dielectric
Prior art date
Application number
TW094130049A
Other languages
English (en)
Other versions
TW200614331A (en
Inventor
Yoshiaki Oku
Nobutoshi Fujii
Kazuo Kohmura
Original Assignee
Ulvac Inc
Mitsui Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ulvac Inc, Mitsui Chemicals Inc filed Critical Ulvac Inc
Publication of TW200614331A publication Critical patent/TW200614331A/zh
Application granted granted Critical
Publication of TWI359443B publication Critical patent/TWI359443B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1042Formation and after-treatment of dielectrics the dielectric comprising air gaps
    • H01L2221/1047Formation and after-treatment of dielectrics the dielectric comprising air gaps the air gaps being formed by pores in the dielectric

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Description

1359443 九、發明說明: 【發明所屬之技術領域】 本發明係關於半導體裝置之製造方法及使用該方法所 形成之半導體裝置,特別是關於電介質薄膜(dielectdc .film)之製程損壞的修復技術。 【先前技術】 為達到半導體裝置之高速化、低耗電化,層間絕緣膜 籲(interlayer insulating fiim )之低介電係數 (dielectric constant)化係成為一重要課題。此外並針對 低介電係數化之目的進行各種改良,而由本發明者提出規 則性配列空孔之電介質薄膜的方案(參照專利文獻丨)。 另外,在電介質薄膜之改質方法上,另提出有:藉由 使經由Si-0鍵結(bonding)所形成的電介質薄膜接觸有機 矽化合物,並在不使用金屬觸媒的情況下進行熱處理以達 到提升疏水性(hydrophobic property)與機械強度 φ (mechanical strength)的方法(專利文獻 2)。 但疋上述電介質薄膜,雖然孔隙率(porosity)高而可 達成低介電係數化,用於實際的半導體裝置時,必須經過 各種處理製程。因此,即使可形成孔隙率高且介電係數低 的電介質薄膜,因孔隙率過高之故,自圖案化(patterning) 步驟起,到後續之各製程中,將在空孔内附著蝕刻殘渣, 而常導致介電係數上升,或機械強度降低的問題。 例如’以於半導體基板表面形成配線 (interconnection)構造的技術為例,有一種被稱為金屬鑲 5 317390 丄划443 坟法 “^(damascene process)的技術。 說明該金屬鑲崁法製程的一例。 首先,如第27圖(a)所示,於形成有元件區域之矽基 •板1〇1表面形成膜厚為50nm左右之氮化矽(siN)膜,以做 •為如第27圖(b)所示之蝕刻擋止層(etching stop) 102,而 在/、上層則如第27圖(c)所示形成多孔質(又稱多孔性, Porous)一氧化矽膜(p〇r〇us s丨1 ica f丨以做為低介電係 鲁數的電介質薄膜l〇3。 *主在成膜之際,先使形成有第丨配線層(無圖示)之基板 反潰於:將做為界面活性劑之陽離子型的溴化十六烷基三
甲基銨(cetyl trimethylammonium bromide,CTAB:Ci6H33N (CH3)3Br )、做為二氧化石夕衍生物之四乙氧基石夕烧 (TE0S:Tetraeth〇xy Silane,Si(〇C2H5)4)、以及做為酸觸 媒之鹽酸(HC1),溶解於HA/醇混合溶劑(H2〇/alc〇h〇1 mixed sol vent)而形成之先驅物(precurs〇r)溶液中,並以 φ 30到150°C的溫度保持1小時乃至12〇小時,藉此使二氧 化石夕衍生物在加水分解聚縮合(p〇lyc〇ndensati〇n)反應中 聚合(預備交聯步驟,pre-crosslink step),而形成界面 活性劑之週期性自凝集體(Self_aggregate、 self-assembly)。然後,將基板拉起,並經過水洗、乾燥 後’在400°C之大氣中或是氮氣環境中加熱_煅燒 (baking)3小時,以徹底熱分解去除模板的界面活性劑而 形成純粹的中多孔質(mesop〇rous)二氧化矽薄膜。 因此,為使所獲得之電介質薄膜丨〇3圖案化,並形成 317390 6 1359443 /接觸孔(contacth〇le),如第28圖(d)所示,係在形成做 為抗反射層(anti—reflective layer)l〇4的有機樹脂臈 後’塗布阻劑(photoresist)R1。 • 接著’如第28圖(e)所示藉由光微影技術 • (Photol i thography)使圖案曝光,再經過顯影(devel〇ping) 步驟而形成阻劑圖案。 之後如第29圖(〇所示,以阻劑圖案R1為遮罩(mask) 籲姓刻電丨丨質薄膜1 〇3,以形成配線溝(丨的打⑺仙“以〇n trench) ° 接著如第29圖(g)所示,藉由灰化(ashing)去除阻劑 圖案 以及抗反射膜(anti-ref lective f i lm)104。 接著,如第30圖(h)所示,為去除因蝕刻加工所致之 配線溝側壁的CF系堆積膜,及去除損壞部分,使用有機溶 劑進行洗淨處理,以達到表面之淨化。 然後於淨化表面,藉由PVD(Physical Vap〇r φ Deposition,物理氣相沈積)、CVD(Chemical Vap〇r Deposition,化學氣相沈積)或是 ALD(At〇mic Uyer Deposition,原子層沈積)法等,形成做為擴散障壁 (diffusion barrier)膜丨 〇5 之氮化鈕(TaN)、TaN/Ta 積層 膜或Ta膜或WN膜等,以及形成做為鍍銅用之種晶膜(seed film)106的銅薄膜(第3〇圖(i))。 之後如第31圖(j)所示,藉由電解電鍍法於Cu種晶膜 106上形成做為配線層丨的銅電鍍層。 接著在最後,如第31圖(k)所示,藉由CMP(化學機械 7 317390 ⑧ 1359443 « . 此外,用以去除蝕刻殘渣之有機洗淨(第30圖(h))的 、損壞,以及CMP步驟後的損壞(第31圖(1〇)等電介質薄膜 在成膜後之各種製程中會受到的損壞非常多,而產生機械 '強度降低、剝離、以及發生漏洩電流等問題。 口此中^孔貝(又稱中孔性,mesoporous)薄膜雖然 具備高孔隙率、低介電係數,但就反面而言,空孔内容易 滲入水、且容易產生來自汽相的汙染,因此實際上在製造 半導體裝置而做為層間絕緣膜之用時,在介電係數及強度 胃上很難獲得符合設計的值。 專利文獻1 ··特開2003-17482號公報 專利文獻2··特開2004-210573號公報 (發明所欲解決之課題) 因此,在習知之半導體製造過程中,存在有無法充分 降低層間絕緣膜之介電係數,以及機械強度不足的問題。 此外,隨著半導體裝置之微細化,非僅只前述金屬鑲 籲炭構造表自之平坦化須日益進展,㈣絕緣膜等絕緣膜的 CMP耐性也成為必要的要件。為充分發揮CMp耐性,必須 具備有所期望的彈性係數(elastic m〇dulus)與硬度。 【發明内容】 本發明係鑑於前述實情而創作,目的在提供一種介電 係數極低且機械強度極高(具備層間絕緣膜)的半導體裝 置。 、 (解決課題之手段) 因此本發明之半導體裝置的製造方法,係包含有:將 317390 9 ⑧ 1359443 以Si-Ο鍵結為主成分之骨架(frame)的周圍配置有多數空 孔的電介質薄膜成膜在形成有所需要之元件區域的半導體 •基板表面的步驟;於前述電介質薄膜表面,隔著遮罩進行 圖案化的步驟;使包含有四曱基環四矽氧烷(TMCTS,· tetramethylcyclotetrasil〇xane)、六甲基二矽氮烷 (HMDS,hexamethyldisiiazane)、三曱基氣矽烷(tmcs, trimethylchlorosilane)分子之至少一種分子的氣體接觸 _已進行過圖案化的前述電介質薄膜表面的步驟。 根據上述方法,由於可利用以Si_〇鍵結為主成分之骨 架周圍配置有多數空孔的電介質薄膜來構成絕緣膜,因空 氣的介電係數較低而得以使介電係數大幅降低,此外對於 起因於此空孔的製程損壞,則可藉由接觸至少包含有 TMCTS、_S、TMCS分子之其中一種分子的氣體,而使得 即使受到製程損壞亦能夠獲得良好的恢復狀態(修復, repair),並藉此維持剛成膜後之絕緣膜的極限低介電係 _數,以獲得機械強度高、且可靠性(reliability)高的絕緣 膜。 此外,由於在阻劑灰化等步驟中,即使受到損壞亦可 修復,因此基於不必使用硬遮罩(hardmask)而利用阻劑遮 罩即可進行圖案化的理由,而得以達到低成本化、及提升 圖案精度的目的。 在此所指之受到損壞,係指:產生Si-CH3鍵結— Si-0H 鍵結、Si-H鍵結—Si-〇H鍵結、Si_〇—Si鍵結—2Si_〇H等 鍵結的變化、或是一旦形成自由基(radical)之後變化為 317390 1359443 « % • Si~〇H鍵結、或是藉由配線形成製程重新形成吸附h2〇的 部位(s i t e )。 m · ’ 上述損壞’係被視為是導致電性(electrical • Pr〇Perty)或經時安定性(temporai stabi丨ity)劣化的原 因。 因此,用以修復損壞之處理步驟亦即修復處理步驟, 由於可藉由置換處理步驟中所使用之反應室内的氣體而輕 鲁易達到,因此作業性(w〇rkability)亦佳。亦即,係指:受 至J損壞而形成之Si -0H鍵結係藉由修復程序而產生ς丨_〇η£ 鍵結、Si-0-Si鍵結或是Si-Η鍵結等鍵結的變化。此外, 經由Si-H鍵結或Si-OH鍵結重新賦予Si-CH3鍵結或 S i 0 S鍵結,藉此即可改善電性與經時安定性。 在此可適用的電介質薄膜包括:多孔質二氧化矽膜、 沸石(zeolite)膜、HSQ(Hydrogen silsesqui〇xane,氫化 倍,矽氧烷)膜、MSQ(methyl silsesciuioxane,甲基倍半 _矽氧烷)膜等,成膜的方法也不限於塗布、煅燒法,亦可使 用CVD法等氣相成長法。例如,SiOC、SiOCH、SiCN、SiCO 膜亦為依照需要,藉由TMCTS、HMDS、TMCS等二氧化矽衍 生物而形成的疏水性膜。 在此,用於修復處理之二氧化矽衍生物可單獨使用, 但是亦可使用連續、同時、交互等方式組合多種二氧化石夕 衍生物。 此外,藉由使用TMCTS之修復處理,除了疏水性及電 性外’亦可提升機械強度、界面密著性 317390 ⑧ 1359443 adhesion) 〇 此外,修復處理最好是高濃度尤其是超臨界tmcts· 復處理。關於此點在HMDS、TMCS等二氧化矽衍生物的情況 下亦同。 此外,TMCTS修復處理亦適用於配線形成步驟時的保 護。 ’、 此外,該修復處理方法,除熱退火(thermal 鲁外,亦可以是電漿CVD處理。另外,藉由附加光照射,可 進一步提升反應性,並提高修復效果。 另外,由於可在低溫下形成,因此在做為積體電路之 層間絕緣膜使用時,可形成不影響底層之可靠性高的絕緣 膜。由於不必經過500Ϊ以上之加熱步驟即可形成,因此 亦適用於使用鋁配線的情形。 此外,由於該電介質薄膜可經由先驅物溶液之供給及 煅燒而形成,因此即使在微細區域中亦可形成高精度之圖 鲁案’故得以提升可靠性。 此外,可藉由調整先驅物溶液之濃度適度變更孔隙 度,而得以在作業性良好之情況下形成具期望之介電係數 的絕緣體薄膜。 因此,不僅可容易地形成低介電性絕緣膜,同時可降. 低寄生電容、並可達到半導體裴置之高速化。 在此’ TMCTS(1、3、5、7 —四甲基環四矽氧烷 (SiH(CH3) )4〇4))的分子式,係如下式所示。 317390 12 1359443 [化學式1]
另外,HMDS(六曱基二矽氮烷((CH3)3SiNHSi(CH3)3))的 分子式,係如下式所示。 [化學式2] 3
另外,TMCSC三曱基氣矽烷((CH3)3SiCl))的分子式, 係如下式所示。 [化學式3] CH3 ch3〆?卜Cl ch3
317390 =外’於本發明之半導體裝置的 案化步驟包含有··在前述成膜步驟 述圖 表面形成阻劑迎罩的半經.κ_ 〇 .别述電介質薄膜 w月j逛罩的步驟,隔著前 介質薄臈的步#。 4遮罩蝕刻前述電 便用該方法 …即使包含阻劑灰化等導致損壞的牛趣 =時進行修復處理,因此不必形成硬遮罩;=
j罩進仃圖案化處理,故得以形成圖案之轉印精产 向精度圖案,且製程次數少製造容易。 X …二 半導體裝置的製造方法中,前述圖 驟包含有:在前述成膜步驟所得之前述電介質薄膜 2面形成硬遮罩的步驟;隔著前述硬遮罩_前述電介質 薄膜的步驟,而在姓刻前述電介質薄膜的步驟之前,尚包 含有剝離去除用於硬遮罩之圖案化的阻劑的步驟。 藉由該方法則雖增加製程次數,但可確實地避免阻 劑直接接觸到電介質薄膜,而可防止灰化所導致之電介質 _薄膜的劣化。 、 此外,於本發明之半導體裝置的製造方法中,在前述 剝離去除步驟之後,蝕刻前述電介質薄膜的步驟,包含有: 使含有四曱基環四矽氧烷(TMCTS)、六曱基二矽氮烷 (HMDS)、三曱基氯矽烷(TMCS)分子之至少一種分子的氣體 接觸電介質薄膜的步驟。 此外’於本發明之半導體裝置的製造方法中,在前述 蝕刻步驟之後’尚包含有:使含有四曱基環四矽氧烷 (TMCTS)、六曱基二矽氮烷(HMDS)、三曱基氣矽烷(TMCS) 14 317390 1359443 % 分子之至少一種分子的氣體,接觸已去除前述硬遮罩之前 述電介質薄膜表面的步驟。 此外’雖可直接將前述硬遮罩做為半導體裝置之絕緣 膜來使用,但亦可予以去除。在去除硬遮罩的情況下,只 要在去除後,仍以上述氣體接觸已去除硬遮罩的電介質薄 膜表面,便可進一步提升修復性。 此外,於本發明之半導體裝置的製造方法中,前述硬 遮罩為2層膜,且包含:在殘留下層側之硬遮罩的狀態下 灰化阻劑,而使用上層側之硬遮罩做為遮罩來蝕刻下層側 之硬遮罩的步驟。 藉由上述方法,則雖增加製程次數,但可確實地避免 =直接接觸到電介質薄膜,而可防止灰化所導致之電介 質缚膜的劣化。此外,由於此硬遮罩係以電介質薄膜構成, 可以不加以去除而直接作為絕緣臈使用。
15 其目的除可採用用以去除CF等之蝕刻 亦可運用電漿之乾式製程的洗淨。 317390 ⑧ 1359443
此外,於本發明之半導體裝置的製造方法中,形成前 ,述導電體層的步驟包含有:於前述配線形成料中形成電 鍍用之種晶層的步驟;在前述種晶層上進行彡 成電鍍㈣步驟;藉由CMP步驟去除前述電介質膜上之電 鐘層以及種晶層的步驟。 藉由上述方法可形成具高可靠性的的金屬鎮炭配線構 造。此外亦可在形成種晶層前形成擴散障壁層。此方法於 鲁使用銅電鍍層時尤為有效。 此外,於本發明之半導體裝置的製造方法中,在前述 去除步驟之後,包含有:使含有四曱基環四石夕氧烧 (ts)、六甲基二錢烧(_)、三甲基氯錢⑽⑶ 分子之至少一種分子的氣體,接觸前述電介質薄膜表面的 步驟。 此外,於本發明之半導體裝置之製造方法中,前述圖 案化步驟,係包含於形成接觸孔形成用之通孔(thr〇ugh • hole)的步驟’以及在前述通孔内形成導電體層的步驟,而 在形成前述導電體層之步驟前,係包含有:於已形成前述 通孔之剷述電;丨質薄膜表面進行有機洗淨的步驟;以及使 T有四甲基環四矽氧烷(TMCTS)、六甲基二矽氮烷(_s)、 三2基氣矽烷(TMCS)分子之至少一種分子的氣體,接觸經 過前述有機洗淨之前述電介質薄膜表面的步驟。 二此外,於本發明之半導體裝置的製造方法中,在形成 刚述遮罩之前,包含有:使含有四曱基環四矽氧烷 (TMCTS)、六甲基二矽氮(HMDS)、三甲基氣矽烷(tmcs)分子 317390 】6 丄 丄 接觸4述成膜之前述電介質薄膜 之至少一種分子的氣體 表面的步驟。 此外,於本發明之半導體装置 電介質薄膜成膜的步驟,勺人女 坆方法巾使别述 成比而產生含有二所要形成之空孔的組 (一 一虱化矽何生物與界面活性劑的先驅物 (又稱前驅物)溶液的步驟& 始交聯反應的預備交聯===物溶液升溫’並開 始交聯反應之前述先驅物:液 ==:先_容液所接觸之前述半導編, 乂刀解去除别述界面活性劑的步驟。 此外,於本發明之半導體裝置的製造方法中,係包含·· =所要形成之空孔的組成比而產生含有:氧化#衍生物盘 界面活性劑的先驅物溶液的步驟;將前述先驅物溶液供二 至:述半導體基板表面的步驟;將前述先驅物溶液所接: 之剛述半導體基板加熱,以開始交聯反應的預備交聯步 驟;以及煅燒前述先驅物溶液所接觸之前述半導體基板, 以刀解去除岫述界面活性劑的步驟。 此外,於本發明之半導體裝置的製造方法中,前述供 給步驟,包含將前述半導體基板浸漬於先驅物溶液的步驟、。 此外,於本發明之半導體裝置的製造方法中,前述供 給步驟,包含將前述半導體基板浸潰於前述先驅物溶液 中’並以所希望的速度將其拉起的步驟。 此外’於本發明之半導體裝置的製造方法中,前述供 給步驟’包含將前述先驅物溶液塗布在前述半導體基板上 317390 17 1359443 的步驟。 此外,於本發明之半導體裝置的製造方法中,前述供 給步驟,包含將前述先驅物溶液滴在前述半導體基板上, 並使前述基板旋轉的旋轉塗布步驟(spin c〇ating)。 此外,於本發明之半導體裝置的製造方法中,先驅物 溶液,係經由調製使空孔得以呈週期性配列。此外,空孔 亦可呈非週期性配列。 另外本發明之半導體裝置,具備有:具有運用上述方 法而形成之接觸孔的電介質薄膜;以及充填於前述接觸孔 另外本發明之半導體裝置,充填於形成於半導體基板 表面之前述電介質薄膜的接觸孔的前述導電性膜,係 與前述半導體基板接觸之狀。 另外本發明之半導體袭置,前述電介質薄膜為多孔質 ^化㈣,㈣狀前述钱孔㈣㈣料構成配線 另外本發明之半導體裝置’前述多孔質 膜,為0.05至2/zm。 夕濞 此外’本發明之半導體裝置,前述多孔質二氧化 膑’具有立方體(cubic)構造之細孔,且 孔係呈閉塞狀。 丨知的則述知 此外,本發明之半導體裝置,前述細孔係形成 至2. 5nm的壁間隔(Barrier忌叩)。 ’、 · (發明之效果) 317390 1359443 可藉由修復處理提供高品質的絕 根據本發明之方法 緣膜。 【實施方式】 參照圖面詳細說明本發明 一實施例(實施例1 )。 之半導體裝置之製造方法的 以本發明之實施例i,說明將該電介質薄膜做為半導 體裝置之層間絕緣膜來使用之單金屬鑲嵌(single 鲁damascence)配線構造的製埠方法。 如第1圖之流程圖、第2圖(a)乃至第6圖㈠)之 製造步驟圖所示,該方法的特徵係包含:以中多孔質二氧 化矽薄膜所構成之電介質薄膜來形成單金屬鑲嵌配線構造 之層間絕緣膜,且為了於每一製程中修復製程損傷而使該 層間絕緣膜接觸TMCTS分子之修復處理步驟(步驟T1〇/、 Τ108 、 Τ110 、 Τ114)。 在製程方面,除了在上述之先前製程中,附加用以於 春每一製程中修復製程損傷的修復處理步驟外,其他各點均 與上述第27圖乃至第31圖所示之單金屬鑲嵌配線構造的 製造程序相同。 第7圖係本實施例所使用之修復製程的時序圖,係在 供給氮Ν2的同時,以15分鐘的時間使溫度由室溫昇溫至 400°C ’接著在400°C的溫度下使氮氣持續流通30分鐘後, 停止供給氮氣並進行抽真空使其達到〇.4Pa以下並在維持 20分鐘後,供給TMCTS/N2的混合氣體。最初的30分鐘係 以0. 7g/mi η供給,之後的60分鐘則以0. 7g/ miη供給。 19 317390 丄359443 * « 此時的壓力為24kPa。 在此,在最初的I氣供給步驟中,係將反應器内的殘 留氣體置換為氮,之後使其呈真空狀態並供給TMCTS/ N2 之混合氣體,而在TMCTS/N2之混合氣體流通下,進行修 復處理。 以下,參照第1圖之流程圖、第2圖(a)乃至第6 圖(1)說明實際之單金屬鑲嵌配線構造的形成步驟。 首先,如第2圖(a)所示,在形成元件區域之矽基板 的表面,如第20圖(b)所示形成膜厚為5〇nm左右的 氮化石夕(SiN)膜做為餘刻檔止層1〇2,並在其上層如第2 圖(c)所示,形成多孔質二氧化矽膜以做為低介電係數之 電介質薄膜103 (第1圖:S101、sl〇2)。 成膜時,與習知相同地將形成有配線層(無圖示)之 基板,π潰於將做為界面活性劑之陽離子型的溴化十六烷 =甲基録(CTAB : CidH33N+ (I)咖)、做為二氧㈣衍 (TE〇S: Ethoxy Sl lane) 成^觸媒之鹽酸(HC1),溶解於Μ/醇混合溶劑而形 成之先驅物(precursDr、、、六y*rb 产伴拉1 , ^ 中,並以30至150°c的溫 又’、每小時乃至12 0小時,此洚,| 4 p 應使二氧化石夕衍生 了人錯_用加水分解聚縮合反 面活性劑的週期性的自凝辈:預備交聯步驟)’而形成界 洗、乾燥後,置於峨的大^讀基板拉起’並於水 Q , n* 軋中(氦·氧=4 : 1 )加埶、 枭粍3小時,以完全熱分解 … 純粹的中多孔# ^的界面活性劑而形成 貝—魏石夕薄膜以做為電介質薄膜103。 317390 20 1359443 r 點’進行上述第7圖所說明之tmcts處理,以 扼间膜的耐性(第1圖:S103 )。 藉由土述方式,為使所獲得之電介質薄膜 化’亚形成接觸孔,如第3圖⑷所示係 反射膜104之有機榭'、在形成做為抗 接菩,^ 布阻劑RK第1圖:_)。 本顯” $ 3圖⑷所示經過利用光微影進行圖荦曝 光、=步驟後,形成阻劑圖案们⑷圖⑽二曝 <以阻劑圖案R1做為遮罩 以形成⑽溝τ(第m⑹。h貝輕1〇3, TMCTS接著子如第7圖所示之處理步驟,藉由將含有 TMCTS刀子之處理氣體供 ’ 有損傷的表面,以造杆修^ 刻電介質溥膜所致之含 ⑴、第1圖:τ107Γ ,並修復溝側壁(第4圖 之後’在藉由灰化去除阻 除抗反射膜1〇4(第!圖:sl〇8)f利用钱刻去 再度,進行如第7圖所示之 — 篇S分子的處理氣體供給至因钱刻電^…稭由將包含 有損傷的表面,以進行修復 W錢所致之含 T109)。 ’ < (弟 4 圖(g)、第 1 圖:
系堆L著的==由:刻加工所致之配線溝側壁之CF 化表面(第1圖:=)。’使时機溶料行洗淨,以淨 Γ二之進:二第: 處理乳體供給至因使用有機溶劑進行洗淨而 3m〇n 21 2二係顯示各處理步驟之符號與處理的對昭表。 以說明。 曼之膜;邊化進行測定的結果加 (針對膜厚的變化) 如第Θ圖之Ref所示,在 之第2圖(c)之牛在成膜後立即進行TMCTS處理 327nm。 貝,專膜1 〇3的膜厚平均值為 灰化步驟,係以如第8岡 _ 後,進行〇面 1所不在CF4/〇2灰化處理 驟·Γ= 如A2所示進行_2灰化之步 且在各灰化之步驟的三财絲進行。而 之心Γ 進行如第7圖所示之使用则分子 之修復處理(T)後的纟士果,w A〗丄τ 刀于 由-果可η 、Α2+Τ、Α3+Τ顯示。 t果了件知,膜厚因CF4/02灰化⑷、Α2)而降低 10/6 左右’在經過 Αΐ + τ、Α?4·Τόιί·_ " 會略微減少。 2 + Τ所不之修復處理後其膜厚 I任仃二化在广广化(Α3)中臈厚幾乎未產生任何損傷而 略微減少。 +Τ所不可知修復處理後的膜厚會 、則—卜亦針對有機洗淨處理(WC)對膜厚的影響進行 由I·。果可以得知,在有機洗淨處理()中膜 乎不會產生任何變化,但WC+T所示之修復處理後的^ 膜,則會略微減少。 此外’係以HE顯示使用Ar//C5F8/〇<半鞋刻步驟之 317390 23 1359443 處理後的狀態,可得知膜厚減少, 處理後膜厚仍會若干減少。I且在HE + T所示之修復 (針_折射率的變化) 接著於第10圖中顯示針對折射率之測定結果。 ^第圖之Ref所示’成膜後立即進行值Ts處理之 m之步驟後的電介質薄膜103的折射率為L 202。 火化步驟’相同地以如第8圖之A1 灰化處理後,進行〇2灰化之步驟;如Α2^推在關2 ^ ^ ^ /哪,如A2所不進行CF4/〇2 進/= A3所示騎Q2灰化之㈣的三種方式來 進仃。而且在各灰化步驟後,進行 TMCTS分子之佟尥_饰h α所不之使用 + Τ 顯: 果’以 Α1 + Τ、Α2 + Τ、Α3 由該結果得知,折射率雖會因㈤&灰 而減少,但在Al + T、A9-J_T私-从 合辦大A2+U不之修復處理後薄膜折射率 玲曰大了侍知膜密度也會因導入了肊以而增大。 俘肇:二在二灰化中(A3)-般認為膜厚折射率的增大, =Γ1劣化。此外經過Α3+τ所示之修復處理 後的潯膜,其折射率會進一步增大。 進行=。’亦對有機洗淨處理uc)所致之折射率的影審 …果顯不,折射率幾乎不會因有機洗淨處理 何變化’心T所示之修復處理後的薄膜也幾乎不會產I 任何變化。 此外,係以HE顯示使用Ar/ C5F8/ 〇2之半儀刻步驟之 317390 24 1359443 处理後的狀態,幾乎未產生任何折射率的變化而+ τ 所不之修復處理後的薄膜也幾乎未產生任何折射率變化。 (關於相對介電係數(relative dielecticc〇nstant) ^值)的變化)接著於第u圖中顯示相對介電係數之測 疋結果。 如第11圖之Ref所示,在成臈後立即完成處理 之第2圖(c)之步驟後的電介質薄膜1〇3的相對介電係數, .在空氣中為2.35,在氮氣環境中為219。在第u时左 2係顯示空氣中的敎值,右側則顯示氮氣環境中的測定 值0 灰化步驟,相同地以如第8圖之A1所示在CM2灰化 處理後’進行〇2灰化之步驟;如八2所示進行civ〇2灰化 之步驟;如A3所示進行〇2灰化之步驟的三種方式來進行。 在各灰化㈣後’進行如第7圖所示之使用贿3分 子之修復處理⑴後的結果,以㈣、Am、削顯示。 在各製程"值會產生劣化,而藉由修復處理大幅回 有機洗淨與半餘刻係比利用修復處理更能回復至初期 值以下。 亦即’如第12圖所示之介電係數的變化率,相對 係數會因各灰化步驟⑷、A2、A3)增加9至28% 機洗淨(wc)中係增加9%,而在HE中係增加轉,㈣有過 A1 + T'A2 + T、A3 + T 所^錢處理後, 將恢復23至68%。 (面内分布)
317390 25 1359443 接著’第13圖(a)以及(b)係顯示針對相對介電係 數之面内分布而測定的結果。 第13圖(a )顯示各處理後之相對介電係數,第工3 圖(b)顯示修復處理後的相對介電係數(k值),橫轴則 顯示與中心之間的距離(mm)。 在各製程後之k值劣化中未見顯著之軸方向依存性 (内面分布)而在包含〇2灰化之灰化處理Ai ' A3中則發 春現晶圓邊緣部(wafer edge)所產生之劣化較小。 (漏洩電流) 接著,於第14圖(a)以及(b)中顯示上述電介質薄 膜之漏洩電流的測定結果。第14圖(a)顯示各處理後之 漏洩電流,而第14圖(b)則顯示修復處理後之漏洩電流, 橫軸表示電場的大小(ΜV/ cm)。 在有機洗淨(WC )中,漏洩電流幾乎不會產生任何變 化’且不會發生劣化,但在灰化步驟(Al、A2、A3)與he 籲步驟中漏洩電流增大。相對地在進行過修復處理(T )後, 如Al + T、A2 + T、A3 + T、HE+ T所示,漏洩電流的問題會 大幅改善。 (彈性係數、硬度) 接著,於第15圖(a)以及(b)中顯示該電介質薄膜 之彈性係數及硬度的測定結果。 第15圖(a)以及(b)顯示各處理後以及修復處理後 之彈性係數(E值)及硬度(Η值)的變化率的測定結果。 苐15圖(c )係顯示各測定資料。 26 317390 1359443 (硬度、彈性係數與相對介電係數之間的關係) 接著,為顯示硬度、彈性係數與相對介電係數之間的 關係,而於第16圖(a )以及(b)中顯示該電介質薄膜之 硬度、彈性係數及介電係數三者間的關係測定結果。、 在第16圖(b)中,k值小於剛成膜後之電介質薄膜 的彈性係數及k值所對應之Ew=17.5Gpa的直線,亦即位 於左側的值係改善後的臈,但由圖中可清楚得知,經修復 修處理後的值係全部位在左侧,可知係發揮良好特性。 (實施例2 ) 在别述實施例1中係說明包含以阻劑圖案做為遮罩而 在層間絕'緣膜令形成溝之步驟的半導體裝置的製造步驟, 但在,實施射,係針對使用2層構造之硬遮罩之溝的形 成進灯說明。在本實施例中也同樣以單金屬鑲嵌配線構造 的製造方法加以說明。 °亥方法,如第17圖(a)乃至第21圖(n)之穿造牛 驟圖所示,除了使用氧化石夕膜201與氮化石夕膜2〇2之、^ 構,遮罩做為硬遮罩外,其他各點係與前述實施例!所^ 内谷相同,其特徵係包含:中多 丫夕钆貝一乳化矽溥膜所構 成之電"質薄卿成單金屬鑲嵌轉構造之制絕緣膜, =為了於各製程中修復製程損傷而使之接觸取τ 修復處理步驟。 7 在本實施例中,由於係使用2層構造之硬遮罩,合 =介質薄膜而受到㈣的步驟為:電介質㈣本身^ 心驟(第20圖⑴),以及姓刻後之有機洗淨步驟〇 317390 27 1359443 % • 20圖(i)),因此其特徵為在上述2步驟之後進行修復處 .理步驟。此外有關修復程序,係根據第7圖所示之時序圖 • 來進行。 以下’參和、第17圖(a)乃至第21圖(η ),說明使用 2層構造之硬遮罩之單金屬鑲嵌配線構造的形成步驟。 首先,如第17圖(a)乃至(c)所示,與前述實施例 1相同地在形成元件區域之碎基板ιοί表面,形成膜厚 鲁為50mn左右的氮化矽(SiN)膜以做為蝕刻檔止層1〇2, 並在其上層,形成多孔質二氧化矽膜以做為低介電係數之 電介質薄膜103。 接著如第17圖(d)所示,利用CVD法形成氧化矽膜 201以及氮化矽膜202以形成2層構造的硬遮罩。 、之後同樣地,如第18圖(e)所示,形成有機樹脂膜 做為抗反射層後,塗布阻劑r 1。 之後,如第18圖(f)所示與前述實施例}相同地, 用光微〜進行圖案曝光、顯影步驟而形成阻劑圖案r 1。 於之後,如第19圖(g)所示,留下第丨層之硬遮罩之 氧化矽膜201而僅使抗反射膜ι〇4與氮化矽膜圖案化。 接著如第19圖(h)所示,在留下該氧化矽膜2〇1 之狀態下利用&灰化去除阻劑圖案R1。此時因電介質薄膜 ^03並未接觸&電漿而幾乎不會產生任何損傷,但仍有少 會經由氧化石夕膜201而產生損傷,因此,最好在灰化 後再進行第7圖所示之修復處理。 之後,繼續蝕刻下層側之硬遮罩之氧化矽膜2〇ι與電 317390
28 1359443 :質薄们03。接著,進行第7圖料之處理步驟 丨:含TMCTS分子的處理氣體供給至因蝕刻電介質薄“ 之含有損傷的表面,而進行修復處理 側 之修復(第20圖⑴)。 退仃屢側壁
.接著,為在去除因蝕刻加工所致之配線溝側壁的CF 的㈣去除損傷,❹有機溶劑進行洗淨處理, 乎化表S ’接著,再進行帛7圖所示之處理步驟 =含™TS分子的處理氣體供給至因使用有機溶劑= / /尹所引起之含有損傷的表面,而進行修復處理(20( D) 做為法或⑽法等在已經過淨化之表面形成 :、月;壁膜用之亂化组(TaN)、以及做為銅電鍵用之 種晶膜106的鋼薄膜(第2〇圖(1〇)。 以^步驟’除了位於電介質薄臈1〇3上層做為硬遮罩 用之氧化矽膜201與氮化矽臈202係以堆疊狀態留下 外’其他均與前述實施例1相同。 士上所述,在進行過各處理步驟後,經由第21圖(I) 乃至(η)的步驟,於配線溝内形成配線層,並於最後形成 做為覆蓋膜的SiN膜,並進行特性評估。 經由上述方法,可獲得與設計值大致相同的介電係 數’並獲得寄线容極小,不產生漏⑨電流,可靠性高, 且表面平坦之配線構造。 在本實施例中,由於係使用2層構造的硬遮罩,故製 私上的損傷較小。 (實施例3 )
317390 29 1359443 « 在前述實絶例2中係說明包含有以2層構造之硬遮罩 做為遮罩在層間絕緣膜中形成溝之步驟的半導體裝置的製 -造步驟,但在本實施例中,則是針對使用單層構造之硬遮 •罩的溝的形成進行說明。在本實施例中同樣也以單金屬鑲 嵌配線構造的製造方法加以說明。 該方法,如第22圖(a)乃至第26圖(1〇之製造步 驟圖所示,除了使用碳化矽膜3〇1做為硬遮罩外,其他各 鲁點均與前述實施例2所說明之内容相同,其特徵係包含 有:利用中多孔質二氧化矽薄膜所構成之電介質薄膜來形 成單金屬鑲欣配線構造之層間絕緣膜,並為了於各製程中 修復製程損傷而使其接觸TMCTS分子的修復處理步驟。 在本實施例中,由於係使用丨層構造的硬遮罩,因此 相較於使用2層構造之硬遮罩的情形,由於電介質薄膜會 在阻劑圖案之灰化步驟(第24圖(h))中露出,而導致受 損步驟增.加’因此在阻劑圖案的灰化步驟後還必須進行修 #復處理步驟。此外,在此之後,係與實施例2相同地,在 電介質薄膜本身之蝕刻步驟(第25圖(j)),以及蝕刻後 之有機洗淨步驟(第25圖(k))之2步驟之後進行修復處 理步驟。此外關於修復程序係依照第7圖所示之時序圖來 進行。 以下,參照第22圖(a)乃至第26圖(η ),說明使用 單層構造之硬遮罩之單金屬鑲嵌配線構造的形成步驟。 首先’如第22圖(a)乃至(c)所示,與前述實施例 1、2相同地,在形成有元件區域之矽基板ι〇1表面,形成 317390 30 1359443 膜:約,,左右之氮化石夕(SiN)膜以做為餘刻樓止層 Μ 層’形成多孔質二氧切膜以做為低介電係 數之電介質溥膜1〇3。 (s.Z第22圖⑷所示’利用⑽法形成碳切膜 s./ΛΙ成單層構造之硬料。該硬遮罩的材料除 SlC之外亦可適用SiOC、SiO等。 以做如第23圖(e)所示,在形成有機樹脂膜 以做為抗反射層1〇4後,塗布阻劑R1。 之後’如第23圖⑴所示與前述實施例卜“目同地, 耩由光微影進行圖案曝光、顯影步驟後形成阻劑圖案们。 罩之^ :如第24圖(g)所示’使抗反射膜104與硬遮 罩之奴化矽膜301圖案化。 接著,藉由〇2灰化去除阻劑圖案Rb此時電 Ϊ面I::广受到損傷,故必須在灰化後進行第;圖 所不之修復處理β Μ 1八’連續餘刻下層側之硬遮罩之碳切膜3(Η與電 中 第圖所7^之處理步驟,藉由 對口姓刻電介質薄朗致之含有損傷的表面供 分子的處理氣體,來進行修復處理,雜 (第25圖(i))。 1文’再w 土 接者,為在去除蝕刻加工所致之配線溝側壁之 積膜的同時去除損傷,使用有機溶劑進行洗淨處理,以、淨 化表面’接著’再進行第7圖所示之處理步驟,藉因 使用有機溶劑進行洗淨而導致之含有㈣的表面,供給包 31 317390 ⑧ 1359443 含TMCTS分子之處理氣體,而進行修復處 接著利用PVD法或⑽法等在已淨化 ,壁膜1。5之氣化te(TaN)、以及做為銅電錢= 晶膜106的銅薄膜(第25圖(k))。 以下步驟’(如第26圖⑴乃至⑷所 ?=膜⑽上做為硬遮罩使用之乱切請會以堆 豐的狀態殘留外,其他均與前述實施例卜2相同。 如上所述,錢行過各處野職,於㈣溝内 估 配線層’最後再形成做為覆蓋膜的训膜,並進行特性呼 藉由上述方式,可獲得與設計值大致相同之介電係 數,並獲得寄生電容極小,不產生_電流 且表面平坦之配線構造。 间
。在本實_巾,由於係使料層構造的硬遮罩,製* 抽傷會略大於2層構造的硬遮罩’但該損傷可藉 理來修復。 此外’ I驅物溶液的組& ,並不揭限於前述實施例的 組成’右 >谷劑設定為1 00,則最好將界面活性劑設定在〇. 〇】 至0.1之間,將二氧化矽衍生物設定在0 01至〇 5之間, =將酸觸媒設定在〇至5之間。藉由使用該構成之先驅物 洛液,可形成具有圓柱狀之空孔的低介電係數薄膜。 此外,在前述實施例中,雖係使用陽離子型之溴化十 八烧基一甲基錄(CTAB : CI()H33N+ ( CH3) 3JBr-)做為界面活 性劑,但並未對此加以限定,當然亦可使用其他界面活性 317390 32 1359443 . 劑。 • 但是,使用Na離子等之鹼性離子做為觸媒時,對半導 .體材料而言係導致劣化的原因,因此,最好使用陽離子型 •之界面活性齊J,而在觸媒方面最好使用酸觸媒。有關酸觸 媒,除HC1之外,亦可使用硝酸(HN〇3)、硫酸(H2S〇4)、 磷酸(H3P〇4)、H〗S〇4等。或使用非離子型的界面活性劑。 此外,二氧化矽衍生物,並不限於使用TE〇s ,最好是 ⑩使用四甲氧基矽烷(TMOS : Tetrame1:h〇xy Silane)等之烷 氧基矽烷(silicon alkoxy)材料。 此外,可使用水仏0/醇混合溶劑做為溶劑使用但 也可只使用水。 此外,可使用大氣環境做為煅燒環境,但亦可在減壓 下,或氮氣環境中。理想上係藉由使用氮與氫之混合氣體 所構成的合成氣(forming gas),提升耐濕性或修補膜之微 小電性缺陷,以達到降低漏洩電流的目的。 _ 此外,關於界面活性劑、二氧化矽衍生物、酸觸媒、 溶劑的混合比率可適度變更。 此外,預聚合步驟,係在30至150°C的溫度下維持j 小時乃至120小時,但最好設定在60至12(rc的溫度,而 以設定在901最為理想。 此外,锻燒步驟,係在4 0 0 °C的溫度下進行1小時, 但亦可在300t至500〇C的溫度下進行約】至5小時,但溫 度最好設定在35(TC至450t:之間。 此外,在前述實施例中,係說明使用旋轉機(spinner) 317390 33 1359443 的塗布方法,但利用毛刷進行塗布之所謂的毛刷塗布法亦 同樣適用。 此外’作為修復對象的膜除了可使用多孔質二氧化矽 膜外亦可使用’弗石膜、HSQ膜、MSQ膜、或視實際需要使 用以TMCTS、HMDS,或TMCS等二氧化矽衍生物進行過疏水 化處理的膜等。 此外修復處理可適用於酸系 '有機酸系、氣系 _ (chlorine-based)濕系、乾系等之蝕刻製程。 此外,在前述實施例中,係說明使用於單金屬鑲嵌配
線構造之層間絕緣膜,當然亦適用於使用鋁配線之多層配 線構造。 S 此外,本發明所使用之電介質薄膜,主要係由Si_〇 鍵結所構成之電介質薄膜,但亦可含有部分的有機元素。 所謂由Si-o鍵結構成的意思’係指:以i個Si原子至少 鍵結2個以上的〇原子,再藉由該〇原子與其他&原子鍵 _結的構造來構成,其他並無特別的限定。例如:亦可部分 地,使氫、鹵素(halogen)、烷基(alkyl group)或苯基 (phenyl group)’或包含上述成分之官能基與矽原子鍵結。 電介質薄膜中Si與〇的比例係以xPS(x_ray
Photoelectron Spectroscopy)進行元素分析來加以確 認,較佳係位在〇.5gSi/0 (原子比)^1()的範圍中, Si的重量比率最好在40重量%以上。此外,Si_〇鍵結可利 用IR來確認。一般而言可例舉:由二氧化矽(siUca)、氫 化倍半矽氧烷(hydrogen silsesquioxane,HSQ)、甲基倍 317390 ⑧ 1359443 •半矽氧烷(methyl silsesquioxane,MSQ)、氫化甲基倍半 •矽氧烷(methylated hydrogen silsesqiui〇xane,MHSQ)、 *二曱基矽氧烷(dimethyl sil〇xane)等所構成的薄膜。 此外,本發明之電介質薄膜可以是一種:事先以具有 甲基、氫基等一般所熟知之表面處理劑處理過表面的薄 膜。例如:可使用以六甲基二矽氮烷(HMDS)、三甲基氣矽 烷(TMSC)、或者矽烷等(mon〇silaneSiH4)處理過的電介 $質薄膜。 本發明所使用之電介質薄膜,最好是具有中孔(mes〇 hole)的薄膜者。此外,平均細孔徑最好在〇. 5nm至1〇挪 的範圍内。只要該平均細孔徑不超過該範圍,便可藉由後 述處理’使薄膜同時具備有相當之機械強度與低介電係數。 般而e,可使用3檢體全自動氣體吸附量測定裝置 AUT0S0RB-3B型(Quanta-chrome社製)來測定薄膜的平均 細孔徑。此時的測定,可藉由位於液體氮溫度下()的 _氮吸附法來進行,而比表面積(specific surface打⑸) 可藉由BET法,細孔分布可藉由bjh法求得。 本發明所使用之電介質薄膜,只要是上述薄膜即可, 無特別之限制,根據其製造方法來區分的話,可例舉:(1 ) 經由溶膠凝膠法(s〇l-gel;)使烷氧基矽烷(alk〇xy silane) 成膜而多孔化的薄膜,(2)使矽溶膠(Silica sol)與有機 化合物自行組織化,再藉由去除成膜後有機化合物而多孔 化的薄膜,(3)藉由在基板表面使沸石結晶成長而多孔化 的薄膜。
317390 35 1359443 以下"兄明使用於上述製造方法中的電介質薄膜。 (1)藉由溶膠凝膠法使烷氧基矽烷成膜並多孔化的薄 膜 此方法中’為獲得多孔化之薄膜,在製造方法上並無 特別之限定,但具體而言可依照下列方式來製造。 首先’調製用以成膜之塗布液。塗布液,可分別使用 後述成分之烷氧基矽烷、觸媒、以及水,並視需要添加溶 鲁劑,而在0°c至7(TC,但最好在3(TC至5(TC之溫度下,進 行數分至5小時,但最好進行1至3小時之攪拌而獲得。 首先,說明上述各成分。 (烷氧基矽烷) 用於電介質薄膜之製造的烷氧基矽烷,並無特別之限 足’但在具體例上可例舉:四曱氧基石夕烧(tetramefhoxy si lane)、四乙氧基石夕烧(tetraethoxy silane)、四異丙氧 基矽烧(tetraisopropoxy silane)、四丁基矽烷(tetra # butyl silane)等四級烷氧基矽烷;三曱氧基氟矽烷
(trimethoxy fluorosi lane)、三乙氧基默梦烧(triethoxy fluorosilane)、三異丙氧基 石夕烧(triisopropoxy fluorosi lane)、三 丁氧基石夕烧(tributoxy f luorosi lane) 等三級烧氧基氟石夕烧(alkoxy fluorosilane) ; CF3(CF2)3CH 2CH2Si(0CH3)3、CF3(CF2)5CH2CH2Si(OCH3)3、CF3(CF2)7CH2CH2 Si(0CH3)3、CF3(CF2)9CH2CH2Si(OCH3)3、(CF3)2CF(CF2)4CH2CH 2Si(0CH3)3、(CF3)2CF(CF2)6CH2CH2Si(0CH3)3、(CF3)2CF(CF2) 8CH2CH2Si(0CH3)3、CF3(C6H4)CH2CH2Si(0CH3)3、CF3(CF2)3(C6H 36 317390 1359443 4)CH2CH2Si(0CH3)3、CF3(CF2)5(C6H4)CH2CH2Si(0CH3)3、CF3(CF 2)7(C6H4)CH2CH2Si(OCH3)3、CF3(CF2)3CH2CH2SiCH3(OCH3)2、CF 3(CF2)5CH2CH2SiCH3(OCH3)2、CF3(CF2)7CH2CH2SiCH3(OCH3)2、 CF3(CF2)9CH2CH2SiCH3(OCH3)2、(CF3)2CF(CF2)4CH2CH2SiCH3 (OCH3)2、(CF3)2CF(CF2)6CH2CH2SiCH3(OCH3)2、(CF3)2CF(CF2) 8CH2CH2SiCH3(OCH3)2、CF3(C6H4)CH2CH2Si(OCH3)2、CF3(CF2)3(C 6H4)CH2CH2SiCH3(OCH3)2、CF3(CF2)5(C6H4)CH2CH2SiCH3(0CH3) 2、CF3(CF2)7(C6H4)CH2CH2SiCH3(0CH3)2、CF3(CF2)3CH2CH2 鲁 Si(0CH2CH3)3、CF3(CF2)5CH2CH2Si(OCH2CH3)3、CF3(CF〇7CH2CH 2Si(OCH2CH3)3、CF3(CF2)9CH2CH2Si(OCH2CH3)3、等含氟素之 烧氧基石夕烧;三甲氧基甲基石夕烧(trimethoxy methylsi lane)、三乙氧基甲基石夕烧(triethoxy methyl si lane)、三曱氧基乙基石夕烧(trimethoxy ethylsi lane)、三乙氧基乙基矽烧(triethoxy ethy lsi lane)、三曱氧基丙基矽烧(trimethoxy 鲁propyl si lane)、三乙氧基丙基石夕烧(tri ethoxy propyl si lane)等的三級烧氧基烧基矽烷(alkoxy alkylsilane);三甲氧基苯基矽烧(trimethoxy phenylsi lane)、三乙氧基苯基矽烷(triethoxy phenyl si lane)、三甲氧基氣苯基矽烷(trimethoxy chlorophenylsi lane)、三乙氧基氯苯基矽烧(triethoxy chlorophenylsi lane)等的三級烷氧基芳基矽烷(alkoxy ary 1 si 1 ane);三甲氧基苯乙基石夕烧(trimethoxy phenethylsi lane)、三乙氧基苯乙基矽烷(triethoxy 37 317390 ⑧ 1359443 . phenethylsi lane)等三級烷氧基苯乙基矽烷(alkoxy phenethylsilane);二甲氧基二曱基矽烷(dimethoxy ♦ * dimethy 1 si lane)、二乙氧基二甲基矽烷(diethoxy dimethyl si lane)等的二級烧氧基烷基矽烧(alkoxy a lkyl si lane)。上述成分中,以使用四乙氧基矽烷較為理 想。 上述四乙氧基矽烷,可使用單一種或使用2種以上的 組合。 * (觸媒) 調製塗布液所使用的觸媒,可使用由酸觸媒或鹼觸媒 中所選出之至少一種。 酸觸媒,可例舉無機酸以及有機酸,無機酸,可列舉: 例如鹽酸、硝酸、硫酸、氫氟酸、磷酸、硼酸、氫溴酸等。 此外,有機酸中,可列舉,例如乙酸、丙酸、丁酸、戊酸、 己酸、庚酸、辛酸、壬酸、癸酸、草酸、馬來酸、曱基丙 籲二酸(methylmalonicacid)、己二酸(adipicacid)、癸二 酸(36乜8(:4 3以(1)、沒食子酸(2311丨。&(:丨(1)、丁酸、苯六 曱酸(mel Πtic acid)、花生油酸(arachidonic acid)、莽 草酸(shikimic acid)、2 -乙基己酸、油酸(oleic acid)、 硬脂酸(816&1*泌8(:丨(1)、亞麻油酸(1丨11〇16丨(:3(:丨(1)、亞麻 酸、水楊酸、笨曱酸、對胺基苯曱酸(P-aminobenzoic acid)、對甲苯確酸(P-toluene sulfonic acid)、苯石黃酸 (bezene sulfonic acid)、單氯乙酸、二氯乙酸、三氣乙 酸、三氟乙酸、曱酸、丙二酸、石黃酸、苯二曱酸(phtha 1 i c 38 317390 1359443 acid)、反丁稀二酸(f umaric acid)、檸檬酸、酒石酸 (tartaric acid)、破拍酸(succinic acid)、衣康酸 (i taconic acid)、曱反丁 稀二酸(meSaconic acid)、焦檸 檬酸(citraconic acid)、蘋果酸等。 驗觸媒’可例舉鋒鹽以及含氮化合物,錢鹽,可列舉, 例如氫氧化四甲基銨、氫氧化四乙基銨、氫氧化四丙基銨、 氫氧化四丁基銨等。而含氮化合物,則可例舉,例如吡啶 (pyridine)、吡咯(pyrrole)、脈啶(piperidine)、卜甲基 哌啶、2-甲基哌啶、3-甲基哌啶、4-甲基哌啶、哌嗪 (Piperazine)、1一甲基哌嗪、2_甲基哌嗪、1/4一二甲基哌 嗪、吡咯啶(pyrrolidine)、卜曱基吡咯啶、甲基吡啶 (Picoline)、一乙醇胺、二乙醇胺、二甲基一乙醇胺、一 ?基二乙醇胺、三乙醇胺、二氮雜雙環辛稀、二氮雜雙環 =烯:二氮雜雙環十一碳烯、2-吡唑啉、3_吡咯啉、奎寧 %、氨、甲胺、乙胺、丙胺、丁胺、N,N―二丁基胺、n,n_ 二乙基胺、N’N-二丙基胺、N,N_: 丁基胺、三甲胺、三乙 胺、三丙胺、三丁胺等。 (溶劑) 1便用於塗布液調製的溶 π芊:例如 醇、正丙醇、異丙醇、正’ 丁辟 τ 鉍異丁知、二級丁醇、三級 醇、正戊醇、異戊醇、2_甲其丁妒 醇、3_甲量其丁矿 2甲基丁知、二級戊醇、三級戊 Τ乳基丁醇、正己醇、2_ 7其丁辟 聆Z f基戊醇、二級己醇、2- 乙基丁知、二級庚醇、v ^ 铋妾舻x 庚知、正辛醇、2-乙基己醇、二 級辛醇、正壬醇、2, 6_二 矸 土庚%、正癸醇、二級十一醇、 39 317390 Φ 1359443 :甲土壬醇、二級十四醇、二級十七醇、 基環己醇、3, 3, 5-三甲基環己醇、苯甲醇、己:、甲 雙丙酮醇、曱酚等的單醇系溶劑;乙二醇、厂'甲醇、 1,3-丁 二醇、2’4_戊二醇、2_甲基_2,4_戊 7 =、 醇:2,4-庚二醇、2~乙基*己二醇、二甘醇、」:己: 2、二甘酵、二縮三丙二醇、丙三醇等的多價醇系溶劑· =丁:、甲基正丙基酮、甲基正丁基_、二乙基二, 甲基異丁基酮、甲基正戊基_、乙基正丁_、甲 基酮、二異丁基酮、三曱基壬酮、環己酮、2_己酮:曱美 環己酮、2, 4-戊二酮、丙酮基丙酮、雙丙酮醇、苯乙酮二 小茴香酮(fenchone)等的酮系溶劑;乙醚、異丙醚、正丁 基醚、正己醚、2-乙基己醚、環氧乙烷、u—環氧丙烷T 二環氧乙烷(di〇Xirane)、4-曱基二環氧乙烷、二噚烷 (di〇xane)、二甲基二噚烷、乙二醇一甲基醚、乙二醇"一乙 基醚、乙二醇二乙基醚、乙二醇一正丁基醚、乙二醇一正 己醚、乙二醇一苯基醚、乙二醇一 _2_乙基丁基醚、乙二醇 二丁基趟、乙二醇一甲基醚、乙二醇一乙基驗、乙二醇二 乙基醚、乙二醇一正丁基醚、乙二醇二正丁基醚、乙二醇 一正己基醚、乙氧基三甘醇、四乙二醇二正丁基醚、丙二 醇一甲基醚、丙二醇一乙基醚、丙二醇一丙基醚、丙二醇 一丁基ϋ、二丙二醇一甲基鍵、二丙二醇一乙基趟、三丙 二醇一曱基醚、四氫咲喊' 2 -甲基四氫咲喃等的趟系溶劑; 石炭酸二乙S旨、乙酸曱g旨、乙酸乙輯、7 -丁内酉旨 (butyrolactone)、戊内酯(valerolacton)、乙酸正丙 40 317390 1359443 酯、乙酸異丙酯、乙酸正丁酯、乙酸異丁酯、乙酸二級丁 酯、乙酸正戊酯、乙酸二級戊酯、乙酸3-甲氧基丁酯 '乙 酸甲基戊酯、乙酸2-乙基丁酯、乙酸2-乙基己酯、乙酸苄 酯(benzyl acetate)、乙酸環乙酯、乙酸甲基環己酯、乙 酸正壬酯、乙醯乙酸甲酯(methyl acetoacetate)、乙醯乙 酸乙酯、乙二醇單甲基醚·乙酸酯、乙二醇單乙基醚•乙 酸醋、二乙二醇單甲基醚•乙酸酯、二乙二醇單乙基醚· 二丙二醇單曱基醚•乙 、乙二醇二乙酸酯、三 、丙酸正丁酯、丙酸異 乳酸甲酯、乳酸乙酯、 二乙酯、苯二甲酸二甲 丨乙酸酯、一乙二醇單正丁基趟·乙酸酯、丙二醇單曱基驗· 乙酸酯、丙二醇單乙基醚·乙酸酯、丙二醇單丙基醚•乙 酸酯、丙二醇單丁基醚•乙酸酯、 酸酯、二丙二醇單乙基醚•乙酸酯 乙一醇基甲基謎•乙酯、丙酸乙酯 戊酯、草酸二乙酯、草酸二正丁酯、 乳酸正丁酯、乳酸正戊醋、丙二酸 酿、苯二曱酸二乙酯等I系溶劑;Ν—甲基甲醯胺:ν二一 甲,甲醒胺、Ν’Ν-二乙基甲酿胺、乙酿胺、Ν_甲基乙酿胺、 :-二甲基乙醯胺、Ν-甲基丙醯胺、"基吡咯啶酮 (N-methylpyrr〇did〇ne)等含氮系溶劑。 溶劑’可由上述成分中單獨選擇 以上來使用。 裡劣、且σ 2種 上述各成分可選擇任意之添, 特別之限制,作理相上,為,法’其添加順序亦無 脱水縮合反應 添加水時,最好分為2次來進行 =皮乳基石夕烧 弟1 -人添加水時,為了 317390 41 1359443 不使加水分解、脫水縮合結束,而以水/燒氧基石夕烧之烧 氧基(摩爾Onole)比卜(M至〇.3之比例進行添加但 最好以0.2至0.25之比例添加。第2次添加水時可使用任 何比例’但最好以水/烧氧基石夕烷之烧氧基(摩爾比)Μ 至的比例進行添加。第i次添加水與第2次添加水之間 的相隔時間可隨意設定而無特別限制。添加之觸媒量口要 是可促進反應的量即彳,但最好以貌氧基石夕烧:觸媒=1 ·· 〇·1至0.001的摩爾比添加H容劑稀釋時可將宜稀 釋成約1至100倍,但以稀釋成3至2〇倍使用較為理想。 、將上述烧氡基石夕院、觸媒、以及水,並於必要時添加 溶劑攪拌約數分鐘至5小時後所獲得的塗布液塗布於基 板,以獲得電介質薄膜的先驅物。薄膜之多孔化條件了可 藉由變更所使用之溶劑或院氧基石夕烧而加以控制,並藉由 ,無、锻燒使溶劑蒸發,或去除經加水分解所產生之^類 成分即可形成細孔,獲得電介質薄膜。 塗布於基板的方法,可例舉:例如旋轉塗布(spin =叫)法、輯(casting)法、浸塗(仙吨)法等 \的方法。使用旋轉塗布法時,係將基板置於旋轉器上, =„式料滴於該基板上,並以5〇〇至麵〇rpm的轉速使其 疋以獲ί于表面平滑性良好且膜厚均一的電介質膜。 ,去除經由溶劑或燒氧基石夕烧之加水分解所產生之醇 口、^ Γ進行的乾燥、锻燒,在乾燥條件上並無特別限制, ^ 4發溶劑或醇類成分即可。條件亦無特別限制,只 Ρ、二由锻燒進-步促進薄膜中的石夕嫁醇⑻細】)基的 317390 42 1359443 , 縮合(condensation)即可。因此,煅燒的環境亦可在大氣 • _、惰性氣體_、或真空中進行煅燒。但是,當薄膜中含 -有Η或甲基等時,則最好在不使基產生分解的溫度 -下進行煅燒。具體而言,最好在不超過250至450Ϊ的溫 度範圍内,於氮氣中進行煅燒。 此外亦可利用表面張力小的有機溶劑或超臨界流 體,去除因溶劑或烷氧基矽烷之加水分解而產生的醇類成 籲分。特別是,利用可調節壓力與溫度且無表面張力之超臨 界流體進行去除時,由於不會導致薄膜細孔破裂,而得以 獲得多孔性極佳之薄膜。 在上述製造方法中,電介質薄膜,可在獨立狀態,或 固定於基板之狀態下獲得。所獲得之薄膜的細孔,可利用 薄膜之剖面TEM觀察或細孔分布測定,確認其平均細孔徑 在0.5ΠΠ1至l〇nm。此外,.薄膜的厚度會隨著製造條件而有 所不同,但其厚度大致在〇.〇5至2//m的範圍内。 ♦ ⑴藉由轉凝料使烧氧基錢成料,使石夕溶膠 與有機化合物自行組織化,而於成膜後藉由去除有機化合 物而多孔化的薄膜 糟由溶膠凝膠法使烧氧基石夕燒成膜時,使石夕溶谬與有 ,化合㈣行組織♦化’而於成臈後藉由去除有機化合物而 朴化^ 4膜·可藉由在製造上述(1 )薄膜而使用烧氧基 型周‘塗布液的過程中,再次添加做為細孔成形劑(鑄 得者如界面活性劑等之有機化合物所得的塗布液而獲
317390 43 1359443 • 上述界面活㈣,通常可㈣具有長賴基以及親水 .基之化合物。做為長鏈烧基,最好使用碳原子數8至24 .的長鏈烧基’但以使用碳原子數12至18之長鏈炫基較為 -理想,此外,做為親水基,可例舉:例如4級録鹽的基、 胺基、亞石肖基(nitrosogroup)、窥基、緩基等,其中以4 級録鹽的基’或羥基較為理想。 上述之界面活性劑,具體而言,最好使用一般式 • CH-3«(CH3) 2(CH2)〇n(CH2)bN(CH3)2CLH2L+1X1 + a (公式中,&為〇至2的整數,b為〇至4的整數,n 為8至24的整數,m為〇至12的整數,L為i至24的整 數’ X為函化物離子、HS〇4-、或i價的有機陰離子㈠所 示之烷氧錢。 上述一般式所示之界面活性劑,係在塗布液中形成微 胞(micelle),並以規則性配列。在本發明中,該微胞係形 成模板,並由利用烷氧基矽烷之加水分解、脫水縮合所獲 籲得的二氧化矽與界面活性劑製成複合體。接著,藉由去除 作為模板之界活性劑而可調製具規則性配列之細孔的電介 質薄膜。 此外,界面活性劑,亦可使用具有聚環氧烷 (polyalkylene oxide)構造的化合物。聚環氧烷之構造可 例舉·聚環氧乙烧(p〇lyethylene 〇xide)構造、聚環氧丙 烷(polypropylene oxide)構造、聚丨,4_環氧丁烷(p〇ly tetramethylene oxide)構造、聚環氧丁烷(p〇lybutylene oxide)構造。 317390 44 1359443 具有上述聚環氧烧構造之化合物,具體而言可例舉: 例如聚環氧乙烷—聚環氧丙烷嵌段共聚物 (polyoxyethylene polyoxypropylene block copolymer)、聚環氧乙烷聚環氧丁烷嵌段共聚物、聚環氧 乙烷-聚環氧丙烷·烷基醚、聚乙烯基烷基醚、聚環氧乙烷 基烷基•苯基醚等醚型化合物;聚環氧乙烷基甘油脂肪酸
Ss(polyoxyethyleneglycerin aliphatic acid ester)' 籲聚環氧乙烷基山梨糖醇酐脂肪酸酯、聚乙烯山梨糖醇脂肪 馱酉曰、山梨糖醇酐脂肪酸酯 '丙二醇脂肪酸酯、蔗糖脂肪 酸酯等鱗酯型化合物。 在本發明中,界面活性劑,可由上述化合物中單獨選 擇種或組合2種以上之化合物來使用。 烷氧基矽烷、觸媒以及水的添加比率係與上述(丨)的 月兄相同但界面活性劑的添力π量,最好相對於燒氧基石夕 烷之摩爾比為〇. 002至0. 6倍,但以〇. 005至0. 15倍更為 矚理,。添加上述界面活性劑時’在型態上並不受拘束,可 以疋固體狀態、液體狀態、或溶解於溶劑之狀態的任何一 可稭由變更上述界面活性劑與院氧基料的組合, :比等,並根據上述(2)的方法,製造具有2D—六角晶 Ϊ薄六角晶構造、立方體構造等週期性細孔構造的電 得上述電介f薄膜,與上述⑴的方料同,; 刚 所調製之塗布液塗布於基板,使其乾燥後,接」 317390 45 1359443 再藉由煅燒或有機溶劑之抽出,去除界面活性劑即可。所 獲得之電介質薄膜的細孔,可利用薄膜的剖面TEM觀察或 細孔分布測定,確認其具有lnm至1〇nm之平均細孔徑。此 外,具有2D-六角晶(hexag〇nai)構造、3D_六角晶構造、 立方體構造等週期性細孔構造時,可利用χ光繞射(x_ray diffraction)): CuKa ),碡認面間距(distance〇f lattice plane)在1. 3nm至I3nm範圍内的繞射岑值。
所獲得之電介質薄膜具有立方體構造的細孔,特別 疋,當細孔内具有細孔璧之疏離(alienati〇n)距離在!至 40A,但最好在2至25A範圍内的細孔狹部時,可藉由後 述處理’使雜部得以輕易閉塞,而獲得至少部份細孔狹 :呈閉塞的電介質薄膜。上述細孔狹部之大小的測定,可 藉由電子束(Eelectron beam)構造解析法獲得確認。藉由 上述方式獲得之電介質薄膜具有良好之疏水性,此外使用 f半導體材料時,可作為防止障壁金屬(barrier metal) 擴散的電介質薄膜。 2該細孔狹部之電介f薄膜,除了具有立方體制 ”貝㈣外,Φ可由細孔内形成有狹部之2D_六角晶 這或3D-六角晶構造的電介質薄膜獲得。 〇.例如·在存在有界面活性劑與聚石夕氧油(silicone 解:藉由對燒氧基我進行部分性的加水分 面活性&理’以調製塗布液。此時,最好先混合界 »·生Μ與聚矽氧油以調製混合 在進行部分性加水分解、脫水縮合處理二:力: 317390 46 1359443 在此,所謂之部分性加水分解、脫水縮合係指:混合溶液 未凝膠化形成流動化的狀態。一般而言,告 H)5p〇lse時即可視為凝膠化,因此係指點“‘i〇5p〇ise 的狀態。 -般認為’係藉由調製塗布液,而以聚石夕氧油為中心 配列界面活性劑’形成微胞。接著,將塗布液塗布於基板, 使其乾燥後’再利用煅燒去除界面活性劑,如此一來,由 於被導入微胞中心部之聚石夕氧油,會以附著於電介質薄膜 之細孔内表面的狀態殘留其中’因而形成上述之狹部。 上述聚矽氧油,並無特別限定,但可例舉以聚二甲某 石夕氧烧(pdycHmethyi sil(Dxane)為主成分之有财化人土 物=聚石夕氧油,可例舉:例如三甲基 :甲基妙減、聚苯基⑦和聚二甲基錢燒的共聚 、I本基甲基矽氡烷和聚曱基矽氡烷的共聚物、聚 :3,3 3-三氟代丙基甲基石夕氧烷和聚甲基石夕氧烷的共聚 氧乙科聚甲基料㈣共聚物、聚環氧丙烧和 2基夕魏的共聚物、聚環氧乙㈣口聚環氧丙烧和聚甲 :夕乳垸的共聚物、氫化末端聚二?基石夕院氧燒 二和聚甲崎的共聚物、彻末端聚二; 在本發明令所使用之聚石夕氧油 獨選擇-種或組合2種以上之共聚物來使用4物中早 =氧油1加量’對應燒氧基料⑽的重量分, 100重里分為佳’但以5至5〇重量分的範圍更為 3)7390 47 ⑧ 1359443 ,理想。藉由將聚矽氧油的添加量設定在上述範圍内,即可 輕易調製細孔内形成有狹部的電介質薄膜。 田孔狹4之至少—部分係、呈閉塞的電介質薄膜,可藉 由後述之介電係數測定與薄膜剖面之咖觀察來確認細孔 狹部之閉塞情形,以及疏水性的提升。 (3)藉由使,弗石在基板表面結晶成長而形成多孔化之 薄膜 • ’亦可藉由使〉弗石在基板表面結晶成長而%成多孔化之 薄膜。其製造方法並無特別限定,但具體而言,可藉由以 下方法來製造。 (A )以烷氧基矽烷或 膠篮二 片、 氧化矽(colloid silica) 等做為二氧切源,將含有使有機胺形成鑄型並藉由熱液 合成(hydrothermal synthesis)所獲得之彿石之微結晶的 塗布液’塗布於基板上’再經由乾燥、锻燒完成製造。 (B) 以烷氧基矽烷或膠體二氧化矽等做為二氧化矽 源,並在含有使有機胺形成鑄型並藉由熱液合成所獲得之 沸石之微結晶的塗布液中,.添加界面活性劑後,塗^於基 板’再經由乾燥、煅燒完成製造。 (C) 以烷氧基矽烷或膠體二氧化矽等做為二氧化矽 源,並在使有機胺形成鑄型並進行熱液合成之際,將美板 插入而使沸石在基板表面結晶成長後,再經由乾j 完成製造。 ’、^ 70 (D) 使塗布有矽膠(siiica gel)之基板,在包含有機 胺之水蒸氣中使沸石結晶化後,再經由乾燥、锻燒完成製 317390 48 1359443 造(乾凝耀·轉化,dry gel conversion)。 可使用於上述製造之有機胺、可例舉:例如氫氧化四丙 基銨、氫氧化四乙基銨、氫氧化四丁基銨、氫氧化四戊基 銨、三丙胺、三乙胺、三乙醇胺、哌啶、環己胺、新戊胺 (neopentyl amine)、異丙胺、三級丁基胺、.2-甲基吡啶、 Ν,Ν’-二曱基苄基胺、N,N —二乙基乙醇胺、二(正丁基)胺、 一(正戊基)胺、二環己胺、二甲胺基乙醇、膽鹼 春(choline)、N,N-二曱基哌嗪、1,4-二氮雜雙環(2, 2, 2)辛 烷、N-二乙醇胺、N_曱基乙醇胺、N_f基哌啶、奎寧環、 N’N’-二曱基一1,4—二氮雜雙環(2, 2, 2)辛烷二氫氧化物、乙 二胺、2-四氫咪唑啶酮(2-imidazolidone)等。 所獲得之電介質薄膜,可透過藉由χ光繞射(CuKa ) 所得之繞射峰值,確認其具有沸石構造。 產業上之可利用性 如以上所說明一般,根據本發明,可獲得控制性佳, ♦機械強度高,且介電係數低的絕緣膜,而可適用於:使用 矽之各種半導體裝置、以使用HEMT等化合物半導體之裝置 為主的高速裝置,微波1(:等之高頻裝置,MFMISs之高集 成強電介質記憶體,使用輸送膠片(film-carrier)等之微 波傳送線路或多層配線基板等。 【圖式簡單說明】 第1圖係顯示本發明之實施例丨的製程的流程圖。 第2圖係顯示本發明之實施例丨的半導體裝置的 步驟的圖。 、 317390 49 第3圖係顯示本發明之實施例丨的半導體裝置的製造 步驟的圖 第4圖係顯示本發明之實 步驟的圖。 、 施例1的半導體裝置的製造 步驟的圖 第6圖係顯示本發明之實 步驟的圖。 、 第5圖係顯示本發明之實施例1的半導體裝置的製造 明圖 圖 施例1的半導體裝置的製造 弟7圖係顯示使用於本發明之實施例之修復步驟的說 卜圖係’、、員不使用於本發明之實施例之製程種類的 第9圖係顯+ Μ 效果的說明圖‘。^於本發明之實施例之修復處理所致 第10圖係顯千伯田 致效果的說明圖 用於本發明之實施例之修復處理所 弟11圖係_介你 致效果的㈣圖 •本發明之實施例之修復處理所 第12圖係顯矛 致效果的說明圖。用於本發明之實施例之修復處理所 苐13圖係顯千/由m 致效果的說明圖。用於本發明之實施例之修復處理所 第14圖係顯示 致效果的說明圖。用於本發明之實施例之修復處理所 317390 50 之實施例之修復處理所 第15圖係顯示使用於本發明 致效果的說明圖。 之實施例之修復處理所 ▲第16圖係顯示使用於本發明 致效果的說明圖。 弟17.圖係翻;士 Λ 步驟的圖 第19圖係顯示本 步驟的圖。.“之貫 步驟的圖。…、Χ明之實施例2的半導體裝置的製造 沾同。@ 不本發明之實施例2的半導體裝置的製造 施例2的半導體裝置的製造 第2 0圖係顯示本發廉 步驟的圖。 月之“例2的半導體裝置的製造 第21圖係顯示本發 步驟的圖。 月之貫軛例2的半導體裝置的製造 第22圖係顯示本發明 士月之貫苑例3的半導體裝置的製造 艾驟的圖。 第23圖係顯示本發 月之貫鈀例3的半導體裝置的製造 步驟的圖。 第24圖係顯示本發明眚 + %月之貫施例3的半導體裝置的製造 步驟的圖。 明之實施例3的半導體裝置的製造 第2 5圖係顯示本發 步驟的圖。 第26圖係顯示本發明之實施例3的半導體裝置的製造 步驟的圖。 317390 51 1359443 第27圖係顯示習知例之半導體裝置的製造步驟的圖。 第28圖係顯示習知例之半導體裝置的製造步驟的圖。 第29圖係顯示習知例之半導體裝置的製造步驟的圖。 第30圖係顯示習知例之半導體裝置的製造步驟的圖。 第31圖係顯示習知例之半導體裝置的製造步驟的圖。 【主要元件符號說明】 101 矽基板 102 餘刻樓止層 103 電介質薄膜 104 抗反射膜 105 擴散障壁膜 106 種晶膜 107 銅電鍍膜 108 覆蓋膜 201 氧化矽膜 202 氮化矽膜 301 碳化矽膜 52 317390

Claims (1)

  1. W3 申請專利範圍 n m 年月日修正替換頁 第94130049號專利申諳索 年11月28日修正卷拖5 1. 一種半導體裝置的製造方法,係包含: 使得在以Si-Ο鍵結為主成分之骨架的周圍配置 有多數個空孔的電介質薄膜成膜在形成有所期望之 元件區域的半導體基板表面的成膜步驟; 在藉由前述成膜步驟所得之前述電介質薄膜表 面形成硬遮罩的步驟; 隔介前述硬遮罩蝕刻前述電介質薄膜的步驟; 在前述電介質薄膜的蝕刻步驟之前,包含:將 硬遮罩的圖案用阻劑予以剝離去除的步驟,以及使 含有四甲基環四矽氧烷(TMCTS)、六曱基二矽氮燒 (HMDS)、三甲基氯矽烷(TMCS)分子之至少一種分子 的氣體接觸前述電介質薄膜的步驟; 於前述電介質薄膜表面,隔介遮罩進行圖案化 的圖案化步驟;以及 使包含有四曱基環四矽氧烷(TMCTS)、六甲基二 矽氮烷(HMDS)、三甲基氯矽烷(TMCS)分子之至少一 種分子的氣體接觸已進行過圖案化的前述電介質薄 膜表面的步驟。 2.如申請專利範,項之半導體裝置的製造方法, 其中,在前述形成硬遮罩的步驟後,且在將上述硬 遮罩的圖案化隸料—離去除的步驟之前,復 包含:對硬遮罩進行圖案化的步驟。 L ^請專利範圍第1項之半導體裝置的製造方法, 、.中則述電"質薄臈係使用中孔之平均細孔徑在 317390修正版 53 1-359443 4. 10 HS2 第94130049號專利申諳索 100年11月28曰修正替換頁 0. 5nm至l〇nm的範圍内者。 如申清專利範圍第1項之半導體裝置的製造方法 其中, 如述硬遮罩為2層膜,且該製造方法包含: 在殘留下層侧之硬遮罩的狀態下剝離去除阻劑, 以及 並使用上層側之硬遮罩作為遮罩以蝕刻下層侧 之硬遮罩的步驟。 5.如申請專利範圍第1項之半導體裝置之製造方法, 其中, 前述圖案化步驟係形成配線形成用溝的步驟, 且包含:在前述溝内形成導電體層的步驟, 並在形成前述導電體層之步驟前,包含: 將形成有前述配線形成用溝之前述電介質薄膜 表面予以洗淨的步驟;以及 使含有四曱基環四矽氧烷(TMCTS)、六曱基二石夕 氮烷(HMDS)、三甲基氯矽烷(TMCS)分子之至少一種 分子的氣體,接觸前述經洗淨之前述電介質薄膜表 面的步驟。 6·如申請專利範圍第5項之半導體裝置的製造方法, 其中, 形成前述導電體層的步驟係包含: 於前述配線形成用溝中形成電鍍用之種晶層的 步驟; 在前述種晶層上進行電解電鍍,而形成電鑛層 317390修正版 54 1-359443 的步驟; -ΤΤΓ^- •年月日修正替換頁 第94130049號專利申請案 100年11月28日修正替換頁 藉由CMP步驟,去除前述電介質膜上之前述電 鍍層以及前述種晶層的去除步驟。 7.如申請專利範圍第6項之半導體裝置的製造方法, 包含: 在前述去除步驟之後,使含有四甲基環四矽氧 烷(TMCTS)、六曱基二矽氮烷(HMDS)、三甲基氯矽烷 (TMCS)分子之至少一種分子的氣體,接觸前述電介 質薄膜表面的步驟。 8.如申請專利範圍第1項之半導體裝置之製造方法, 其中, 前述圖案化步驟係形成接觸形成用之通孔的步 且包含:在前述通孔内形成導電體層的步驟, 而在形成前述導電體層之步驟前,係包含: 有機洗淨形成前述通孔之前述電介質薄膜表面 的步驟;以及 使含有四曱基環四矽氧烷(TMCTS)、六甲基二矽 氮烷(HMDS)、三曱基氣矽烷(TMCS)分子之至少一種 分子的氣體,接觸經前述有機洗淨之前述電介質薄 膜表面的步驟。 9.如申請專利範圍第1項之半導體裝置的製造方法, 其中,包含: 在形成前述遮罩之前,使含有四甲基環四石夕氧 烷(TMCTS)、六曱基二矽氮烷(HMDS)、三甲基氣矽烷 55 317390修正版 1-359443 第94130049號專利申請案 100年11月28日修正替換頁 接觸前述已成 (TMCS)分子之至少一種分子的氣體 膜之前述電介質薄膜表面的步驟。 10.如申請專利範圍第丨項之半導體裝置的製造方法, 其中, 使前述電介質薄膜成膜的步驟係包含: 產生先驅物溶液的步驟’俾使該先驅物溶液含 有二氧化矽衍生物與界面活性劑,並具有可配列所 期望之空孔的組成比; 使前述先驅物溶液升溫,而開始交聯反應的預 備交聯步驟; 將在前述預備交聯步驟中開始交聯反應之前述 先驅物溶液供給至前述半導體基板表面的步驟;以 及 張燒已接觸前述先驅物溶液之前述半導體基 板,以分解去除前述界面活性劑的步驟。 11.如申請專利範圍第丨項之半導體裴置的製造方法, 其中,係包含: 產生先驅物溶液的步驟,俾使該先驅物溶液含 有二氧化矽衍生物與界面活性劑,並具有可配列所 期望之空孔的組成比; 將前述先驅物溶液供給至前述半導體基板表面 的步驟; 將已接觸前述先驅物溶液之前述半導體基板予 以加熱,以開始交聯反應的預備交聯步驟;以及 锻燒前述半導體基板’以分解去除前述界面活 317390修正版 56 1-359443 1〇0 U.2 8 第94130049號專利申請案 性劑的步驟。 年月日修正替換頁 100年11月28曰修正替換頁 12. 如申請專利範圍第1〇項之半導體裝置的製造方法, 其中, 前述供給步驟係為將前述半導體基板浸潰於先 驅物溶液的步驟。 13. 如申請專利範圍第1〇項之半導體裝置的製造方法, 其中, 、前述供給步驟係為將前述半導體基板浸潰於前 述先驅物溶液中,並以所期望之速度將其拉上的 驟。 14. 如申請專利範圍第1〇項之半導體裝置的製造方 其中, 4 别述供給步驟係為將前述先驅物溶液塗布 述半導體基板的步驟。 1 15. 如申請專利範圍第1〇項之半導體裝置的製造方法, 其中, 7 …别述供給步驟係為將前述先驅物溶液滴在 半導縣板,並使前述基板旋轉的旋轉塗布^ 16.如申請專利範圍第10至15項中任一項之半 置的製造方法,其中, —裝 前述先驅物溶液係有前述 一種半導體裝置,具備有: 使用申請專利範圍第1至 而形成之具有接觸孔的電介質 充填於前述接觸孔的導電性膜。 空孔呈週期性排列。 16項中任一項之方法 薄膜;以及 317390修正版 57 17. 1359443 1 个.41 ·月日修正替換頁 第94130049號專利申請案 100年11月28日修正替換頁 你脾古@ q峒又平導體裝置,其中, 係將充填於形成於半 薄膜的接艏m 導體基板表面之前述電介質 i ^ ^ 等電性臈以接觸於前述半導體 巷板之方式予以形成。 19.如申:專利範圍第18項之半導體裝置,其中, 刚述電介質薄膜為多 士 a俞、+、址如 勺夕札貝一乳化矽薄膜’且形 成内的銅薄臈係構成配線層。 20·如公專利範圍第19項之半導體裝置,其中, 刚述夕孔質二氧化石夕薄膜 21.如申Lf專利範圍第19項之半導體裝置,=ra 刚述多孔質二氧化矽薄膜係具有立方體構造之 細:::將前述細孔的至少-部份予以閉塞 22·如申2專利範圍第22項之半導體裝置,其中, 前述細孔係以且右η ^ 式而形成。 、.2至―的壁間隔之方 317390修正版 58
TW094130049A 2004-09-02 2005-09-02 Method of manufacturing semiconductor device and s TWI359443B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2004255463A JP4903374B2 (ja) 2004-09-02 2004-09-02 半導体装置の製造方法

Publications (2)

Publication Number Publication Date
TW200614331A TW200614331A (en) 2006-05-01
TWI359443B true TWI359443B (en) 2012-03-01

Family

ID=36000150

Family Applications (1)

Application Number Title Priority Date Filing Date
TW094130049A TWI359443B (en) 2004-09-02 2005-09-02 Method of manufacturing semiconductor device and s

Country Status (6)

Country Link
US (2) US7727907B2 (zh)
JP (1) JP4903374B2 (zh)
KR (1) KR100971566B1 (zh)
CN (1) CN101015050B (zh)
TW (1) TWI359443B (zh)
WO (1) WO2006025501A1 (zh)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4903373B2 (ja) * 2004-09-02 2012-03-28 ローム株式会社 半導体装置の製造方法
JP4903374B2 (ja) * 2004-09-02 2012-03-28 ローム株式会社 半導体装置の製造方法
DE112007000177T5 (de) 2006-09-07 2008-10-23 Tokyo Electron Limited Substratbearbeitungsverfahren und Speichermedium
JP4578507B2 (ja) * 2007-07-02 2010-11-10 東京エレクトロン株式会社 半導体装置の製造方法、半導体製造装置及び記憶媒体
JP5090091B2 (ja) * 2007-07-12 2012-12-05 株式会社アルバック 表面処理装置及びこの表面処理装置を備えた半導体製造装置
US20090061633A1 (en) * 2007-08-31 2009-03-05 Fujitsu Limited Method of manufacturing semiconductor device
KR100981820B1 (ko) 2008-09-08 2010-09-13 경희대학교 산학협력단 트리메틸클로로실란 증기를 이용한 기판 표면 처리 장치 및방법
WO2010064306A1 (ja) 2008-12-03 2010-06-10 富士通株式会社 半導体装置の製造方法
JP5423029B2 (ja) * 2009-02-12 2014-02-19 富士通セミコンダクター株式会社 半導体装置の製造方法
DE102009010844B4 (de) * 2009-02-27 2018-10-11 Advanced Micro Devices, Inc. Bereitstellen eines verbesserten Elektromigrationsverhaltens und Verringern der Beeinträchtigung empfindlicher dielektrischer Materialien mit kleinem ε in Metallisierungssystemen von Halbleiterbauelementen
US20100249445A1 (en) * 2009-03-24 2010-09-30 The Regents Of The University Of California Post-spin-on silylation method for hydrophobic and hydrofluoric acid-resistant porous silica films
JP4699565B2 (ja) 2009-05-29 2011-06-15 三井化学株式会社 半導体用シール組成物、半導体装置および半導体装置の製造方法
JP5261291B2 (ja) * 2009-06-01 2013-08-14 東京エレクトロン株式会社 処理方法および記憶媒体
KR101067091B1 (ko) * 2010-03-31 2011-09-22 삼성전기주식회사 방열기판 및 그 제조방법
KR101638717B1 (ko) 2012-01-17 2016-07-11 미쓰이 가가쿠 가부시키가이샤 반도체용 시일 조성물, 반도체 장치 및 그의 제조 방법, 및 폴리머 및 그의 제조 방법
JP2012138609A (ja) * 2012-03-16 2012-07-19 Ulvac Japan Ltd 表面処理装置及びこの表面処理装置を備えた半導体製造装置
JP5968438B2 (ja) 2012-07-17 2016-08-10 三井化学株式会社 半導体装置及びその製造方法並びにリンス液
JP5535368B2 (ja) * 2013-04-26 2014-07-02 東京エレクトロン株式会社 処理装置
JP6419762B2 (ja) * 2016-09-06 2018-11-07 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6077792A (en) * 1997-07-14 2000-06-20 Micron Technology, Inc. Method of forming foamed polymeric material for an integrated circuit
US6121130A (en) * 1998-11-16 2000-09-19 Chartered Semiconductor Manufacturing Ltd. Laser curing of spin-on dielectric thin films
JP2000294634A (ja) * 1999-04-07 2000-10-20 Nec Corp 半導体装置及びその製造方法
JP3479023B2 (ja) * 1999-05-18 2003-12-15 シャープ株式会社 電気配線の製造方法および配線基板および表示装置および画像検出器
JP2001118842A (ja) * 1999-10-15 2001-04-27 Nec Corp 半導体装置とその製造方法
JP3419745B2 (ja) 2000-02-28 2003-06-23 キヤノン販売株式会社 半導体装置及びその製造方法
US6329062B1 (en) * 2000-02-29 2001-12-11 Novellus Systems, Inc. Dielectric layer including silicalite crystals and binder and method for producing same for microelectronic circuits
US6709806B2 (en) * 2000-03-31 2004-03-23 Kabushiki Kaisha Toshiba Method of forming composite member
US6559070B1 (en) 2000-04-11 2003-05-06 Applied Materials, Inc. Mesoporous silica films with mobile ion gettering and accelerated processing
US6720249B1 (en) 2000-04-17 2004-04-13 International Business Machines Corporation Protective hardmask for producing interconnect structures
JP3532830B2 (ja) 2000-05-24 2004-05-31 キヤノン販売株式会社 半導体装置及びその製造方法
AU2001266998A1 (en) * 2000-06-23 2002-01-08 Honeywell International, Inc. Method to restore hydrophobicity in dielectric films and materials
US6475929B1 (en) * 2001-02-01 2002-11-05 Advanced Micro Devices, Inc. Method of manufacturing a semiconductor structure with treatment to sacrificial stop layer producing diffusion to an adjacent low-k dielectric layer lowering the constant
US6533855B1 (en) * 2001-02-13 2003-03-18 Novellus Systems, Inc. Dispersions of silicalite and zeolite nanoparticles in nonpolar solvents
JP4169950B2 (ja) 2001-05-18 2008-10-22 Necエレクトロニクス株式会社 半導体装置の製造方法
JP4540885B2 (ja) 2001-06-29 2010-09-08 ローム株式会社 半導体装置の製造方法
JP2004535065A (ja) 2001-07-02 2004-11-18 ダウ・コーニング・コーポレイション 多孔質材料上のSiC:H蒸着によって改良された金属バリア挙動
TW561634B (en) * 2001-09-25 2003-11-11 Rohm Co Ltd Method for producing semiconductor device
AU2003220039A1 (en) * 2002-03-04 2003-09-22 Supercritical Systems Inc. Method of passivating of low dielectric materials in wafer processing
JP2003282698A (ja) * 2002-03-22 2003-10-03 Sony Corp 半導体装置の製造方法および半導体装置
TWI273090B (en) * 2002-09-09 2007-02-11 Mitsui Chemicals Inc Method for modifying porous film, modified porous film and use of same
JP2004210579A (ja) 2002-12-27 2004-07-29 Mitsui Chemicals Inc 多孔質シリカフィルムの製造方法、該方法により得られた多孔質シリカフィルム、並びにそれからなる半導体装置
JP4050631B2 (ja) 2003-02-21 2008-02-20 株式会社ルネサステクノロジ 電子デバイスの製造方法
US7176144B1 (en) * 2003-03-31 2007-02-13 Novellus Systems, Inc. Plasma detemplating and silanol capping of porous dielectric films
US7009280B2 (en) * 2004-04-28 2006-03-07 International Business Machines Corporation Low-k interlevel dielectric layer (ILD)
JP4903374B2 (ja) * 2004-09-02 2012-03-28 ローム株式会社 半導体装置の製造方法
JP4903373B2 (ja) * 2004-09-02 2012-03-28 ローム株式会社 半導体装置の製造方法

Also Published As

Publication number Publication date
US8212338B2 (en) 2012-07-03
US20100200990A1 (en) 2010-08-12
US7727907B2 (en) 2010-06-01
WO2006025501A1 (ja) 2006-03-09
TW200614331A (en) 2006-05-01
JP2006073800A (ja) 2006-03-16
KR20070052776A (ko) 2007-05-22
JP4903374B2 (ja) 2012-03-28
CN101015050B (zh) 2010-10-06
US20070228568A1 (en) 2007-10-04
CN101015050A (zh) 2007-08-08
KR100971566B1 (ko) 2010-07-20

Similar Documents

Publication Publication Date Title
TWI359443B (en) Method of manufacturing semiconductor device and s
TWI381448B (zh) 半導體裝置之製造方法及使用該方法所形成之半導體裝置
TWI277154B (en) Silica-based film, method of forming the same, composition for forming insulating film for semiconductor device, interconnect structure, and semiconductor device
TWI326891B (en) Material for forming adhesion reinforcing layer, adhesion reinforcing layer, semiconductor device, and manufacturing method thereof
TW561551B (en) Method of fabricating low-dielectric constant interlevel dielectric films for BEOL interconnects with enhanced adhesion and low-defect density
JP4689026B2 (ja) 極限低誘電率膜のためのキャッピング層
JP4545973B2 (ja) シリコン系組成物、低誘電率膜、半導体装置および低誘電率膜の製造方法
TWI360178B (en) Method of filling structures for forming via-first
JP2012231164A (ja) 誘電フィルム及び材料における疎水性を回復する方法
CN1839468A (zh) 使用甲硅烷基化剂修复低k介电材料的损伤
US20050173803A1 (en) Interlayer adhesion promoter for low k materials
JP2008117903A (ja) 半導体装置の製造方法
JPWO2004061931A1 (ja) 多層配線構造を有する半導体装置およびその製造方法
TWI321346B (en) Method of forming metal line in semiconductor device
US9373541B2 (en) Hard mask removal scheme
KR100383498B1 (ko) 반도체 장치 제조방법
US20100216305A1 (en) Method for fabricating semiconductor device
KR20100122848A (ko) 로우-k 막을 제조하는 방법, 반도체 소자 및 그 제조 방법
US20060276053A1 (en) Spin-on glass composition, method of preparing the spin-on glass composition and method of forming a porous silicon oxide layer using the spin-on glass composition
JP2007073914A (ja) 多孔質薄膜の製造方法、多孔質薄膜およびこれを用いた半導体装置
CN104134612B (zh) 一种修复超低介质常数薄膜侧壁损伤的方法
JP3136784B2 (ja) 絶縁膜の形成方法
JP2006351877A (ja) 積層体の製造方法、半導体デバイスおよび半導体デバイスの製造方法
JP2005019585A (ja) 半導体装置およびその製造方法
JP2005175396A (ja) ダマシン法を用いた配線の形成方法及び該配線形成に用いるシリカ系被膜形成用塗布液

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees