TWI339406B - Locally thinned fins - Google Patents

Locally thinned fins Download PDF

Info

Publication number
TWI339406B
TWI339406B TW094106871A TW94106871A TWI339406B TW I339406 B TWI339406 B TW I339406B TW 094106871 A TW094106871 A TW 094106871A TW 94106871 A TW94106871 A TW 94106871A TW I339406 B TWI339406 B TW I339406B
Authority
TW
Taiwan
Prior art keywords
fin
gate
fins
layer
finfet
Prior art date
Application number
TW094106871A
Other languages
English (en)
Other versions
TW200601398A (en
Inventor
Jochen C Beintner
Original Assignee
Ibm
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ibm filed Critical Ibm
Publication of TW200601398A publication Critical patent/TW200601398A/zh
Application granted granted Critical
Publication of TWI339406B publication Critical patent/TWI339406B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66818Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the channel being thinned after patterning, e.g. sacrificial oxidation on fin

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

1339406 九、發明說明: 【發明所屬之技術領域】 本發明領域係製造具有一垂直於水平安置之源極區與沒 極區之間的半導體基板而延伸之本體的場效電晶體,該場 效電晶體被稱為"FinFET"。 【先前技術】 金氧半場效電晶體(MOSFET)技術為目前使用中的主要 電子裝置技術。各代裝置之間之效能提高通常藉由減小裝 置之尺寸而達成,其導致裝置速度提高。此通常稱為裝置" 縮放"。 超大規模積體(ULSI)電路通常包含衆多電晶體,諸如一 百萬以上之電晶體及甚至數百萬電晶體,其合作用於電子 組件而執行各種功能。該等電晶體通常為包含一安置於源 極區與沒極區之間之閘極導體的互補金氧半場效電晶體 (CMOSFET)。在一薄閘極氧化物材料上提供該閘極導體。 大體而言,該閘極導體可為金屬、多晶矽或多晶矽/鍺 (SixGe^xO材料,其控制沒極與源極之間的通道區域中之 電荷載流子以打開及關閉該電晶體。電晶體可為N通道 MOSFET 或 P 通道 MOSFET。 在塊狀半導體類型之裝置中,諸如MOSFET之電晶體建 置於一塊狀基板之頂表面上。該基板經摻雜以形成源極區 與汲極區,且在源極區與汲極區之間提供一導電層。該導 電層作為電晶體之閘極;該閘極控制源極區與汲極區之間. 之一通道中的電流。隨著電晶體變得越來越小,必須減少 9979I.doc 1339406 電晶體之本體厚度(或反轉通道下方之耗盡層的厚度)以達 成優異的短通道效能。 隨著MOSFET之尺寸減至100奈米以下的通道長度,習知 之MOSFET遭受若干問題的困擾。詳言之,MOSFET之源極 與;及極之間的交互作用降低了該閘極控制該裝置之開或關 的能力。此現象稱為"短通道效應,,。 在裝置之作用區下方,絕緣物上矽(SOI) MOSFET形成有 一絕緣體(通常是,但不限於,二氧化矽),與習知之直接形 成於石夕基板上並因而在作用區下方具有矽的"塊狀 ” MOSFET不同。 習知之SOI類型之裝置包含附接至一薄膜半導體基板之 一絕緣基板’該薄臈半導體基板含有類似於相關於塊狀半 導體類型裝置而描述之MOSFET的電晶體。該絕緣基板通 常在較低的半導體基層上方包含内埋絕緣層。歸因於半導 體基板之薄膜性質與内埋絕緣層之絕緣特性,絕緣基板上 之電晶體具有優異的效能特徵。在一全耗盡(FD) MOSFET 中’本體厚度非常小,使得該耗盡區域具有有限的垂直延 伸’藉此消除連接效應且減少熱載流子降級。SOI裝置之優 異的效能在優異的短通道效能(意即,在小型電晶體中處理 變化之阻抗)、近乎理想的亞臨限電壓波動(意即,對低關閉 狀態的電流洩漏有利)及高飽和電流中得以表現。SOI係有 利的’因為其經由通道下方之區域減少MOSFET之源極與 汲極之間之不需要的耦合。此通常藉由確保MOSFET通道 區域中之任何矽可由閘極(稱為全耗盡SOI MOSFET)反轉 99791.doc 1339406 或耗盡達成。然而隨著裝置尺寸的減小,此變得愈來愈 困難,因為減小源極與汲極之間的距離,且因而其與該通 道進灯更多的交互’而減少閘極控制並增加短通道效應 (SCE)。 。玄雙閘極MOSFET結構有前途,因為其將一第二閉極置 放於裝置中,使得在通道每—側具有—閉極。以此方式允 >午自兩側對通道進行閘極控制,降低了 sce。此外,當使 用兩個閘極打開裝置時,形成兩個導電("反轉")層,其允許 更大的電流。雙閘極概念的延伸為"環繞閘極”或"包覆閘極 "的概念’其中置放閘極’以使得其完全或幾乎完全環繞通 道’而提供更佳的閘極控制。 在一種雙閘極場效電晶體(FinFET)中,裝置通道包括立 於一絕緣層(例如氧化矽)上之薄矽鰭狀物,且閘極與該鰭狀 物之側面接觸。因此’在該通道側面形成反轉層,該通道 膜足夠薄,使得兩個閘極控制整個通道膜並藉由源極及汲 極限制通道導電率之調變。 通道鰭狀物上之雙閘有效抑制SCE並提高驅動電流。另 外,因為鰭狀物薄,所以摻雜鰭狀物不需要抑制sce,且 未播雜之何料裝置通道,藉此由於雜質擴散而減少遷 移率降'級。另外,可藉由使用石夕錄合金或難炼金屬或其化 合物(諸如氮化鈦)而藉由調整閉極之功函數來控制該裝置 之臨限電壓。 & -般而言,需要製造較小的電晶體’以増加積體電路上 的組件密度。亦需要減小積體電路結構之尺寸,諸如通道、 99791.doc 1339406 •tm 導線 '電:器 ' 電阻器、隔離結#、觸點、互連等。舉例 而言,製造具有減小的閘極長度(減少的閘極導體之寬度) 之電晶體可具有顯著益處。具有減小的寬度之閘極導體可 更近地在一起形成’藉此增加1C上的電晶體密度。另外, 具有減少的寬度之閘極導體允許設計更小之電晶體,藉此 增加電晶體的速度並降低對電晶體之功率要求。 迄今’利用微影工具以在積體電路上形成電晶體及其它 結構。舉例而t,可利用微影工具界定閘極導體、作用線 導線、通道、摻雜區域以及與積體電路相關聯之其它結構。 大多數習知之微影製造製程僅能夠界定具有100奈米或更 大尺寸之結構或區域。 在-種習知之微影製造製程類型中’將—光阻光罩塗覆 於-基板或基板上方之一層上。藉由提供電磁輻射(諸如紫 外光)經由一上覆光罩而微影圖案化光阻光罩。曝光於電磁 輻射之光阻光罩的部分發生反應(例如被固化將光阻光罩 之未固化的部分移除,藉此將與覆蓋物關聯之圖案轉移至 光阻光罩。利用圖案化之光阻光罩蝕刻其它光罩層或結 構。經蝕刻之光罩層及結構然後可用於界定摻雜區域、其 它結構、通道、線路等》 ^ 因為積體電路上之結構或特徵之尺寸達到100奈求或50 奈米以下之位準,微影技術不能精確並準確地界定該特 徵。舉例而言’如上所述’減小與電晶體相關聯之閘極導 體的寬度(閘極長度)或與SOI電晶體相關聯之作用線具有 顯著的益處。將來的電晶體之設計會要求作用線具有小於 99791.doc I3394〇6 50奈米的寬度。 雙閘極SCHMOSFET已受到顯著關注,因為其具有相關於 =驅動電流及對短通道效應具有免疫性的優勢。因為閉極 猎由多個層來環繞作用區(例如,歸因於雙閘結構而增加閘 極之有效的總寬度),所以雙閘極M〇贿能夠增加驅動電 流。然而,將狹窄、密集之作用區圖案化具有挑戰性。如 上文相關於閘極導體而描述的,因為具有⑽奈米或5〇奈米 以下之尺寸的結構或特徵,所以習知之微影工具不 並精確地界定作用區。 因此,需要包含更小、更密集安置的作用區或作用線之 積體電路或電子裝置。此外,亦需要不利用習知之微影技 術來界定作用區或作用線之ULSI電路。此外,巾需要用於 界定具有小於1G0奈米與小於5〇奈米(例如2〇 5〇奈米)之構 A尺寸之至少一作用區或作用線之非微影方法。此外,亦 需要具有電晶體之S_體電路,該等電晶體具有與具有約 20至50奈米之寬度的作料相關聯之多㈣極導體。 本發明係針對用於製造FinFE 丁電晶體結構之製程,其為 習知之平面MOSFET技術及所得之結構的延伸。 【發明内容】 本發明係針對用於製造FinFET電晶體結構之製程,其中 與S/D區域中之鰭狀物相比,電晶體本體區域中之鰭狀物 有減小的厚度。 本發明之特徵為形成於鑲嵌孔中之自我對準間極。 本發明之一特徵為藉由磊晶矽增長將S/D區域中之鳍狀 99791.doc 1339406 物加厚’同時閘極下方之電晶體本體保持細薄值。 “本發明之-特徵為使用閘極間隔片處理,其促使形成覆 盖閉極之閘極間隔片,同時清理並加厚該等韓狀物之側壁。 【實施方式】 本發明描述在電晶體之本㈣域中製造局部細薄之錄狀 物的製程。局部細薄之歸狀物之優勢為:細薄韓狀物之更 高的機械穩定性(因$大部分縛狀物比細薄區_更厚及更 強);形成i基及藉由離子植人而延伸;以及因為歸因於間 極外之更厚的鰭狀物本體,所以並非所有的矽皆被非晶化 且經非晶化之矽因此可再結晶。 本發明之一特徵為一閘極間隔片處理,其保護閘極,同 時自閘極間隔片材料(例如氮化物)及自其它材料清理錯狀 物之側壁。因為需要長久過度蝕刻閘極間隔片,所以自不 需要之間隔片材料清理鰭狀物側壁非常固難。清理鰭狀物 之側壁之此過度敍刻亦消耗閉極之頂部及上側之等形閉極 間隔片’藉此曝光多晶矽閘極材料。所清理之鰭狀物側壁 有必要增加開極以外之鰭狀物厚度以減少串列阻抗。若在 縛狀物高度上曝露來自閘極之多晶石夕,將亦在彼區域中之 閘極上發生磊晶增長,且在矽化物形成期間會導致閘極與 源極/沒極短路。 現在參看圖卜其展示將含有-組FinFET電晶體之積體電 路之一部分的橫截面。曰曰曰圓W可為塊狀石夕或SOI晶圓。S〇i B曰圓為較佳且本文以此進行說明。在基板丨0上方,藉由習 知之製程形成内埋氧化絕緣物(Β〇χ)層2〇。位於Β〇χ 20之 9979I.doc 1339406 頂。卩為數塊矽30 ,其在垂直於將形成FinFET之鰭狀物之紙 平面延伸。圖1A中之橫截面係經由源極/汲極(S/D)區域而 截取’在圖1B中則係經由稍後步驟中置放電晶體閘極之位 置而截取。圖10為一展示橫截面1A及1B之位置的俯視圖。 κ截面所出現之水平尺寸將稱為橫向尺寸(組塊3 〇之水平 尺寸則為鰭狀物之厚度卜為便於解釋,將圖丨c之頂部稱為 北,且相應地稱呼其它方向.因此,圖丨八為朝向北在鰭狀 物之北端所截取之橫截面。在下圖中,橫截面〇八將與丨八之 位置相同,且橫截面nB將與1B之位置相同。 在此實例中,將由一共同閘極控制所展示之一組四個鰭 狀物。熟習此項技術者將明白,若需要,可形成獨立的閉 和^控制或夕個鰭狀物。如本文所使用的,術語"組"意 謂一或多個;意即,FinFET可具有一或多個結狀物。該圖 展示為熟習此項技術者所熟知之形成FinFET之矽鰭狀物之 習知的初步步驟之結果。 可以不同方式製造m緣物上石夕(SC)I)中之窄错狀物 結構,例如藉由光學微影,隨後藉由不同的修整技術(抗蝕 修整、硬式光罩修整、氧化修整(此等處理係基於藉由電衆 #刻或濕式姓刻’或藉由氧化對趙狀物進行材料消耗而減 少光罩之寬度))’藉由E_光束微影或藉由側壁影像轉移處 理》 在所說明之實例中,側壁影像轉移處理用作構成s〇i中之 窄鰭狀物。圖1展示塊狀晶圓10。其具有内埋氧化物(Β〇χ) 20及70奈米之SOI層30 (S0I之可能的範圍為〜】〇奈米至2〇〇 9979 丨.doc 1339406 奈米’但不限於S亥把圍)。層3 0之表面經氧化以形成3 Q 〇 A 之熱氧化物32 (較佳範圍為50 A至500 A)。或者,可使用任 何種類之化學氣體沈積(CVD)處理亦可沈積氧化物。 下列論述說明一種為熟習此項技術者所熟知之製造圖1 中所示之結構的習知之方法。亦可使用其它方法。為避免 不必要之細節,並未在圖中說明此等初始步驟。首先,將 1500 A (較佳範圍為500 A至3000 A)臨時非晶石夕(未示)沈積 在晶圓表面上’該晶圓表面將藉由CVD或濺鍍處理而形成 於鰭狀物中(鰭狀物層30之頂部的氧化物層32),然後沈積 5 00 Λ (較佳範圍為1〇〇 A至2〇〇〇 A) CVD氧化物(未展示)作 為硬式光罩。光學微影與RIE钱刻處理用於構成cvd氧化物 硬式光罩,且使用该CVD氧化物硬式光罩、停留於s〇i頂部 之氧化物層3 2上之非晶石夕層來形成隨後支標等形層之臨時 結構。然後使用CVD處理等形地沈積2〇〇 A (較佳範圍為5〇 A至5〇〇 A)氮化物層(未展示),隨後藉由RIE蝕刻處理以形 成在非晶矽側面上(側壁)之間隔片。 接著,使用電漿蝕刻或濕式蝕刻移除非晶矽而留下氮化 物間隔片結構。間隔片結構用作硬式光罩以構成下方之氧 化物32 ,並可隨後藉由氧化物及矽選擇電漿蝕刻或濕式蝕 刻(例如熱磷酸)而移除。接著,所構成之氧化物32用作硬式 光罩以蝕刻SOI層中之矽鰭狀物3〇,其導致圖j中所示之實 例。然後,熱增長犧牲氧化物以自矽鰭狀物表面移除RIE 才貝壞並當作用於可在此時進行處理之鰭狀物本體摻雜植入 之濾網氧化物。鰭狀物本體摻雜植入不必要使FinFE丁裝置 99791.doc 12 1339406 運作’但可用於設定FinFETVt »
藉由濕式钱刻移除犧牲氧化物,隨後使用熱氧化或VCD 沈積處理而進行預清理及閘極氧化物處理。上文所述之製 程之一具體實例展示於同在申請中之專利申請案代理人案 號YOR920030433US1中,在此讓渡至受讓人並以引用的方 式併入本文中’並為簡明起見而省略此描述。 本發明描述形成用於具有更厚之源極區/汲極區之
FinFET裝置之可控的、局部細薄的本體鰭狀物之製程。此 製程之優勢為高縱橫比之鰭狀物可以足夠的穩定性及更低 的延伸阻抗而進行處理。該製程係基於界定一蝕刻窗而用 於使矽鰭狀物局部變薄。鰭狀物本體厚度控制為FinFET處 理中最為關鍵的因素之一,因為其直接導致FET之臨限值變 化。 圖2A及圖2B展示沿著鰭狀物之側壁形成犧牲氧化物34 之結果。可使該氧化物熱增長或將其沈積至5〇人(較佳範圍 為ίο A-200 A)厚度。接著,圍繞鰭狀物等形地沈積cVD氮 化物40。較佳選定該厚度,使得由氮化物填充鰭狀物之間 的間隙,氮化物厚度範圍可為5〇人至ιοοο a。圖2A及2B展 示本體區域及S/D區域中之相同的結構。 圖3 A及3B展不使用氧化物5〇環繞鰭狀物之結果,當在稍 後步驟中移除氮化物40時,該氧化物5〇將界定一孔徑區。 在鰭狀物頂部上沈積及平坦化CVD氧化物5〇至氮化物高 度。CMP或回触技術可用於氧化物平坦化。 圖3A及圖3B展示兩個橫截面中之相同結構。 99791.doc -13- 1339406 圖4A及圖4B展示在氧化物50之平坦化表面42的頂部沈 積第二CVD氧化物之結果,其具有至少自box 20至表面42 之高度的厚度。此厚度對製程中稍後處理閘極氮化物間隔 片有必要。在圖3及圖4中之氧化物沈積亦可在一沈積處理 中完成’隨後為平坦化步驟,因為不具有氮化物層40來停 止的缺點’所以氧化物之總厚度控制變差。該結果展示S/D 區域中及閘極區域中之相同結構。 圖5B展示鰭狀物將被局部變薄之區域。可藉由微影(光學 或e-光束)或側壁影像轉移技術完成區域界定。使用處於適 當位置之適當光罩(例如抗蝕劑)來保護FinFET閘極區域以 外之電路區域(例如S/D區域),首先藉由RIE將氧化物55向 下蝕刻至氮化物40 ’接著藉由rIE將氮化物4〇向下蝕刻至内 埋氧化物20,可選擇氧化物5〇,留下一孔徑而用於電晶體 本體(展不於圖6C中之俯視圖中)。圖5A展示S/D區域不受孔 徑蝕刻處理所影響。 φ 圖6B展不在藉由濕式蝕刻(HF)移除鰭狀物之側壁處之氧 化物34且應用局部細薄處理以生產更薄之鰭狀物35後之圖 5B的本體區域。可藉由濕式⑽4〇H化學物)或乾式(各向同 性電毁)蚀刻石夕或藉由局部氧化及藉由濕式或乾式触刻技 術移除氧化物而完成局部變薄。圖6A展示未改變之,區 域。圖6C展示在孔徑53中具有較薄之本體區域35之俯視 圖。在圖6C之左部及右部之組塊55展示位於孔徑 53以外之 氧化物55之部分。如圓6A所示,氧化物抑過圖中所示之 區域向左右延伸。在圓s > a 中展不在中心部分處切除氧化 99791.doc 物55以展示用數字編號32表示之鰭狀物之無阻礙的俯視 圓’該俯視圖展示II狀物30上之氧化物罩。如圖6a中所示, ‘鰭狀物係嵌入於氮化物40中。 此時,有兩種一般的方式繼續FinFET製程,一種使用微 影對準閘極,另一種則使用自我對準閘極。使用微影對準 閘極之流程隨著犧牲氧化物之增長及溶離鰭狀物之較寬區 域中之氮化物而繼續。隨後為標準的FinFET製程。在細薄 鰭狀物區域上以微影方式界定出該閘極。 ® 在以下段落中描述較佳的自我對準閘極的實施例之處理 流程。 圖7B展示形成FinFET閘極60之結果。首先,在鰭狀物35 之側壁上熱增長閘極氧化物(鰭狀物35之邊緣36),其厚度為 10 A (較佳範圍為5 A (或可能最薄之氧化物)至〗〇〇 a (視鰭 狀物厚度而定))。或者,可以類似的目標範圍沈積閘極氧化 物。接著,使用CMP或回蝕技術在圖吒中所示之鑲嵌孔” •内沈積閘極導體6〇 (多晶矽、非晶矽、金屬)並向下平坦化 至氧化物55的高度。圖7八與圖6A相同,其展示將此步驟中 之行為限制於孔徑5 3 β 圖8Α展示在將氧化物55向下氧化蝕刻(RIE)至氮化物々ο 之咼度且將氮化物40向下氮化蝕刻(RIE)至更寬的鰭狀物 3 0之區域中的内埋氧化物2〇後S/D區域中之結構。該 餘刻區域在圖8A及圖8C中用括弧51表示。兩種钱刻處理對 閘極導體(鰭狀物30)材料具有選擇性。 以下處理步驟為選擇的且可纟冑述步⑬中清理s/d區域 99791.doc 1339406 後完成:氧化開極側壁(目標為35A,較佳範圍為ι〇Αβ ι〇〇 A)、沈積CVD氧化物襯塾(目標為5〇A,較佳範圍為入至 5〇〇 A)。為設定正確的Vt並控制短通道效應而進行函基及 延伸離子植入。 根據本發明’ S / D區域中之韓狀物3 〇比其初始值更厚以降 低裝置之阻抗。如上文所討論的,有必要㈣極在進行加 厚處理後不接近源極或汲極。以下步驟在閘極6〇之下部上 產生一絕緣介電層,位於與鰭狀物3〇之高度相等處。 在閘極及鰭狀物(目標4〇〇 A,較佳範圍5〇 A至旬上 沈積使用圖9B中線62表示的aa氮化物襯墊且藉由RIE蝕刻 以沿著閘極導體形成氮化物間隔片。 因為氮化物62蝕刻具有方向性,有必要使用長久的氮化 物過渡蝕刻以自該氮化物清理鰭狀物之側壁’所以氮化物 蝕刻對氧化物必須具有非常的選擇性。若氮化蝕刻具有較 小的方向性,則蝕刻之橫向組件將更快清理鰭狀物但不 將所要之間隔片留在閘極6〇上。 因此,間隔片蝕刻自閘極6〇及鰭狀物3〇之頂部移除間隔 片62且接著繼續自頂部移除黏附於鰭狀物及閘極之垂直表 面之等形層之部分。上文詳細說明之閘極與鰭狀物之間的 高度差在此時開始起作用。自鰭狀物移除氮化物,使得其 不阻礙加厚處理。在其期間,亦自閘極6〇之上部分移除氮 化物。因此’閘極6〇及鰭狀物3〇之相對高度上之狀態為: 當清理韓狀物時,氮化物62保持黏附於閘極6〇之北側及南 側直至源極及沒極材料以上的高度。 99791.doc -16- 1339406 圖9A中所示之結果為在S/D區域中具有一開口,在該“ο 區域中,一直向下蝕刻氮化物襯墊至Boχβ在閘極中,氮 化物RIE蝕刻下降相同的距離,但因為閘極更高,所以保留 有具有比矽鰭狀物30之高度更高的氮化物間隔片。在圖 之平面刖,以代表氮化物襯墊之頂表面之虛線62來表示的 氮化物襯墊穿過以圖8中之括弧51表示的孔徑向東西延伸。 圖10A展示使鰭狀物3〇展開之磊晶(epi)增長之結果。在清 理等形氮化物62後,藉由濕式蝕刻(HF)移除鰭狀物側壁3〇 上之氧化物34,接著使用選擇性的矽或矽鍺磊晶法使鰭狀 物增長地更廣以產生材料65,其展示為填充氧化物組塊5〇 與周圍錯狀物3 0之間之孔徑。在曝光聚合物之閘極導體之 上4为上亦發生蟲晶增長。蟲晶填充65展示為與韓狀物3〇 上之氧化物32之頂部處於相同高度,但高度並非關鍵。Epi 65可僅部分地垂直重疊氧化物罩32。 圖10C展示一俯視圖’其中epi 65填充鰭狀物3〇之間的區 域(用數字編號32表示’因為韓狀物30在氧化物32下方)。 Epi 65亦在閘極60之北側及南側上形成一襯塾。閘極6〇用虛 線輪廓展示’因為其在epi 65下方。氮化物襯墊62在圖10C 中亦位於epi 65正下方。圖10B與圖9B相同,在閘極60之頂 部上增加epi 65。 下一步驟為源極/汲極離子植入 '矽化物形成、觸點形成 製程及金屬化。 使用標準的FinFET製程,諸如在J.Kedzierski等人之 "IEEE Transactions on Electron Devices"中(η.2003 年 4 月 4 日 99791.doc -17- 1339406 磊晶沈積後的鰭狀物之端部與中部的橫截面。 【主要元件符號說明】 10 晶圓 20 内埋氧化絕緣物(BOX) 3 0 矽組塊 32 熱氧化物 34 犧牲氧化物 35 鰭狀物 40 化學氣體沈積(CVD)氮化物 42 平坦化表面 50 化學氣體沈積(CVD)氧化物 51 括弧 53 鑲嵌孔 55 氧化物 60 閘極 62 氮化物襯墊 6 5 蟲晶 9979I.doc 19-

Claims (1)

1339406 ^月:i π饺(更)正本 第094106871號專利申請案 中支申請專利範圍替換本("年8月) 十、申請專利範圍: 1‘ 一種形成一鰭式場效電晶體(FinFET)之方法,其包括以 下步驟: ^ 在一矽基板上形成具有一鰭狀物高度及一鰭狀物厚度 之至少一鰭狀物; X 形成Μ⑮,其具有一大於該縛狀物高度之高度且在 一本體區域中與該鰭狀物相交; 在°玄閘極及該等鰭狀物上形成一等形層; 方向性地蝕刻該等形層,直至在該等韩狀物之一源極/ 汲極區中移除該等形層藉此在該閘極上形成一與該鰭 狀物高度相等之閘極覆蓋物;及 當由該閘極覆蓋物將該閘極與該組韓狀物隔離時增 加該鰭狀物厚度。 曰 2. 如清求項1之方法,其中該閘極高度使得在該姓刻該等形 層之步驟後’該閘極覆蓋物具有一大於或等於該鰭狀物 南度的向度D 3. 如請求項1之方法,其進一步包括以下步驟: 在該鰭式場效電晶體(FinFET)上沈積至少一臨時層; 在該等縛狀物之-本體區域中與該㈣物相交的曰該臨 時層中形成—鑲嵌孔;及 在該鑲嵌孔中形成一閘極。 4,如咕求項2之方法,其進一步包括以下步驟: 在該鰭式場效電晶體(FinFET)上沈積至少一臨時層; 在該等鰭狀物之一本體區域中與該鰭狀物相交的該臨 99791-990802.doc 時層中形成一鑲嵌孔;及 在該鑲嵌孔中形成一閘極。 5. 如請求項3之方法’其進一步包括以下步驟: 在該鑲嵌孔中使該鰭狀物變薄,拉 _ 符错此減少該鰭狀物之 一本體區域中之一初始鰭狀物厚度。 6. 如請求項4之方法,其進一步包括以下步驟: 一在該鑲嵌孔中使該餘物變薄,藉此減少該隸物之 一本體區域中之一初始鰭狀物厚度。 7·如請求項3之方法,其進一步包括以下步驟: 在該等鰭狀物上沈積一磊晶材料,麩 猎此增加一初始的 韓狀物厚度。 8·如請求項4之方法,其進一步包括以下步驟: 在。玄等鰭狀物上沈積一磊晶材料,藉此增加—初始的 韓狀物厚度。 9_如請求項5之方法,其進一步包括以下步驟: 在該等鰭狀物上沈積一磊晶材料,藉此增加—初始的 縛狀物厚度。 10.如吻求項6之方法,其進一步包括以下步驟: 在該等鰭狀物上沈積一磊晶材料’藉此增加—初始的 韓狀物厚度。 u. 一種轉式場效電晶體(FinFET),其包括: 在—妙基板上具有一鰭狀物高度及一鰭狀物厚度之至 少一鰭狀物; 一間極,其具有一大於該鰭狀物高度的高度,且與— 99791-990802.doc -2- 1339406
本體區域中之該鰭狀物相交而形& ; 上之等形層 一藉由蝕刻一安置於該閘極及該等鰭狀物 而形成之閘極覆蓋層; 該等形層經方向性地蝕刻,直至在該等鰭狀物之—源 極/沒極區中將該㈣層移除,藉此在該閘極上形成與^ 趙狀物南度相同之該閘極覆蓋物;及 當由該問極覆蓋物將該閘極與該組鰭狀物隔離時,增 加該鰭狀物厚度之一磊晶材料。 12. 如請求項1丨之錯式場效電晶體(FinFET),其中該閘極之高 度使得該閘極覆蓋物在該蝕刻該等形層之步驟後具有一 大於或等於該鰭狀物高度的高度。 13. 如請求項U之韓式場效電晶體(FinFET),其中該問極係經 自我對準於該FinFET之一本體; 位於該等鰭狀物之一本體區域中與該鰭狀物相交之— 臨時層中之一鑲嵌孔中。 14. 如印求項12之鰭式場效電晶體(FinFET),其中該閘極係 自我對準於該鰭式場效電晶體(FinFET)之一本體; 位於該等鰭狀物之一本體區域中與該鰭狀物相交之— 臨時層中之一鑲嵌孔中。 15·如π求項丨3之鰭式場效電晶體(FinFET),其中在該鑲嵌 孔中5亥鰭狀物係經薄化,藉此減少該鰭狀物之一本體區 域中之一初始的鰭狀物厚度。 16·如%求項14之韓式場效電晶體(FinFET),其中在該鑲嵌 子L*中夕 〈该賴狀物係經薄化,藉此減少該鰭狀物之一本體 99791-990802.doc 1339406 17. 18. 19. 20. 區域中之一初始的鰭狀物厚度。 如請求項13之鰭式場效電晶體(FinFET),其中該等鰭狀 物具有一磊晶材料層,藉此增加一初始的鰭狀物厚度。 如請求項14之鰭式場效電晶體(FinFET),其中該等韓狀 物具有一磊晶材料層,藉此增加一初始的鰭狀物厚度。 如請求項1 5之鰭式場效電晶體(FinFET),其中該等轉狀 物具有一磊晶材料層’藉此増加一初始的鰭狀物厚度。 如請求項1 6之鰭式場效電晶體(FinFET),其中該等賴狀 物具有一磊晶材料層’藉此增加一初始的鰭狀物厚度。 99791-990802.doc
TW094106871A 2004-03-15 2005-03-08 Locally thinned fins TWI339406B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/800,850 US7087471B2 (en) 2004-03-15 2004-03-15 Locally thinned fins

Publications (2)

Publication Number Publication Date
TW200601398A TW200601398A (en) 2006-01-01
TWI339406B true TWI339406B (en) 2011-03-21

Family

ID=34920785

Family Applications (1)

Application Number Title Priority Date Filing Date
TW094106871A TWI339406B (en) 2004-03-15 2005-03-08 Locally thinned fins

Country Status (4)

Country Link
US (1) US7087471B2 (zh)
JP (1) JP4256853B2 (zh)
CN (1) CN100361282C (zh)
TW (1) TWI339406B (zh)

Families Citing this family (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100598099B1 (ko) * 2004-02-24 2006-07-07 삼성전자주식회사 다마신 게이트를 갖는 수직 채널 핀 전계효과 트랜지스터 및 그 제조방법
KR20050108916A (ko) * 2004-05-14 2005-11-17 삼성전자주식회사 다마신 공정을 이용한 핀 전계 효과 트랜지스터의 형성 방법
KR100555573B1 (ko) * 2004-09-10 2006-03-03 삼성전자주식회사 Seg막에 의해 확장된 접합영역을 갖는 반도체 소자 및그의 제조방법
US7608503B2 (en) * 2004-11-22 2009-10-27 Macronix International Co., Ltd. Side wall active pin memory and manufacturing method
KR100594327B1 (ko) * 2005-03-24 2006-06-30 삼성전자주식회사 라운드 형태의 단면을 가지는 나노와이어를 구비한 반도체소자 및 그 제조 방법
JP4718908B2 (ja) * 2005-06-14 2011-07-06 株式会社東芝 半導体装置および半導体装置の製造方法
US7223650B2 (en) * 2005-10-12 2007-05-29 Intel Corporation Self-aligned gate isolation
US7309626B2 (en) * 2005-11-15 2007-12-18 International Business Machines Corporation Quasi self-aligned source/drain FinFET process
JP2007299951A (ja) * 2006-04-28 2007-11-15 Toshiba Corp 半導体装置およびその製造方法
JP2007299991A (ja) * 2006-05-01 2007-11-15 Toshiba Corp 半導体装置及びその製造方法
JP2008124423A (ja) 2006-10-20 2008-05-29 Oki Electric Ind Co Ltd 半導体装置の製造方法及び半導体装置
JP4473889B2 (ja) * 2007-04-26 2010-06-02 株式会社東芝 半導体装置
KR100855834B1 (ko) * 2007-05-25 2008-09-01 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
TW200847292A (en) * 2007-05-29 2008-12-01 Nanya Technology Corp Method of manufacturing a self-aligned FinFET device
US7923337B2 (en) 2007-06-20 2011-04-12 International Business Machines Corporation Fin field effect transistor devices with self-aligned source and drain regions
CN100536092C (zh) * 2007-09-21 2009-09-02 北京大学 一种利用外延工艺制备鳍形场效应晶体管的方法
US20090124097A1 (en) * 2007-11-09 2009-05-14 International Business Machines Corporation Method of forming narrow fins in finfet devices with reduced spacing therebetween
CN101946326A (zh) * 2008-02-16 2011-01-12 忠北国立大学产学合作基金会 在室温下运行的单电子晶体管及其制造方法
US8835261B2 (en) * 2011-03-14 2014-09-16 International Business Machines Corporation Field effect transistor structure and method of forming same
US8513131B2 (en) 2011-03-17 2013-08-20 International Business Machines Corporation Fin field effect transistor with variable channel thickness for threshold voltage tuning
US8236634B1 (en) 2011-03-17 2012-08-07 International Business Machines Corporation Integration of fin-based devices and ETSOI devices
US20140035069A1 (en) * 2011-06-04 2014-02-06 Avalanche Technology Inc. Field effect transistor having a trough channel
US8377779B1 (en) 2012-01-03 2013-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing semiconductor devices and transistors
US9093556B2 (en) * 2012-08-21 2015-07-28 Stmicroelectronics, Inc. Multi-fin FINFET device including epitaxial growth barrier on outside surfaces of outermost fins and related methods
CN103839814B (zh) * 2012-11-21 2016-12-21 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管的形成方法
US8912609B2 (en) 2013-05-08 2014-12-16 International Business Machines Corporation Low extension resistance III-V compound fin field effect transistor
US9263554B2 (en) 2013-06-04 2016-02-16 International Business Machines Corporation Localized fin width scaling using a hydrogen anneal
JP2014241386A (ja) * 2013-06-12 2014-12-25 富士通セミコンダクター株式会社 半導体装置の製造方法及び半導体装置
US9502408B2 (en) 2013-11-14 2016-11-22 Globalfoundries Inc. FinFET device including fins having a smaller thickness in a channel region, and a method of manufacturing same
US20150145042A1 (en) * 2013-11-25 2015-05-28 International Business Machines Corporation Transistors having multiple lateral channel dimensions
WO2015099789A1 (en) 2013-12-27 2015-07-02 Intel Corporation Technologies for selectively etching oxide and nitride materials and products formed using the same
US9293375B2 (en) 2014-04-24 2016-03-22 International Business Machines Corporation Selectively grown self-aligned fins for deep isolation integration
US9209172B2 (en) * 2014-05-08 2015-12-08 International Business Machines Corporation FinFET and fin-passive devices
US9087720B1 (en) 2014-08-04 2015-07-21 Globalfoundries Inc. Methods for forming FinFETs with reduced series resistance
US9985112B2 (en) 2015-02-06 2018-05-29 International Business Machines Corporation Sloped finFET with methods of forming same
US9443853B1 (en) 2015-04-07 2016-09-13 International Business Machines Corporation Minimizing shorting between FinFET epitaxial regions
US9722043B2 (en) 2015-06-15 2017-08-01 International Business Machines Corporation Self-aligned trench silicide process for preventing gate contact to silicide shorts
US9627378B2 (en) * 2015-06-30 2017-04-18 International Business Machines Corporation Methods of forming FINFETs with locally thinned channels from fins having in-situ doped epitaxial cladding
US11018254B2 (en) * 2016-03-31 2021-05-25 International Business Machines Corporation Fabrication of vertical fin transistor with multiple threshold voltages
US9947548B2 (en) 2016-08-09 2018-04-17 International Business Machines Corporation Self-aligned single dummy fin cut with tight pitch
US9842840B1 (en) * 2016-11-09 2017-12-12 Micron Technology, Inc. Transistors and memory arrays
US10593797B2 (en) * 2018-06-26 2020-03-17 International Business Machines Corporation Vertical transport field effect transistor structure with self-aligned top junction through early top source/drain epitaxy
JP7028096B2 (ja) 2018-07-26 2022-03-02 日本製鉄株式会社 コークスの強度管理方法
US10930768B2 (en) 2018-10-18 2021-02-23 Samsung Electronics Co., Ltd. Low current leakage finFET and methods of making the same
US10957786B2 (en) 2018-10-18 2021-03-23 Samsung Electronics Co., Ltd. FinFET with reduced extension resistance and methods of manufacturing the same
US10720502B2 (en) 2018-10-22 2020-07-21 International Business Machines Corporation Vertical transistors having a layer of charge carriers in the extension region for reduced extension region resistance
US10685840B2 (en) 2018-11-16 2020-06-16 Globalfoundries Inc. Gate structures

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6252284B1 (en) * 1999-12-09 2001-06-26 International Business Machines Corporation Planarized silicon fin device
US6413802B1 (en) * 2000-10-23 2002-07-02 The Regents Of The University Of California Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture
US6475869B1 (en) * 2001-02-26 2002-11-05 Advanced Micro Devices, Inc. Method of forming a double gate transistor having an epitaxial silicon/germanium channel region
US6583469B1 (en) * 2002-01-28 2003-06-24 International Business Machines Corporation Self-aligned dog-bone structure for FinFET applications and methods to fabricate the same
US6642090B1 (en) * 2002-06-03 2003-11-04 International Business Machines Corporation Fin FET devices from bulk semiconductor and method for forming
US6864164B1 (en) * 2002-12-17 2005-03-08 Advanced Micro Devices, Inc. Finfet gate formation using reverse trim of dummy gate
US6855607B2 (en) * 2003-06-12 2005-02-15 Advanced Micro Devices, Inc. Multi-step chemical mechanical polishing of a gate area in a FinFET
US7045401B2 (en) * 2003-06-23 2006-05-16 Sharp Laboratories Of America, Inc. Strained silicon finFET device
US6911383B2 (en) * 2003-06-26 2005-06-28 International Business Machines Corporation Hybrid planar and finFET CMOS devices
US7005330B2 (en) * 2003-06-27 2006-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for forming the gate electrode in a multiple-gate transistor
US6812105B1 (en) * 2003-07-16 2004-11-02 International Business Machines Corporation Ultra-thin channel device with raised source and drain and solid source extension doping
US7095065B2 (en) * 2003-08-05 2006-08-22 Advanced Micro Devices, Inc. Varying carrier mobility in semiconductor devices to achieve overall design goals
JP4266138B2 (ja) * 2003-08-11 2009-05-20 パイオニア株式会社 情報記録装置及び最適レーザパワー検出方法

Also Published As

Publication number Publication date
CN100361282C (zh) 2008-01-09
CN1674239A (zh) 2005-09-28
US20050202608A1 (en) 2005-09-15
JP4256853B2 (ja) 2009-04-22
US7087471B2 (en) 2006-08-08
JP2005268782A (ja) 2005-09-29
TW200601398A (en) 2006-01-01

Similar Documents

Publication Publication Date Title
TWI339406B (en) Locally thinned fins
JP4006419B2 (ja) ハイブリッド・プレーナおよびFinFETCMOSデバイス
JP6211673B2 (ja) トリゲート・デバイス及び製造方法
JP4549828B2 (ja) フィン型fetにおいてフィンを形成するプルバック方法
TWI287867B (en) Independently accessed double-gate and tri-gate transistors in same process flow
JP4642786B2 (ja) 複数のスラブを備えるマルチゲートトランジスタの製造方法
JP5671481B2 (ja) ナノワイヤ・メッシュ・デバイス及びその製造方法
US7449733B2 (en) Semiconductor device and method of fabricating the same
JP3974837B2 (ja) 二重ゲート・トランジスタおよびその製造方法
KR100532353B1 (ko) 핀 전계 효과 트랜지스터 및 그 제조방법
US6933183B2 (en) Selfaligned source/drain FinFET process flow
JP3962321B2 (ja) 非対称フィン電界効果トランジスタ及びその製造方法
US6924178B2 (en) Oxide/nitride stacked in FinFET spacer process
JP4058751B2 (ja) 電界効果型トランジスタの製造方法
JP5723546B2 (ja) 寄生容量が低減されたsoiボディ・コンタクト型fetのための方法
US8227316B2 (en) Method for manufacturing double gate finFET with asymmetric halo
US20060231907A1 (en) Semiconductor device with FinFET and method of fabricating the same
KR20130046338A (ko) 핀형 전계 효과 트랜지스터(finfet) 기반 금속-반도체 합금 퓨즈 장치 및 이러한 장치를 제조하는 방법
TW200421594A (en) Self-aligned planar double-gate process by amorphization
JP2002368225A (ja) 半導体装置及びその製造方法
JP2008085357A (ja) 電界効果型トランジスタの製造方法
JP2008072142A (ja) 半導体装置の製造方法
JP5719381B2 (ja) 低寄生容量ボディ・コンタクト・トランジスタ
US7105391B2 (en) Planar pedestal multi gate device
JP2004079790A (ja) 完全空乏型soi−mosトランジスタおよびその製造方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees