JP3974837B2 - 二重ゲート・トランジスタおよびその製造方法 - Google Patents

二重ゲート・トランジスタおよびその製造方法 Download PDF

Info

Publication number
JP3974837B2
JP3974837B2 JP2002276580A JP2002276580A JP3974837B2 JP 3974837 B2 JP3974837 B2 JP 3974837B2 JP 2002276580 A JP2002276580 A JP 2002276580A JP 2002276580 A JP2002276580 A JP 2002276580A JP 3974837 B2 JP3974837 B2 JP 3974837B2
Authority
JP
Japan
Prior art keywords
transistor
gate
layer
forming
work function
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2002276580A
Other languages
English (en)
Other versions
JP2003163356A (ja
Inventor
メイケイ・イオング
エドワード・ジェイ・ノワク
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2003163356A publication Critical patent/JP2003163356A/ja
Application granted granted Critical
Publication of JP3974837B2 publication Critical patent/JP3974837B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/82385Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different shapes, lengths or dimensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/456Ohmic electrodes on silicon
    • H01L29/458Ohmic electrodes on silicon for thin film silicon, e.g. source or drain electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4908Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET for thin film semiconductor, e.g. gate of TFT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66484Unipolar field-effect transistors with an insulated gate, i.e. MISFET with multiple gate, at least one gate being an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Thin Film Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、一般に半導体製造の分野に関し、特に二重ゲート電界効果トランジスタを形成する方法に関する。
【0002】
【従来の技術】
半導体装置の製造においてコストと性能を競争できる状態に維持する必要から、集積回路のデバイス密度はたえず増大してきた。このデバイス密度の増大を容易にするために、これら半導体装置のフィーチャ・サイズ(最小加工寸法)を縮小させるのを可能にする新たな技術がたえず必要とされている。
【0003】
たえまなくデバイス密度を増大させる圧力は、CMOS技術、たとえば電界効果トランジスタ(FET)の設計と製造などにおいて特に強い。ほとんどすべての種類の集積回路(すなわちマイクロプロセッサやメモリなど)において、FETが使われている。FETの基礎的な設計パラメータの1つに、しきい値電圧(Vt)がある。FETのしきい値電圧とは、一般に、(FETの種類に応じて)当該FETをスイッチ・オンまたはスイッチ・オフさせるのに必要なゲート電圧のことである。FETは、しきい値電圧が異なると、動作特性も異なる。たとえば、しきい値電圧の低いトランジスタは、一般に、高周波で動作することができるとともに、電流駆動力が大きい。しかし、しきい値電圧の低いトランジスタは、漏れ電流も大きいから、一般に、しきい値電圧の高いトランジスタよりも電力消費量が大きい。
【0004】
したがって、ある用途ではしきい値電圧の低いトランジスタを用いて性能を改善させ、別の用途ではしきい値電圧の高いトランジスタを用いて不所望の電力消費を削減するのが望ましい。しかしながらあいにく、トランジスタのボディがきわめて薄い場合、同一のデバイス中にしきい値電圧の異なるトランジスタを作製するのは、一般に困難である。
【0005】
このことは、二重ゲート電界効果トランジスタについて特に当てはまる。二重ゲートFETでは、ボディの両側に1つずつ合計2つのゲートを用いることにより、基準を満たした特性を維持する一方で、CMOSの寸法を拡大縮小するのを容易にしている。特に、二重ゲートを用いるとチャネル上のゲート電位を良好に制御できるようになるので、デバイスのゲート長を長くしなくともトランジスタを流れる電流を良好に制御することが可能になる。したがって、二重ゲートFETでは、大きなトランジスタであっても、その大きさに見合った分のスペースを増やす必要なく、その電流制御を行なうことができる。
【0006】
したがって、必要以上に製造工程を複雑にすることなく、同一のデバイス中にしきい値電圧の異なるトランジスタを形成できる、二重ゲート・トランジスタのデバイス構造とその製造方法とが求められている。
【0007】
【課題を解決するための手段】
本発明は、異なるしきい値電圧を有する様々なトランジスタを形成するのを容易にする、二重ゲート・トランジスタとその形成方法を提供する。第1の側面では、以下に示すステップ群から成る、様々なしきい値電圧を有するトランジスタ群を形成する方法を提供する。すなわち、
(a)半導体基板を準備するステップと、
(b)前記半導体基板上に幅を有する形体を複数個形成するステップと、
(c)少なくとも1つの形体の幅を選択的に調整するステップと、
(d)前記複数の形体を用いて前記半導体基板をパターニングして複数のトランジスタ・ボディを形成し、前記複数のトランジスタ・ボディの各々の幅が前記複数の形体のうちの対応する1つのものの幅によって少なくとも部分的に決められるようにするステップと、
(e)前記複数のトランジスタ・ボディの各々の第1のボディ端に隣接して、第1の仕事関数の第1のゲート構造体を形成するステップと、
(f)前記複数のトランジスタ・ボディの各々の第2のボディ端に隣接して、第2の仕事関数の第2のゲート構造体を形成するステップと
である。
【0008】
第2の側面では、以下に示す構成要素群から成る、様々なしきい値電圧を有するトランジスタ群を提供する。すなわち、
(a)基板上に形成された複数のトランジスタ・ボディであって、前記トランジスタ・ボディは各々トランジスタ・ボディ幅を画定する第1の垂直端と第2の垂直端とを有し、前記複数のトランジスタ・ボディのうちの選択した部分が既調整の幅を有している、複数のトランジスタ・ボディと、
(b)複数の第1のゲート構造体であって、前記複数の第1のゲート構造体の各々が前記複数のトランジスタ・ボディの第1の垂直端の1つに隣接しており、前記複数の第1のゲート構造体は第1の仕事関数を有している、複数の第1のゲート構造体と、
(c)複数の第2のゲート構造体であって、前記複数の第2のゲート構造体の各々が前記複数のトランジスタ・ボディの第2の垂直端の1つに隣接しており、前記複数の第2のゲート構造体は第2の仕事関数を有している、複数の第2のゲート構造体と
である。
【0009】
【発明の実施の形態】
本発明は、しきい値電圧が異なる様々なトランジスタを容易に形成しうる、二重ゲート・トランジスタとその形成方法を提供するものである。本発明の実施形態では、様々なボディ幅を有するトランジスタを形成している。様々なボディ幅を有する二重ゲート・トランジスタを形成することにより、本発明の実施形態では、形成プロセスを複雑にすることなく、様々なしきい値電圧を有する二重ゲート・トランジスタを形成している。
【0010】
本発明の第1の実施形態では、ゲート間に水平に配置されたボディの両側に二重ゲートを形成している。これにより、デバイスのゲート長を最小フィーチャ・サイズにする一方で、ボディの厚さをゲート長よりもずっと薄くすることが可能になる。また、これにより、結果として得られるデバイスのしきい値電圧を良好に制御することも可能になる。さらに、この形成方法により、プロセスとデバイスが複雑になるのを最小限に抑えながら、異なるしきい値電圧を有する様々なトランジスタを形成することが可能になる。
【0011】
さらに、本発明は、ゲート・ドーピングが非対称な二重ゲート・トランジスタを提供する。この場合、二重ゲートの一方をn型に縮退的にドープし、他方をp型に縮退的にドープしている。二重ゲートの一方をn型にドープし、他方をp型にドープすると、結果として得られるデバイスのしきい値電圧が改善する。特に、2つのゲートを非対称にドープすると、ボディが適切にドープされるので、結果として得られるトランジスタのしきい値電圧を低電圧CMOS動作が可能になる範囲にすることができる。たとえば、n型FETの場合には0V〜0.5V、p型FETの場合には0V〜−0.5Vのしきい値電圧を有するトランジスタを形成することができる。
【0012】
様々な導電性材料は、固有のビルトイン電気ポテンシャル(「仕事関数」と呼ばれる場合が多い)を備えている。この仕事関数は、外部印加電圧とともに、電子(または正孔)に対する導体の相対親和力を決めている。金属では、仕事関数は物質に固有なものである。一方、シリコンなどの半導体では、過剰な正孔または電子を供給する不純物を導入することにより、仕事関数を価電子帯と伝導帯の間の値に調整することができる。本発明の好適な実施形態の非対称型二重ゲートFETでは、2つのゲート電極を反対極性の不純物でドープしている。すなわち、一方のゲートをn型にドープし、他方のゲートをp型にドープしている。したがって、これら2つのゲート電極の仕事関数は異なるから、一方のゲート電極(強いゲート、n型FETのn型ゲート)が反転キャリアに対して大きな親和力を有するのに対して、他方のゲート電極(弱いゲート、n型FETのp型ゲート)は反転キャリアに対して小さな親和力しか有さない。この結果、半導体ボディの「強い」ゲートの近傍に反転チャネルが形成されるので、ゲート電極は両方とも反転ポテンシャルの形成に寄与するから、比較的低いしきい値電圧(たとえば0V〜0.5V)が実現する。
【0013】
次に、図1を参照する。図1には、本発明の好適な実施形態に従って二重ゲート・トランジスタを形成する方法100が示されている。方法100は、製造方法の信頼性と簡潔性を維持しながら、トランジスタのしきい値電圧を改善しうるように二重ゲート・トランジスタを形成する方法を示すものである。さらに、方法100によれば、様々なボディ幅、したがって様々なしきい値電圧を有する二重ゲート・トランジスタを容易に形成することができる。特に、方法100では、側壁スペーサを用いて、トランジスタのボディ幅(「フィン幅」とも呼ばれる)を画定している。方法100では、側壁スペーサを選択的に変更して、様々なトランジスタのしきい値電圧を選択的に変更するのを容易にしている。したがって、方法100によれば、製造工程が複雑になるのを最小限に抑えながら、異なるしきい値電圧を有する様々なトランジスタを形成することができる。
【0014】
方法100の第1ステップ101では、適切な半導体ウェーハを準備し、様々なエッチング停止層を堆積し、マンドレル層を堆積する。好適な実施形態では、使用するウェーハはSOI(silicon on insulator)ウェーハから成る。したがって、ウェーハはSOI層の直下に埋め込み酸化層を備えている。下で明らかになるように、SOI層は、二重ゲート・トランジスタのボディを形成するのに使用する。一般に、n型FETの場合、ドーピング密度が3×1018cm-3〜8×1018cm-3のp型のSOI層を用いて、トランジスタのしきい値電圧を適切な値に制御するのが望ましい。しかしながら、後述する別の実施形態では、ボディ全体で均一なドーピング密度を実現するのを容易にするために、SOI層のドーピングを斜めイオン打ち込みによって行なっている。
【0015】
しかしながら、非SOIウェーハを用いてもよい。非SOIウェーハを用いる場合であっても、特に注記しない限り、処理方法はSOIウェーハの場合と同じである。
【0016】
SOIウェーハを準備した場合、ウェーハ上に3層エッチング停止層を形成する。この3層エッチング停止層は、二酸化シリコン層、窒化シリコン層、および第2のシリコン酸化層から成るのが望ましい。これらのエッチング停止層は、適当なエッチング停止層が必要な場合、全製造工程で使用する。
【0017】
次いで、マンドレル層を形成する。マンドレル層は、酸化物または他の適切な材料で構成するのが望ましい。下で詳述するように、マンドレル層は、二重ゲート・トランジスタのボディを画定する側壁イメージ変換体の一部を構成している。したがって、マンドレル層は、トランジスタのボディを画定するのに用いる側壁スペーサを形成するのに用いる。好適な実施形態では、マンドレル層の厚さは10nm〜100nmである。しかし、この厚さは必要なボディ厚さに応じて変化しうる。
【0018】
次に、図2を参照する。図2には、エッチング停止層とマンドレル層を形成したあとのウェーハ部200が示されている。好適な実施形態のウェーハ部200は、SOIウェーハから成るので、SOI層202と埋め込み酸化層204を備えている。SOI層202上には、酸化層206、窒化層208、および酸化層210が形成されている。これらの層はエッチング停止層として機能する。酸化層210上には、マンドレル層212が形成されている。
【0019】
図1に戻る。次のステップ102では、マンドレル層をパターニングしたのち側壁スペーサを形成する。マンドレル層は、二重ゲートの一方を形成する領域を開口するようにパターニングする。側壁スペーサは、シリコン窒化膜を堆積したのち適切な方向性エッチングを行なって形成するのが望ましい。無論、側壁スペーサを形成するのに、他の材料と方法を用いてもよい。後述するように、側壁スペーサの厚さは、側壁イメージ変換を用いて二重ゲート・トランジスタのボディ領域を画定することになる。この厚さを選択的に調整することにより、様々なしきい値電圧を有するトランジスタが形成できるようになる。
【0020】
図3を参照する。図3には、マンドレル層212をパターニングして側壁スペーサ214を形成したあとのウェーハ部200が示されている。ここでも、側壁スペーサは、側壁イメージ変換を用いて、結果として得られるトランジスタのボディ厚さを画定するのに使われることになる。
【0021】
図1に戻る。次のステップ103では、選択した側壁スペーサの幅を選択的に調整する。側壁スペーサの幅は結果として得られるトランジスタのしきい値電圧に影響するボディ幅を画定しているから、ステップ103によって、選択したトランジスタのしきい値電圧を容易に調整することができる。側壁スペーサの幅は、適切な任意の方法で調整しうる。たとえば、適切な保護層を用いて側壁スペーサを覆ったのち、当該保護層を露出している選択した側壁スペーサに合わせてパターニングする。たとえば、適切なフォトレジスト層を堆積・パターニングして、選択した側壁スペーサだけを露出させることができる。そして、露出した側壁スペーサの幅を調整することができる。たとえば、等方性エッチングを短時間施すことにより、露出した側壁スペーサだけを狭め、非露出の側壁をそのままの状態に保つことができる。このステップの場合、酸化膜を大きく除去せずに露出した側壁スペーサ部を除去しうる等方性エッチングであれば、任意のものを用いることができる。
【0022】
次に図4を参照する。図4には、マンドレル層212の露出した端に形成された複数の側壁スペーサ214を示すウェーハ部200の拡大領域が示されている。側壁スペーサ214の各々を用いて、二重ゲート電界効果トランジスタ用のトランジスタ・ボディを画定することができる。方法100により、フォトレジスト215を堆積・パターニングして、選択した側壁スペーサ214を露出させる一方、残りの側壁スペーサ214はフォトレジスト215で覆われたままになっている。これにより、露出した側壁スペーサ214の幅を未露出の側壁スペーサ214の幅に対して調整することが可能になる。たとえば、等方性エッチングを施すことにより、露出した側壁スペーサ214の幅だけを狭めることができる。
【0023】
次に、図5を参照する。図5には、露出した側壁スペーサ214を適切なエッチングによって狭めたあとのウェーハ部200の拡大図が示されている。ここでも、下で明らかになるように、側壁スペーサの幅によってボディ幅、したがって結果として得られるトランジスタのしきい値電圧が最終的に決まる。それゆえ、側壁スペーサを狭めて形成したトランジスタのボディ幅は、側壁スペーサを狭めないで形成したトランジスタのボディ幅よりも狭くなる。ボディ幅の狭いトランジスタのしきい値電圧は、ボディ幅の狭くないトランジスタのしきい値電圧よりも高くなる。
【0024】
次に、トランジスタのボディを1つだけ示してステップ104〜ステップ114を説明するけれども、ボディ幅を狭めたトランジスタにも狭めなかったトランジスタにもこれら同一のステップ群を適用しうる、という点を理解すべきである。
【0025】
図1に戻る。残りのフォトレジストを除去したのち、次のステップ104では、側壁スペーサと残っているマンドレル材料とをマスクに用いてエッチング停止層をパターニングするとともにSOI層をパターニングして、SOI層の露出した側面にゲート酸化膜を形成する。これは、適切なRIE(reactive ion etch:反応性イオン・エッチング)を用いて行なうのが望ましい。ゲート酸化膜は、典型的には750〜800°Cの熱酸化によって形成するのが望ましい。また、このステップの間に、トランジスタのボディ中にイオン打ち込みを行なってもよい。これは、SOI層の露出した側壁中への斜めイオン打ち込みから成り、ゲート酸化膜の形成前に行なうのが望ましい。これは、トランジスタのボディへの適切なドーピングとして機能する。下で詳述するように、この斜めイオン打ち込みは、ドーパント濃度を均一にしてしきい値電圧のバラツキを補償するのに役立ちうるように行なう。
【0026】
次に、図6を参照する。図6には、SOI層202をパターニングし、SOI層202の側面にゲート酸化膜216を形成したあとのウェーハ部200が示されている。ここでも、ゲート酸化膜216を形成する前に、斜めボディ・イオン打ち込みを行なってもよい。
【0027】
図1に戻る。次のステップ106では、ゲート材料を堆積したのち平坦化する。上述したように、好適な実施形態では、二重ゲート・トランジスタは、n+ に形成したゲートとp+ に形成したゲートとを備えている。図示した実現方法では、n+ ゲートを先に形成している。次に、図7を参照する。図7には、n+ ポリシリコン218を堆積して平坦化したのちのウェーハ部200が示されている。下で明らかになるように、好適な実施形態の二重ゲート・トランジスタでは、n+ ポリシリコン218を用いて一方のゲートを形成している。
【0028】
次のステップ108では、残っているマンドレル層を選択的に除去する。これは、窒化膜側壁スペーサ、窒化膜エッチング停止層、およびゲート・ポリシリコンに対して選択的にマンドレル層にRIEを施すことにより行なうのが望ましい。次いで、ポリシリコン・ゲート材料上に中間酸化層を形成する。これは、ポリシリコン・ゲート上に熱酸化膜を成長させて行なうのが望ましい。次に、図8を参照する。図8には、マンドレル層212を除去し、酸化膜エッチング停止層210を除去し、ゲート・ポリシリコン218上に熱酸化層220を形成したあとのウェーハ部200が示されている。残存マンドレル層直下の窒化層208を酸化層220に対して選択的にエッチングしたのち、短時間のHFエッチングを施す。これにより、残存マンドレル層直下の残存酸化層206が除去される。
【0029】
次のステップ110では、露出したSOI層をエッチングする。これは、RIEを用いてSOI層をエッチングし、埋め込み酸化層上で停止させることにより行なうのが望ましい。これによりSOI層のパターニングが完了し、二重ゲート・トランジスタのボディ厚さが画定される。次いで、トランジスタ・ボディの露出した側面にゲート酸化膜を形成する。
【0030】
このステップの間においても、トランジスタのボディに別のイオン打ち込みを行なってもよい。ここでも、これは、ゲート酸化膜の形成前に行なう、SOI層の露出した側壁中への斜めイオン打ち込みから成るのが望ましい。
【0031】
図9を参照する。図9には、SOI層202をパターニングしたあとのウェーハ部200が示されている。SOI層202の残存部は、二重ゲート・トランジスタのボディ(この例の場合にはシリコン・フィン)を構成している。露出したSOI層202上には、熱酸化または誘電体膜堆積によってゲート酸化膜221が形成されている。
【0032】
非SOIウェーハを使用する場合、所望の深さ(典型的には元のシリコン表面下100〜200nm)に見合った時間だけシリコン・フィンをエッチングしたのち、酸化膜の堆積/エッチング・プロセスを用いて、エッチング済みシリコンの底部水平表面上に、エッチングしたフィンの高さの約4分の1の厚さのシリコン酸化膜を全面に堆積させる。この酸化膜は、n型FETの場合にはボロンで、p型FETの場合にはリンでドープする。ドーパントの一部は、ドープした酸化膜のごく近傍のフィン部中に外方拡散する。これは、ソースからドレインに至る、フィンのゲートで制御できない表面で生じる漏れ電流を抑制するように機能する。
【0033】
SOIの実施形態に戻る。留意点を挙げると、SOI層のパターニングによって、二重ゲート・トランジスタのボディが画定される。一般に、(TSIと表わされる)ボディ厚さはゲート長に比して薄くするのがの望ましい。典型的には、しきい値電圧を良好に制御するには、ボディ厚さをゲート長の約4分の1未満にすべきである。また、量子閉じ込め問題に起因して移動度が小さくなるのを避けるために、一般に、ボディ厚さを約2.5nmより厚くするのが望ましい。一般にゲート長はミニマム・フィーチャ・サイズに合わせているので、側壁イメージ変換を使うことにより、ボディをサブミニマム・フィーチャ・サイズにすることができる。したがって、上掲するとともに上述したように、側壁スペーサの幅によってボディ厚さを決めることができる。
【0034】
次のステップ112では、第2のゲート用のゲート材料を堆積して平坦化する。上述したように、好適な実施形態では、互いに反対にドープしたゲート材料を用いて2つのゲートを形成している。したがって、好適な実施形態では、p+ 型ドープト・ポリシリコンを用いて2つのゲートのうちの第2のゲートを形成している。p+ 型ポリシリコン・ゲート材料の平坦化は、n+ 型ポリシリコン・ゲート上に予め熱成長させた酸化膜上で停止させる。p+ 型ポリシリコンを平坦化したのち、熱成長酸化膜から成る第2の層を形成する。次に、図10を参照する。図10には、p+ 型ドープト・ポリシリコン226を堆積・平坦化して第2のゲートを形成したあとのウェーハ部200が示されている。次いで、堆積したポリシリコン226上に熱成長酸化膜228を形成する。
【0035】
次のステップ114では、側壁スペーサを除去し、側壁スペーサ開口に真性ポリシリコンを充填して、製造工程ののちほどにおいてこの領域にシリサイドを最大限に形成できるようにする。任意実行事項として、分離かつ独立したゲート・コンタクトが望ましい場合には、側壁スペーサをその場に残してもよい。次いで、真性ポリシリコンをCMPを用いて平坦化する。この平坦化は、熱成長酸化膜から成る2つの層上で停止させる。この平坦化は、あまり大きな選択性を必要としない。というのは、除去すべき過剰真性ポリシリコンの量はごくわずかだからである。次いで、2つのゲート上に露出した熱成長酸化膜を同様の平坦化プロセスを用いて除去する。ここでも、この処理工程は、あまり大きな選択性を必要としない。次に、図11を参照する。図11には、側壁スペーサ214の残存部を除去し、できた空間に真性ポリシリコン230を充填したあとのウェーハ部200が示されている。次いで、図12には、過剰ポリシリコン230と熱成長酸化膜220、220をCMPプロセスによって除去したあとのウェーハ部200が示されている。これにより側壁スペーサがもともと形成されていた場所に残される真性ポリシリコン230の量は、ごくわずかでしかない。このわずかな量の真性ポリシリコン230を用いることにより、プロセス・フローののちほどにおいて、p+ 型ポリシリコン・ゲートとn+ 型ポリシリコン・ゲートとを接続するシリサイド・ブリッジを形成することができる。
【0036】
製造工程のこの時点において、トランジスタのボディの形成が完了するとともに、ボディの両側におけるゲートの形成が完了する。次に、図13を参照する。図13には、ウェーハ部200の拡大領域が再度示されている。図13には、製造工程のこの段階における複数のトランジスタが示されている。ここでも、狭い側壁スペーサを用いて画定したトランジスタは、ボディの幅が狭いので、高いしきい値電圧を有するようになる。特に、トランジスタ・ボディ231の幅は、トランジスタ・ボディ233の幅よりも狭い。したがって、トランジスタ・ボディ231を用いて形成するトランジスタのしきい値電圧は、トランジスタ・ボディ233を用いて形成するトランジスタのしきい値電圧よりも高くなる。
【0037】
方法100に戻る。次のステップ116では、ゲートをパターニングする。これには、トランジスタのソース領域とドレイン領域に隣接して存在するゲート材料部の選択的な除去が含まれる。これは、標準的なリソグラフィ技法、すなわちハードマスクを堆積してパターニングしたのち、このパターニングしたハードマスクをゲート材料のエッチングの間におけるエッチング阻止体として用いる技法を用いて行なうのが望ましい。このハードマスクには、ボディ上に既形成のエッチング停止層と同じ窒化膜から成るハードマスクを用いるのが望ましい。
【0038】
次に、図14を参照する。図14には、ウェーハ部200に形成された単一のトランジスタが透視投影図として示されている。n+ 型ゲート・ポリシリコン218とp+ 型ゲート・ポリシリコン226から成る2つのゲートをまたいで伸びる窒化膜から成るハードマスク232が形成されている。次に、図15を参照する。図15には、ハードマスクに対して選択性のあるエッチングを用いて、n+ 型ゲート・ポリシリコン218とp+ 型ゲート・ポリシリコン226をパターニングしたあとのウェーハ部200が示されている。このパターニングは、埋め込み酸化層204に至るまでゲート・ポリシリコンをすべて除去するのが望ましい。ゲートのパターニングは、窒化膜に対して選択性のある方向性エッチングを用いて行なうのが望ましい。したがって、このパターニングによって、既形成の窒化膜エッチング停止層208で保護されているSOIボディ202の部分は除去されない。また、このパターニングによって、二重ゲート・トランジスタの2つのゲートを画定しているn+ 型ポリシリコン218とp+ 型ポリシリコン226の部分は残置される。
【0039】
好適な実施形態では、緩衝HF洗浄を行なったのち、熱再酸化を行なって露出したシリコン表面全体に酸化膜を成長させる。これにより、ゲートとボディとの接触部に良好な界面を形成するように、5nm厚の薄膜を形成するのが望ましい。
【0040】
方法100の次のステップ118では、トランジスタ中にソース、ドレイン、およびハローの各イオン打ち込み領域を形成する。これらのイオン打ち込みは、少なくとも4方向から行なって、フィンの両側に均一なイオン打ち込み領域が形成できるようにするのが望ましい。特に、ソース打ち込み領域とドレイン打ち込み領域の双方は、フィンのソース部とドレイン部の両側から行なう。次いで、別の打ち込みエネルギーと角度で別のイオン打ち込みを行なって、短チャネル効果を改善するハロー打ち込み領域を形成する。ハロー打ち込み領域を形成するドーパントが、ソース/ドレインを形成するドーパントよりもゲート電極の下により深く入り込むように、ハロー打ち込みは、ソース/ドレインの場合よりも大きなエネルギーで、かつフィンに対してより鋭利な角度で行なう。n型FETの場合、通常、ソース/ドレイン打ち込みは、ヒ素を使い、1〜15keVのエネルギー、5×1014〜2×1015cm-3のドーズ量、フィンに対する角度45°〜80°で行ない、ハロー打ち込みは、ボロンを使い、5〜15keVのエネルギー、1×1013〜8×1013cm-3のドーズ量、ハローがフィンに対して20°〜45°に位置するように行なう。同様に、p型FETの場合、通常、ソース/ドレイン打ち込みは、ボロンを使い、0.5〜3keVのエネルギー、5×1014〜2×1015cm-3のドーズ量、フィンに対する角度45°〜80°で行ない、ハロー打ち込みは、ヒ素を使い、20〜45keVのエネルギー、1×1013〜8×1013cm-3のドーズ量、ハローがフィンに対して20°〜45°に位置するように行なう。さらに、上述したイオン打ち込みは、すべて、ウェーハの水平面から適切な角度、すなわちウェーハの水平面から約70°〜83°の間にある必要がある。
【0041】
次のステップ120では、ゲート電極とBOX上のハードマスクとを足し合わせた高さよりも厚い誘電体を堆積させて、ゲート電極と露出したフィンの全体を覆い、平坦化し、そして、ハードマスクとゲート電極の一部が露出するがソース/ドレインは決して露出しない状態まで(通常10〜50nm)くぼませる。下で明らかになるように、このステップは、トランジスタのゲートの端への側壁スペーサ形成プロセスの一部である。ここで使用する誘電体は、既形成の窒化膜から成るハードマスクに対して選択的にエッチングしうる酸化膜から成るのが望ましい。次に、図16を参照する。図16には、トランジスタのゲート電極を取り巻いて誘電体240を堆積させ、平坦化し、くぼませたのちのウェーハ部200が示されている。この誘電体は、既形成の窒化膜から成るハードマスク232に対して選択性を有する方向性エッチングを用いてくぼませるのが望ましい。
【0042】
次のステップ122では、ゲートの端に側壁スペーサを形成したのち、既堆積の誘電体をエッチングする。これは、下地形状に忠実に誘電体材料を堆積したのち、方向性エッチングを行なうことにより行なうのが望ましい。この側壁スペーサは、窒化膜で形成するのが望ましい。この窒化膜の側壁スペーサは、窒化膜のハードマスクとともに、方向性エッチングのマスクとして使うことができる。この結果、ゲート近傍を除く酸化膜を除去することができる。
【0043】
次に、図17を参照する。図17には、窒化膜から成る側壁スペーサ242を形成し、誘電体240をエッチング除去し、トランジスタのゲートに隣接する側壁部244だけをのこしたウェーハ部200が示されている。ハードマスク232、側壁スペーサ242、および側壁部244が組合わさって、次に形成するソース・コンタクトとドレイン・コンタクトからゲートを効果的に分離している。
【0044】
次のステップ124では、ソース・コンタクトとドレイン・コンタクトを形成する。これは、除去済みの領域にコンタクト材料を充填することにより行なうのが望ましい。コンタクト材料としては、n+ 型シリコンおよび/またはp+ 型シリコンと低抵抗性接触を形成するシリコンやタングステンなどの導電性材料を選択的に堆積したものを用いることができる。(「Aおよび/またはB」は「AおよびB、A、またはB」を表わす。)シリコンを用いる場合には、n型FETに対してはn+ 型にp型FETに対してはp+ 型にそれぞれ縮退的にドープする。コンタクト材料は、窒化膜から成るハードマスクの高さより高くなるまで堆積したのち、RIEおよび/またはCMP(chemical-mechanical polish)によって窒化膜から成るハードマスクが完全に露出するまで平坦化する。次いで、図18に示すように、マスクを用いてウェーハをパターニングする。このマスクは、ソース・コンタクト材料とドレイン・コンタクト材料の不所望の部分をエッチングして、ソースとドレインを分離するとともに、複数のFETを互いに分離するのに使用する。最後に、RIEまたは熱リン酸など他のエッチング技法によってハードマスクを選択的に除去する。その後、コバルトやチタンなどの金属を堆積したのち、約700°Cでシンターしてゲート上に金属シリサイドを形成する。シリコン・コンタクトの場合には、ソース・コンタクトとドレイン・コンタクトの上にも金属シリサイドを形成する。
【0045】
以上のように、方法100によれば、ボディの厚さをゲート長よりもずっと薄くしたまま、デバイスのゲート長を最小フィーチャ・サイズにしうる二重ゲート・トランジスタの形成方法が得られる。さらに、方法100によれば、二重ゲートの一方をn型に縮退的にドープし他方をp型に縮退的にドープした非対象ドープの二重ゲート・トランジスタが得られる。一方のゲートをn型にドープし、他方のゲートをp型にドープすると、結果として得られるデバイスのしきい値電圧が改善される。最後に、方法100によれば、様々なしきい値電圧の二重ゲート・トランジスタを1回の製造工程で形成することができる。また、本発明の実施形態では、様々なボディ厚さを有するトランジスタを形成している。様々なボディ厚さの二重ゲート・トランジスタを形成することにより、好適な実施形態によれば、製造工程をさほど複雑にすることなく、様々なしきい値電圧を有する二重ゲート・トランジスタを形成することが可能になる。
【0046】
次に、図19を参照する。図19には、別の好適な実施形態の方法300が示されている。この方法300には、トランジスタのボディを画定するのに用いる側壁スペーサの浸食が最小限で済むという利点がある。というのは、方法300では、上記側壁スペーサはRIE(reactive ion etching: 反応性イオン・エッチング)に1度しかさらされないからである。したがって、この実施形態によって得られるシリコンのエッチング断面形状は、きわめて良好に制御されたものになる。ステップ301では、ウェーハを準備し、上述した方法100のステップ101と同様に、エッチング停止層とマンドレル層を形成する。次いで、ステップ302で、マンドレル層をパターニングし、エッチング停止層を直接にエッチングする。これは、エッチング停止層をパターニングする前にマンドレル層には側壁スペーサが形成されていない、という点で方法100と異なる。次に、図20を参照する。図20には、エッチング停止層とマンドレル層を形成し、マンドレル層とエッチング停止層を直接にエッチングしたあとのウェーハ部200が示されている。
【0047】
次のステップ304では、残存マンドレル層をマスクとしてSOI層をパターニングし、SOI層の露出側面にゲート酸化膜を形成する。これは、RIEを施したのち、典型的には750°C〜800°Cでの熱酸化、あるいは、酸化アルミニウムなどの高誘電率(high-k)材料のCVD堆積により行なう。また、このステップの間に、トランジスタのボディ中にイオン打ち込みを行なってもよい。これは、ゲート酸化膜形成前におけるSOI層の露出側面中への斜めイオン打ち込みから成るのが望ましい。このイオン打ち込みは、トランジスタのボディを適切にドープするように機能する。下で詳述するように、このイオン打ち込みは、均一なドーパント濃度分布を実現るので、ボディ厚さのバラツギに起因して生じるしきい値電圧のバラツキを補償するのに役立てることができる。
【0048】
次に、図21を参照する。図21には、SOI層202をパターニングし、SOI層202の側面にゲート酸化膜216を形成したあとのウェーハ部200が示されている。ここでも、ゲート酸化膜を形成する前に、斜めボディ・イオン打ち込みを行なってもよい。
【0049】
図19に戻る。次のステップ306では、ゲート材料を堆積させて平坦化する。上述したように、好適な実施形態では、二重ゲート・トランジスタは、n+ 型に形成した一方のゲートと、p+ 型に形成した他方のゲートとを備えている。図示する実施形態では、n+ 型ゲートを先に形成する。次に、図22を参照する。図22には、n+ 型ポリシリコン218を堆積させて平坦化したのちのウェーハ部200が示されている。下で明らかになるように、二重ゲート・トランジスタの好適な実施形態では、このn+ 型ポリシリコン218を使用して、2つのゲートのうちの一方を形成する。
【0050】
次のステップ308では、残存しているマンドレル材料を除去し、残存している第1ゲート材料の端に沿って側壁スペーサを形成する。下で明らかになるように、この側壁スペーサは、トランジスタのボディの幅を決めるものである。次に、図23を参照する。図23には、マンドレル層212を除去し、第1ゲート材料の側壁に側壁スペーサ302を形成したのちのウェーハ部202が示されている。
【0051】
図19に戻る。次のステップ309では、選択した側壁スペーサの幅を選択的に調整する。上述したように、結果として得られるトランジスタのしきい値電圧は、トランジスタ・ボディの幅とともに変動する。好適な実施形態では、選択した側壁スペーサの幅を変化させて、1回の製造工程で異なるボディ幅、したがって異なるしきい値電圧を有する様々なトランジスタが得られるようにしている。方法100の場合と同様に、側壁スペーサの幅は、任意の適切な方法で調整しうる。たとえば、適当な保護層で側壁を覆ったのち、保護層をパターニングして選択した側壁を露出させる。たとえば、適当なフォトレジストを堆積させたのち、パターニングして選択した側壁スペーサだけを露出させる。そして、露出した側壁スペーサの幅を調整する。たとえば、等方性エッチングを短時間施して、露出した側壁スペーサだけを狭め、未露出の側壁スペーサはそのままの状態にする。このステップ用には、酸化膜をあまり除去せずに、露出した側壁スペーサの一部を除去する等方性エッチングであれば任意のものを適用することができる。
【0052】
次に、図24を参照する。図24には、ゲート材料218の露出した端に複数の側壁スペーサ214を形成したあとのウェーハ部200の拡大領域が示されている。各側壁スペーサ214は、二重ゲート電界効果トランジスタ用のトランジスタ・ボディを画定するのに用いることになる。方法300よると、フォトレジスト215の層を堆積させたのちパターニングして選択した側壁スペーサ214を露出させ、他の側壁スペーサはフォトレジスト215で覆ったままにしておく。これにより、露出した側壁スペーサの幅を、未露出の側壁スペーサ214の幅と比べて調整することができる。たとえば、等方性エッチングを行なって、露出した側壁スペーサ214だけを選択的に狭めることができる。
【0053】
次に、図25を参照する。図25には、露出した側壁スペーサ214を適切なエッチングを用いて狭めたあとのウェーハ部200の拡大領域が示されている。ここでも、下で明らかになるように、側壁スペーサの幅は、結果として得られるトランジスタのボディ幅、したがってしきい値電圧を最終的に決める。したがって、狭めた側壁スペーサを用いて形成したトランジスタのボディは、狭めていない側壁スペーサを用いて形成したトランジスタよりも狭い。ボディを狭めたトランジスタのしきい値電圧は、ボディを狭めてないトランジスタよりも高くなる。
【0054】
方法300に戻る。以下、1つのトランジスタ・ボディのみを用いて残りのステップ310〜326を示すとともに説明するが、ここでも、ボディ幅を狭めたトランジスタとボディ幅を狭めなかったトランジスタとの双方に同じステップ群を適用しうるという点を理解すべきである。次のステップ310では、ゲート材料上に中間酸化膜を形成したのち、SOI層をパターニングする。
【0055】
次に、図26を参照する。図26には、ゲート・ポリシリコン218上に熱酸化層220を形成したあとのウェーハ部200が示されている。残存マンドレル層直下の窒化層208を酸化膜220に対して選択的にエッチングする。その後、残存マンドレル層直下の残存酸化層206を短時間のHFエッチングによって除去する。
【0056】
SOI層は、SOI層をエッチングしうるRIEを用いてパターニングし、埋め込み酸化層上で停止するのが望ましい。これにより、SOI層のパターニングが完了し、二重ゲート・トランジスタのボディの厚さが画定される。次いで、トランジスタ・ボディの露出した側面にゲート酸化膜を形成する。ここでも、このステップの間に、トランジスタ・ボディ中にイオン打ち込みを行なってもよい。これは、ここでも、ゲート酸化膜形成前におけるSOI層の露出した側壁中への斜めイオン打ち込みから成るのが望ましい。
【0057】
次に、図27を参照する。図27には、SOI層202をパターニングしたあとのウェーハ部200が示されている。SOI層202の残存部分は、二重ゲート・トランジスタのボディを構成している。ボディの幅は、それを画定するのに使用した側壁スペーサ214の幅によって決まる。したがって、側壁スペーサの幅を選択的に変化させることにより、様々なボディ幅を有するトランジスタを形成することができる。次いで、熱酸化または誘電体膜堆積によって、露出したSOI層202上にゲート酸化膜221を形成する。
【0058】
次のステップ312では、第2のゲート用のゲート材料を堆積して平坦化する。上述したように、好適な実施形態では、互いに反対にドープした2つのゲート材料を用いて2つのゲートを形成する。したがって、好適な実施形態では、p+ 型にドープしたポリシリコンを用いて、2つのゲートのうちの第2のゲートを形成する。p+ 型ポリシリコンの平坦化は、n+ 型ポリシリコン・ゲート上に既形成の熱成長酸化膜上で停止させる。p+ 型ポリシリコンを平坦化したのち、熱成長酸化膜から成る第2の層を形成する。次に、図28を参照する。図28には、p+ 型ポリシリコンを堆積・平坦化して第2のゲートを形成したあとのウェーハ部200が示されている。次いで、堆積したポリシリコン226上に熱成長酸化膜228を形成する。
【0059】
次のステップ314では、側壁スペーサを除去し、側壁スペーサ開口に真性ポリシリコンを充填して、製造工程ののちほどにおいてこの領域にシリサイドを最大限に形成できるようにする。任意実行事項として、分離・独立したゲート・コンタクトが望ましい場合には、側壁スペーサをそのまま残しておいてもよい。次いで、CMPプロセスを用いて真性ポリシリコンを平坦化する。この平坦化は、熱成長酸化膜から成る2つの層の上で停止させる。除去すべき真性ポリシリコンの量はごくわずかであるから、この平坦化プロセスは高度の選択性を必要としない。次いで、2つのゲート上に露出した熱成長酸化膜を同様の平坦化プロセスを用いて除去する。ここでも、この処理工程では、高度の選択性を必要としない。次に、図29を参照する。図29には、側壁スペーサ302の残存部分を除去したのち、空所に真性ポリシリコン230を充填したあとのウェーハ部200が示されている。そして、図30には、過剰なポリシリコン230と熱成長酸化膜220、228をCMPプロセスによって除去したあとのウェーハ200が示されている。これにより、元々側壁スペーサが形成されていた場所には、真性ポリシリコン230がわずかな部分だけ残されることになる。プロセス・フローのあとの部分において、真性ポリシリコン230のこの部分を用いてp+ 型ポリシリコン・ゲートとn+ 型ポリシリコン・ゲートとを接続するシリサイド・ブリッジを形成することが可能になる。
【0060】
製造工程のこの時点で、トランジスタのボディはすでに形成されており、ボディの両側にはゲートが形成済みである。次に 図31を参照する。図31には、この時点におけるウェーハ200の拡大図が示されている。図31には、製造工程のこの時点における複数のトランジスタが示されている。ここでも、狭めた側壁スペーサを用いて画定したトランジスタは、狭いボディを有するので、高いしきい値電圧を有することになる。特に、トランジスタ・ボディ231は、トランジスタ・ボディ233よりも狭い。したがって、トランジスタ・ボディ231を用いて形成するトランジスタは、トランジスタ・ボディ233を用いて形成するトランジスタよりも、しきい値電圧が高くなる。
【0061】
方法300に戻る。残るステップ316〜326は、方法100について上述したステップ116〜126と同一である。方法300は、方法100と同様に、ボディの厚さをゲート長よりもずっと薄くするのを可能にしながら、デバイスのゲート長を最小フィーチャ・サイズに保つのを可能にする、二重ゲート・トランジスタの形成工程を備えている。さらに、方法300によれば、二重ゲートの一方がn型に縮退的にドープされており、他方がp型に縮退的にドープされている、ゲート・ドーピングが非対称な二重ゲート・トランジスタが得られる。一方のゲートをn型にドープし、他方のゲートをp型にドープすると、結果として得られるデバイスのしきい値電圧が改善する。最後に、方法300によれば、1回の製造工程で、様々なしきい値電圧を有する二重ゲート・トランジスタを形成することができる。方法300には、さらなる利点がある。すなわち、方法300では、側壁スペーサをRIEにたった1回しかさらしていないから、トランジスタ・ボディを画定するのに使用する側壁スペーサの浸食を最小限に抑えることができる。したがって、この実施形態によるシリコンのエッチング断面形状は、きわめて良好に制御されたものになっている。
【0062】
以上のように、本発明は、改善されたデバイス性能と密度を達成する、二重ゲート・トランジスタおよびその形成方法を提供するものである。本発明の好適な実施形態では、ゲートを非対称にドープした二重ゲート・トランジスタが得られる。この場合、二重ゲートの一方はn型に縮退的にドープされ、他方はp型に縮退的にドープされている。一方のゲートをn型にドープし、他方のゲートをp型にドープすると、結果として得られるデバイスのしきい値電圧が改善される。特に、2つのゲートを非対称にドープすると、ボディへの適切なドーピングと相まって、結果として得られるトランジスタのしきい値電圧は、低電圧CMOS動作が可能な範囲の値になる。
【0063】
また、本発明は、異なるしきい値電圧を有する様々なトランジスタの形成を容易にする、二重ゲートトランジスタおよびその形成方法を提供するものである。本発明の実施形態では、様々なボディ幅を有するトランジスタを形成している。様々なボディ幅を有する二重ゲート・トランジスタを形成することにより、好適な実施形態によれば、製造工程をあまり複雑にすることなく、様々なしきい値電圧を有する二重ゲート・トランジスタを形成することができる。
【0064】
フィン型二重ゲート電界効果トランジスタを用いた典型的な実施形態について本発明を特に示しかつ説明したけれども、当業者が認識しうるように、好適な実施形態は他の型の二重ゲート・トランジスタに適用することができるし、本発明の本旨と範囲のうちで実現方法の詳細を変更することができる。たとえば、当業者が容易に理解しうるように、本発明は、様々な分離技術(たとえばLOCOSやROX〔recessed oxide〕など)、様々なウェルと基板の技術、様々なドーパント型、様々なエネルギー、および、様々なドーパント種、に適用することができる。また、当業者が容易に理解しうるように、本発明の本旨は、他の半導体技術(たとえばBiCMOS、バイポーラ、SOI〔silicon on insulator〕、SiGe〔シリコン・ゲルマニウム〕など)に適用することができる。
【0065】
まとめとして以下の事項を開示する。
(1)様々なしきい値電圧を有するトランジスタを形成する方法であって、
(a)半導体基板を準備するステップと、
(b)前記半導体基板上に幅を有する形体を複数個形成するステップと、
(c)少なくとも1つの形体の幅を選択的に調整するステップと、
(d)前記複数の形体を用いて前記半導体基板をパターニングして複数のトランジスタ・ボディを形成し、前記複数のトランジスタ・ボディの各々の幅が前記複数の形体のうちの対応する1つのものの幅によって少なくとも部分的に決められるようにするステップと、
(e)前記複数のトランジスタ・ボディの各々の第1のボディ端に隣接して、第1の仕事関数の第1のゲート構造体を形成するステップと、
(f)前記複数のトランジスタ・ボディの各々の第2のボディ端に隣接して、第2の仕事関数の第2のゲート構造体を形成するステップと
を備えた方法。
(2)第1の仕事関数の前記第1のゲート構造体がp型材料から成り、
第2の仕事関数の前記第2のゲート構造体がn型材料から成る、
上記(1)に記載の方法。
(3)さらに、
(g)斜めイオン打ち込みを用いて、ソース領域、ドレイン領域、およびハロー領域を形成するステップ
を備えた、
上記(1)に記載の方法。
(4)前記半導体基板がSOI層から成り、
前記複数の形体を用いて前記半導体基板をパターニングして複数のトランジスタ・ボディを形成するステップが、前記SOI層のパターニングを備えている、上記(1)に記載の方法。
(5)前記基板が水平面を有し、
前記水平面に対して約70°〜83°の角度でソース領域とドレイン領域とが形成されている、
上記(3)に記載の方法。
(6)複数の形体を形成する前記ステップと、前記複数の形体を用いて複数のトランジスタ・ボディを形成する前記ステップとが、
前記半導体基板上にマンドレル層を形成するステップと、
前記マンドレル層をパターニングして露出側面を形成するステップと、
前記露出側面に隣接して側壁スペーサを形成するステップと
を備え、
前記側壁スペーサの第1の端が第1のボディ端を画定し、前記側壁スペーサの第2の端が第2のボディ端を画定する、
上記(1)に記載の方法。
(7)複数の形体を形成する前記ステップと、前記複数の形体を用いて複数のトランジスタ・ボディを形成する前記ステップとが、
前記半導体基板上にマンドレル層を形成するステップと、
前記マンドレル層をパターニングするステップと、
前記パターニングしたマンドレル層を用いて第1のボディ端を画定するステップと、
ゲート材料層に隣接して側壁スペーサを形成するステップと、
前記側壁スペーサを用いて第2のボディ端を画定するステップと
を備えた
上記(1)に記載の方法。
(8)様々なしきい値電圧を有する複数の電界効果トランジスタを形成する方法であって、
(a)埋め込み誘電体層上にシリコン層を備えたSOI基板を準備するステップと、
(b)前記シリコン層上にマンドレル層を形成したのち、前記マンドレル層をパターニングして複数のマンドレル層端を画定するステップと、
(c)前記シリコン層を前記複数のマンドレル層端でパターニングして、複数の第1のボディ端を形成するステップと、
(d)前記複数の第1のボディ端上に複数の第1のゲート誘電体を形成するステップと、
(e)前記複数の第1のゲート誘電体上において、前記第1のボディ端に隣接して、第1の仕事関数の第1のゲート構造体を複数個形成するステップと、
(f)前記マンドレル層をパターニングして、前記複数の第1のゲート構造体の第1端を露出させるステップと、
(g)前記複数の第1のゲート構造体の前記第1端に隣接して、側壁スペーサ幅を有する複数の側壁スペーサを形成するステップと、
(h)選択した側壁スペーサの幅を調整するステップと、
(i)前記シリコン層を複数の側壁スペーサでパターニングして、複数の第2のボディ端を形成するステップであって、前記パターニングしたシリコン層の前記第1のボディ端と前記第2のボディ端が、複数のトランジスタ・ボディを画定しているステップと、
(j)前記複数の第2のボディ端上に複数の第2のゲート誘電体を形成するステップと、
(k)前記複数の第2のゲート誘電体上において、前記第2のボディ端に隣接して、第2の仕事関数の第2のゲート構造体を複数個形成するステップと
を備えた方法。
(9)第1の仕事関数の前記複数の第1のゲート構造体がp型ポリシリコン材料から成り、
第2の仕事関数の前記複数の第2のゲート構造体がn型ポリシリコン材料から成る、
上記(8)に記載の方法。
(10)第1の仕事関数の前記複数の第1のゲート構造体がn型ポリシリコン材料から成り、
第2の仕事関数の前記複数の第2のゲート構造体がp型ポリシリコン材料から成る、
上記(8)に記載の方法。
(11)さらに、
前記トランジスタ・ボディ中に斜めイオン打ち込みを行なって、前記トランジスタ・ボディ中に複数のソース/ドレイン打ち込み領域を形成するステップ
を備えた
上記(8)に記載の方法。
(12)
(a)基板上に形成された複数のトランジスタ・ボディであって、前記トランジスタ・ボディは各々トランジスタ・ボディ幅を画定する第1の垂直端と第2の垂直端とを有し、前記複数のトランジスタ・ボディのうちの選択した部分が既調整の幅を有している、複数のトランジスタ・ボディと、
(b)複数の第1のゲート構造体であって、前記複数の第1のゲート構造体の各々が前記複数のトランジスタ・ボディの第1の垂直端の1つに隣接しており、前記複数の第1のゲート構造体は第1の仕事関数を有している、複数の第1のゲート構造体と、
(c)複数の第2のゲート構造体であって、前記複数の第2のゲート構造体の各々が前記複数のトランジスタ・ボディの第2の垂直端の1つに隣接しており、前記複数の第2のゲート構造体は第2の仕事関数を有している、複数の第2のゲート構造体と
を備えたトランジスタ群。
(13)前記複数の第1のゲート構造体がp型材料から成り、
前記複数の第2のゲート構造体がn型材料から成る、
上記(12)に記載のトランジスタ群。
(14)前記複数のトランジスタ・ボディが半導体フィンから成る、
上記(12)に記載のトランジスタ群。
(15)前記複数のトランジスタ・ボディがSOI層の一部から成る、
上記(12)に記載のトランジスタ群。
(16)前記複数の第1のゲート構造体および前記複数の第2のゲート構造体がポリシリコンから成る、
上記(12)に記載のトランジスタ群。
(17)さらに、
前記トランジスタ・ボディの第1の垂直端と前記第1のゲート構造体との間に設けられた複数の第1のゲート誘電体と、
前記トランジスタ・ボディの第2の垂直端と前記第2のゲート構造体との間に設けられた複数の第2のゲート誘電体と
を備えた、
上記(12)に記載のトランジスタ群。
(18)前記複数のトランジスタ・フィンがソース・イオン打ち込み領域とドレイン・イオン打ち込み領域とを備えている、
上記(12)に記載のトランジスタ群。
(19)前記複数の第1のゲート構造体および前記複数の第2のゲート構造体の各々が長さを有しており、
前記複数のトランジスタ・ボディの各々の前記幅が前記長さの約4分の1未満である、
上記(12)に記載のトランジスタ群。
(20)前記複数のトランジスタ・ボディの前記幅が約2.5nmよりも広い、
上記(12)に記載のトランジスタ群。
1 (21)
第1のボディ幅と、
第1のゲートと、
第2のゲートと
を備えた第1のトランジスタと、
第2のボディ幅と、
第1のゲートと、
第2のゲートと
を備えた第2のトランジスタと
を備え、
前記第1のゲートは各々第1の仕事関数を有し、前記第2のゲートは各々第2の仕事関数を有する
二重ゲート・トランジスタ群。
【図面の簡単な説明】
【図1】 第1の製造方法を示すフローチャートを示す図てある。
【図2】 製造中の典型的な二重ゲート・トランジスタの側断面図である。
【図3】 製造中の典型的な二重ゲート・トランジスタの側断面図である。
【図4】 製造中の典型的な二重ゲート・トランジスタの側断面図である。
【図5】 製造中の典型的な二重ゲート・トランジスタの側断面図である。
【図6】 製造中の典型的な二重ゲート・トランジスタの側断面図である。
【図7】 製造中の典型的な二重ゲート・トランジスタの側断面図である。
【図8】 製造中の典型的な二重ゲート・トランジスタの側断面図である。
【図9】 製造中の典型的な二重ゲート・トランジスタの側断面図である。
【図10】 製造中の典型的な二重ゲート・トランジスタの側断面図である。
【図11】 製造中の典型的な二重ゲート・トランジスタの側断面図である。
【図12】 製造中の典型的な二重ゲート・トランジスタの側断面図である。
【図13】 製造中の典型的な二重ゲート・トランジスタの側断面図である。
【図14】 製造中の典型的な二重ゲート・トランジスタの透視図である。
【図15】 製造中の典型的な二重ゲート・トランジスタの透視図である。
【図16】 製造中の典型的な二重ゲート・トランジスタの透視図である。
【図17】 製造中の典型的な二重ゲート・トランジスタの透視図である。
【図18】 製造中の典型的な二重ゲート・トランジスタの透視図である。
【図19】 第2の製造方法を示すフローチャートを示す図である。
【図20】 製造中の第2の典型的な二重ゲート・トランジスタの側断面図である。
【図21】 製造中の第2の典型的な二重ゲート・トランジスタの側断面図である。
【図22】 製造中の第2の典型的な二重ゲート・トランジスタの側断面図である。
【図23】 製造中の第2の典型的な二重ゲート・トランジスタの側断面図である。
【図24】 製造中の第2の典型的な二重ゲート・トランジスタの側断面図である。
【図25】 製造中の第2の典型的な二重ゲート・トランジスタの側断面図である。
【図26】 製造中の第2の典型的な二重ゲート・トランジスタの側断面図である。
【図27】 製造中の第2の典型的な二重ゲート・トランジスタの側断面図である。
【図28】 製造中の第2の典型的な二重ゲート・トランジスタの側断面図である。
【図29】 製造中の第2の典型的な二重ゲート・トランジスタの側断面図である。
【図30】 製造中の第2の典型的な二重ゲート・トランジスタの側断面図である。
【図31】 製造中の第2の典型的な二重ゲート・トランジスタの側断面図である。
【符号の説明】
100 方法
200 ウェーハ部
202 SOI層
204 埋め込み酸化層
206 酸化層
208 窒化層
210 酸化層
212 マンドレル層
214 側壁スペーサ
215 フォトレジスト
216 ゲート酸化膜
218 n+ ポリシリコン
220 熱酸化層
226 p+ ポリシリコン
228 熱成長酸化膜
230 真性ポリシリコン
231 トランジスタ・ボディ
232 ハードマスク
233 トランジスタ・ボディ
240 誘電体
242 側壁スペーサ
300 方法
302 側壁スペーサ

Claims (18)

  1. 様々なしきい値電圧を有するトランジスタを形成する方法であって、
    (a)半導体基板を準備するステップと、
    (b)前記半導体基板上に幅を有する形体を複数個形成するステップと、
    (c)前記複数個のうちの一部の前記形体の幅を選択的に調整するステップと、
    (d)前記調整するステップ(c)後の複数個の形体を用いて前記半導体基板をパターニングして複数個のトランジスタ・ボディを形成し、前記複数個のトランジスタ・ボディの各々の幅が前記複数個の形体のうちの対応する1つのものの幅によって少なくとも部分的に決められるようにするステップと、
    (e)前記複数個のトランジスタ・ボディの各々の第1のボディ端に隣接して、第1の仕事関数の第1のゲート構造体を形成するステップと、
    (f)前記複数個のトランジスタ・ボディの各々の第2のボディ端に隣接して、前記第1の仕事関数とは異なる第2の仕事関数の第2のゲート構造体を形成するステップと
    を備えた方法。
  2. 前記第1の仕事関数の前記第1のゲート構造体がp型材料から成り、
    前記第2の仕事関数の前記第2のゲート構造体がn型材料から成る、
    請求項1に記載の方法。
  3. さらに、
    (g)斜めイオン打ち込みを用いて、ソース領域、ドレイン領域、およびハロー領域を形成するステップ
    を備えた、
    請求項1に記載の方法。
  4. 前記半導体基板がSOI層から成り、
    前記複数個の形体を用いて前記半導体基板をパターニングして複数個のトランジスタ・ボディを形成するステップが、前記SOI層のパターニングを備えている、
    請求項1に記載の方法。
  5. 前記基板が水平面を有し、
    前記水平面に対して70°〜83°の角度でソース領域とドレイン領域とが形成されている、
    請求項3に記載の方法。
  6. 複数個の形体を形成する前記ステップと、前記複数個の形体を用いて複数個のトランジスタ・ボディを形成する前記ステップとが、
    前記半導体基板上にマンドレル層を形成するステップと、
    前記マンドレル層をパターニングして露出側面を形成するステップと、
    前記露出側面に隣接して側壁スペーサからなる形体を形成するステップと
    を備え、
    前記側壁スペーサの第1の端が第1のボディ端を画定し、前記側壁スペーサの第2の端が第2のボディ端を画定する、
    請求項1に記載の方法。
  7. 複数個の形体を形成する前記ステップと、前記複数個の形体を用いて複数個のトランジスタ・ボディを形成する前記ステップとが、
    前記半導体基板上にマンドレル層を形成するステップと、
    前記マンドレル層をパターニングするステップと、
    前記パターニングしたマンドレル層を用いて第1のボディ端を画定するステップと、
    ゲート材料層に隣接して側壁スペーサからなる形体を形成するステップと、
    前記側壁スペーサからなる形体を用いて第2のボディ端を画定するステップと
    を備えた
    請求項1に記載の方法。
  8. 様々なしきい値電圧を有する複数個の電界効果トランジスタを形成する方法であって、
    (a)埋め込み誘電体層上にシリコン層を備えたSOI基板を準備するステップと、
    (b)前記シリコン層上にマンドレル層を形成したのち、前記マンドレル層をパターニングして複数個のマンドレル層端を画定するステップと、
    (c)前記シリコン層を前記複数個のマンドレル層端でパターニングして、複数個の第1のボディ端を形成するステップと、
    (d)前記複数個の第1のボディ端上に複数個の第1のゲート誘電体を形成するステップと、
    (e)前記複数個の第1のゲート誘電体上において、前記第1のボディ端に隣接して、第1の仕事関数の第1のゲート構造体を複数個形成するステップと、
    (f)前記マンドレル層を除去し、前記複数個の第1のゲート構造体の第1端を露出させるステップと、
    (g)前記複数個の第1のゲート構造体の前記第1端に隣接して、側壁スペーサ幅を有する複数個の側壁スペーサを形成するステップと、
    (h)選択した一部の前記側壁スペーサの幅を調整するステップと、
    (i)前記シリコン層を前記調整するステップ(h)後の複数個の側壁スペーサでパターニングして、複数個の第2のボディ端を形成するステップであって、前記パターニングしたシリコン層の前記第1のボディ端と前記第2のボディ端が、複数個のトランジスタ・ボディを画定しているステップと、
    (j)前記複数個の第2のボディ端上に複数個の第2のゲート誘電体を形成するステップと、
    (k)前記複数個の第2のゲート誘電体上において、前記第2のボディ端に隣接して、前記第1の仕事関数とは異なる第2の仕事関数の第2のゲート構造体を複数個形成するステップと
    を備えた方法。
  9. 前記第1の仕事関数の前記複数個の第1のゲート構造体がp型ポリシリコン材料から成り、
    前記第2の仕事関数の前記複数個の第2のゲート構造体がn型ポリシリコン材料から成る、
    請求項8に記載の方法。
  10. 前記第1の仕事関数の前記複数個の第1のゲート構造体がn型ポリシリコン材料から成り、
    前記第2の仕事関数の前記複数個の第2のゲート構造体がp型ポリシリコン材料から成る、
    請求項8に記載の方法。
  11. さらに、
    前記トランジスタ・ボディ中に斜めイオン打ち込みを行なって、前記トランジスタ・ボディ中に複数個のソース/ドレイン打ち込み領域を形成するステップ
    を備えた
    請求項8に記載の方法。
  12. (a)基板上に形成された複数個のトランジスタ・ボディであって、前記トランジスタ・ボディは各々トランジスタ・ボディ幅を画定する第1の垂直端と第2の垂直端とを有し、前記複数個のトランジスタ・ボディから選択した一部のトランジスタ・ボディが第1の幅を有し、残りのトランジスタ・ボディが前記第1の幅と異なる第2の幅を有している、複数個のトランジスタ・ボディと、
    (b)複数個の第1のゲート構造体であって、前記複数個の第1のゲート構造体の各々が前記複数個のトランジスタ・ボディの第1の垂直端の1つに隣接しており、前記複数個の第1のゲート構造体は第1の仕事関数を有している、複数個の第1のゲート構造体と、
    (c)複数個の第2のゲート構造体であって、前記複数個の第2のゲート構造体の各々が前記複数個のトランジスタ・ボディの第2の垂直端の1つに隣接しており、前記複数個の第2のゲート構造体は前記第1の仕事関数とは異なる第2の仕事関数を有している、複数個の第2のゲート構造体と
    を備えたトランジスタ群。
  13. 前記複数個の第1のゲート構造体がp型材料から成り、
    前記複数個の第2のゲート構造体がn型材料から成る、
    請求項12に記載のトランジスタ群。
  14. 前記複数個のトランジスタ・ボディが半導体フィンから成る、
    請求項12に記載のトランジスタ群。
  15. 前記複数個のトランジスタ・ボディがSOI層の一部から成る、
    請求項12に記載のトランジスタ群。
  16. 前記複数個の第1のゲート構造体および前記複数個の第2のゲート構造体がポリシリコンから成る、
    請求項12に記載のトランジスタ群。
  17. さらに、
    前記トランジスタ・ボディの第1の垂直端と前記第1のゲート構造体との間に設けられた複数個の第1のゲート誘電体と、
    前記トランジスタ・ボディの第2の垂直端と前記第2のゲート構造体との間に設けられた複数個の第2のゲート誘電体と
    を備えた、
    請求項12に記載のトランジスタ群。
  18. 第1のボディ幅を有する第1のボディと、
    第1のゲートと、
    第2のゲートと
    を備えた第1のトランジスタと、
    前記第1のボディ幅と異なる第2のボディ幅を有する第2のボディと、
    第1のゲートと、
    第2のゲートと
    を備えた第2のトランジスタと
    を備え、
    前記第1のゲートは各々第1の仕事関数を有し、前記第2のゲートは各々前記第1の仕事関数とは異なる第2の仕事関数を有する
    二重ゲート・トランジスタ群。
JP2002276580A 2001-10-05 2002-09-24 二重ゲート・トランジスタおよびその製造方法 Expired - Fee Related JP3974837B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/972,172 US6492212B1 (en) 2001-10-05 2001-10-05 Variable threshold voltage double gated transistors and method of fabrication
US09/972172 2001-10-05

Publications (2)

Publication Number Publication Date
JP2003163356A JP2003163356A (ja) 2003-06-06
JP3974837B2 true JP3974837B2 (ja) 2007-09-12

Family

ID=25519287

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002276580A Expired - Fee Related JP3974837B2 (ja) 2001-10-05 2002-09-24 二重ゲート・トランジスタおよびその製造方法

Country Status (2)

Country Link
US (2) US6492212B1 (ja)
JP (1) JP3974837B2 (ja)

Families Citing this family (119)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6635909B2 (en) * 2002-03-19 2003-10-21 International Business Machines Corporation Strained fin FETs structure and method
US7358121B2 (en) * 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US6706571B1 (en) * 2002-10-22 2004-03-16 Advanced Micro Devices, Inc. Method for forming multiple structures in a semiconductor device
US6709982B1 (en) 2002-11-26 2004-03-23 Advanced Micro Devices, Inc. Double spacer FinFET formation
JP4410685B2 (ja) * 2002-12-19 2010-02-03 インターナショナル・ビジネス・マシーンズ・コーポレーション フィン型fetを形成する方法
US20060154423A1 (en) * 2002-12-19 2006-07-13 Fried David M Methods of forming structure and spacer and related finfet
US6686245B1 (en) * 2002-12-20 2004-02-03 Motorola, Inc. Vertical MOSFET with asymmetric gate structure
US6946696B2 (en) * 2002-12-23 2005-09-20 International Business Machines Corporation Self-aligned isolation double-gate FET
US6855606B2 (en) * 2003-02-20 2005-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor nano-rod devices
US6762448B1 (en) * 2003-04-03 2004-07-13 Advanced Micro Devices, Inc. FinFET device with multiple fin structures
US7015124B1 (en) * 2003-04-28 2006-03-21 Advanced Micro Devices, Inc. Use of amorphous carbon for gate patterning
US7074656B2 (en) * 2003-04-29 2006-07-11 Taiwan Semiconductor Manufacturing Company, Ltd. Doping of semiconductor fin devices
US6872647B1 (en) * 2003-05-06 2005-03-29 Advanced Micro Devices, Inc. Method for forming multiple fins in a semiconductor device
US20060170053A1 (en) * 2003-05-09 2006-08-03 Yee-Chia Yeo Accumulation mode multiple gate transistor
US7045401B2 (en) * 2003-06-23 2006-05-16 Sharp Laboratories Of America, Inc. Strained silicon finFET device
US6894326B2 (en) * 2003-06-25 2005-05-17 International Business Machines Corporation High-density finFET integration scheme
US20040266115A1 (en) * 2003-06-25 2004-12-30 Bor-Wen Chan Method of making a gate electrode on a semiconductor device
US7087506B2 (en) * 2003-06-26 2006-08-08 International Business Machines Corporation Method of forming freestanding semiconductor layer
US6909151B2 (en) * 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
US7005330B2 (en) * 2003-06-27 2006-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for forming the gate electrode in a multiple-gate transistor
US7456476B2 (en) * 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US6943405B2 (en) * 2003-07-01 2005-09-13 International Business Machines Corporation Integrated circuit having pairs of parallel complementary FinFETs
US6716686B1 (en) * 2003-07-08 2004-04-06 Advanced Micro Devices, Inc. Method for forming channels in a finfet device
US7095065B2 (en) * 2003-08-05 2006-08-22 Advanced Micro Devices, Inc. Varying carrier mobility in semiconductor devices to achieve overall design goals
US7172943B2 (en) * 2003-08-13 2007-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple-gate transistors formed on bulk substrates
WO2005020325A1 (ja) * 2003-08-26 2005-03-03 Nec Corporation 半導体装置及びその製造方法
JP4212444B2 (ja) 2003-09-22 2009-01-21 株式会社東芝 不揮発性半導体記憶装置
US7863674B2 (en) * 2003-09-24 2011-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple-gate transistors formed on bulk substrates
US6970373B2 (en) * 2003-10-02 2005-11-29 Intel Corporation Method and apparatus for improving stability of a 6T CMOS SRAM cell
US6888199B2 (en) * 2003-10-07 2005-05-03 International Business Machines Corporation High-density split-gate FinFET
US6951783B2 (en) * 2003-10-28 2005-10-04 Freescale Semiconductor, Inc. Confined spacers for double gate transistor semiconductor fabrication process
US6962843B2 (en) * 2003-11-05 2005-11-08 International Business Machines Corporation Method of fabricating a finfet
US7498225B1 (en) 2003-12-04 2009-03-03 Advanced Micro Devices, Inc. Systems and methods for forming multiple fin structures using metal-induced-crystallization
JP2005174960A (ja) * 2003-12-05 2005-06-30 National Institute Of Advanced Industrial & Technology 二重ゲート電界効果トランジスタ
US20070029623A1 (en) * 2003-12-05 2007-02-08 National Inst Of Adv Industrial Science And Tech Dual-gate field effect transistor
JP2005167163A (ja) * 2003-12-05 2005-06-23 National Institute Of Advanced Industrial & Technology 二重ゲート電界効果トランジスタ
JP2005174964A (ja) * 2003-12-05 2005-06-30 National Institute Of Advanced Industrial & Technology 二重ゲート電界効果トランジスタ
US6924178B2 (en) * 2003-12-08 2005-08-02 International Business Machines Corporation Oxide/nitride stacked in FinFET spacer process
US7018551B2 (en) * 2003-12-09 2006-03-28 International Business Machines Corporation Pull-back method of forming fins in FinFets
US7105390B2 (en) * 2003-12-30 2006-09-12 Intel Corporation Nonplanar transistors with metal gate electrodes
US7268058B2 (en) * 2004-01-16 2007-09-11 Intel Corporation Tri-gate transistors and methods to fabricate same
US7224029B2 (en) * 2004-01-28 2007-05-29 International Business Machines Corporation Method and structure to create multiple device widths in FinFET technology in both bulk and SOI
US7115947B2 (en) * 2004-03-18 2006-10-03 International Business Machines Corporation Multiple dielectric finfet structure and method
US7154118B2 (en) 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
JP4565097B2 (ja) 2004-04-08 2010-10-20 独立行政法人産業技術総合研究所 二重ゲートmosトランジスタおよび二重ゲートcmosトランジスタ、その製造方法
US7262084B2 (en) * 2004-04-15 2007-08-28 International Business Machines Corporation Methods for manufacturing a finFET using a conventional wafer and apparatus manufactured therefrom
US7176092B2 (en) * 2004-04-16 2007-02-13 Taiwan Semiconductor Manufacturing Company Gate electrode for a semiconductor fin device
US7098477B2 (en) * 2004-04-23 2006-08-29 International Business Machines Corporation Structure and method of manufacturing a finFET device having stacked fins
US7112997B1 (en) 2004-05-19 2006-09-26 Altera Corporation Apparatus and methods for multi-gate silicon-on-insulator transistors
US7579280B2 (en) * 2004-06-01 2009-08-25 Intel Corporation Method of patterning a film
US7452778B2 (en) * 2004-06-10 2008-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor nano-wire devices and methods of fabrication
JP2006012898A (ja) * 2004-06-22 2006-01-12 Toshiba Corp 半導体装置及びその製造方法
KR100594282B1 (ko) * 2004-06-28 2006-06-30 삼성전자주식회사 FinFET을 포함하는 반도체 소자 및 그 제조방법
US7042009B2 (en) * 2004-06-30 2006-05-09 Intel Corporation High mobility tri-gate devices and methods of fabrication
US7473644B2 (en) * 2004-07-01 2009-01-06 Micron Technology, Inc. Method for forming controlled geometry hardmasks including subresolution elements
US7348284B2 (en) 2004-08-10 2008-03-25 Intel Corporation Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
US7348641B2 (en) * 2004-08-31 2008-03-25 International Business Machines Corporation Structure and method of making double-gated self-aligned finFET having gates of different lengths
US7332439B2 (en) * 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US7422946B2 (en) 2004-09-29 2008-09-09 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US20060086977A1 (en) 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
US7183142B2 (en) * 2005-01-13 2007-02-27 International Business Machines Corporation FinFETs with long gate length at high density
US7196380B2 (en) * 2005-01-13 2007-03-27 International Business Machines Corporation High mobility plane FinFET with equal drive strength
US7518196B2 (en) 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US7288805B2 (en) * 2005-02-24 2007-10-30 International Business Machines Corporation Double gate isolation
US20060202266A1 (en) 2005-03-14 2006-09-14 Marko Radosavljevic Field effect transistor with metal source/drain regions
US7368787B2 (en) * 2005-05-19 2008-05-06 International Business Machines Corporation Fin field effect transistors (FinFETs) and methods for making the same
US7858481B2 (en) 2005-06-15 2010-12-28 Intel Corporation Method for fabricating transistor with thinned channel
US7547637B2 (en) 2005-06-21 2009-06-16 Intel Corporation Methods for patterning a semiconductor film
US7265589B2 (en) * 2005-06-28 2007-09-04 International Business Machines Corporation Independent gate control logic circuitry
US7279375B2 (en) 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US7170772B1 (en) 2005-07-29 2007-01-30 International Business Machines Corporation Apparatus and method for dynamic control of double gate devices
US7348642B2 (en) * 2005-08-03 2008-03-25 International Business Machines Corporation Fin-type field effect transistor
US7402875B2 (en) 2005-08-17 2008-07-22 Intel Corporation Lateral undercut of metal gate in SOI device
US7381655B2 (en) * 2005-09-14 2008-06-03 International Business Machines Corporation Mandrel/trim alignment in SIT processing
US20070090416A1 (en) 2005-09-28 2007-04-26 Doyle Brian S CMOS devices with a single work function gate electrode and method of fabrication
US7479421B2 (en) * 2005-09-28 2009-01-20 Intel Corporation Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby
KR100653711B1 (ko) * 2005-11-14 2006-12-05 삼성전자주식회사 쇼트키 배리어 핀 펫 소자 및 그 제조방법
US7485503B2 (en) 2005-11-30 2009-02-03 Intel Corporation Dielectric interface for group III-V semiconductor device
US7396711B2 (en) * 2005-12-27 2008-07-08 Intel Corporation Method of fabricating a multi-cornered film
US20070152266A1 (en) * 2005-12-29 2007-07-05 Intel Corporation Method and structure for reducing the external resistance of a three-dimensional transistor through use of epitaxial layers
US7439144B2 (en) * 2006-02-16 2008-10-21 International Business Machines Corporation CMOS gate structures fabricated by selective oxidation
US7449373B2 (en) 2006-03-31 2008-11-11 Intel Corporation Method of ion implanting for tri-gate devices
US8143646B2 (en) 2006-08-02 2012-03-27 Intel Corporation Stacking fault and twin blocking barrier for integrating III-V on Si
US7745319B2 (en) 2006-08-22 2010-06-29 Micron Technology, Inc. System and method for fabricating a fin field effect transistor
JP2008124423A (ja) 2006-10-20 2008-05-29 Oki Electric Ind Co Ltd 半導体装置の製造方法及び半導体装置
US8217435B2 (en) 2006-12-22 2012-07-10 Intel Corporation Floating body memory cell having gates favoring different conductivity type regions
FR2910999B1 (fr) * 2006-12-28 2009-04-03 Commissariat Energie Atomique Cellule memoire dotee de transistors double-grille, a grilles independantes et asymetriques
US20080157225A1 (en) * 2006-12-29 2008-07-03 Suman Datta SRAM and logic transistors with variable height multi-gate transistor architecture
US20080173942A1 (en) * 2007-01-22 2008-07-24 International Business Machines Corporation STRUCTURE AND METHOD OF MANUFACTURING A STRAINED FinFET WITH STRESSED SILICIDE
US7772048B2 (en) * 2007-02-23 2010-08-10 Freescale Semiconductor, Inc. Forming semiconductor fins using a sacrificial fin
US8980756B2 (en) * 2007-07-30 2015-03-17 Micron Technology, Inc. Methods for device fabrication using pitch reduction
US8039376B2 (en) 2007-11-14 2011-10-18 International Business Machines Corporation Methods of changing threshold voltages of semiconductor transistors by ion implantation
ES2489615T3 (es) * 2007-12-11 2014-09-02 Apoteknos Para La Piel, S.L. Uso de un compuesto derivado del acido p-hidroxifenil propionico para el tratamiento de la psoriasis
US8362566B2 (en) 2008-06-23 2013-01-29 Intel Corporation Stress in trigate devices using complimentary gate fill materials
US8110467B2 (en) * 2009-04-21 2012-02-07 International Business Machines Corporation Multiple Vt field-effect transistor devices
US8105901B2 (en) * 2009-07-27 2012-01-31 International Business Machines Corporation Method for double pattern density
US8324036B2 (en) * 2009-11-09 2012-12-04 International Business Machines Corporation Device having and method for forming fins with multiple widths for an integrated circuit
US8021949B2 (en) * 2009-12-01 2011-09-20 International Business Machines Corporation Method and structure for forming finFETs with multiple doping regions on a same chip
US8824183B2 (en) * 2010-12-14 2014-09-02 Sandisk 3D Llc Non-volatile memory having 3D array of read/write elements with vertical bit lines and select devices and methods thereof
EP2731109B1 (en) 2010-12-14 2016-09-07 SanDisk Technologies LLC Architecture for three dimensional non-volatile storage with vertical bit lines
US8513131B2 (en) 2011-03-17 2013-08-20 International Business Machines Corporation Fin field effect transistor with variable channel thickness for threshold voltage tuning
KR101850703B1 (ko) 2011-05-17 2018-04-23 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US8866214B2 (en) 2011-10-12 2014-10-21 International Business Machines Corporation Vertical transistor having an asymmetric gate
US9171584B2 (en) 2012-05-15 2015-10-27 Sandisk 3D Llc Three dimensional non-volatile storage with interleaved vertical select devices above and below vertical bit lines
US8927432B2 (en) * 2012-06-14 2015-01-06 International Business Machines Corporation Continuously scalable width and height semiconductor fins
US8822320B2 (en) 2012-11-20 2014-09-02 International Business Machines Corporation Dense finFET SRAM
US9123654B2 (en) * 2013-02-15 2015-09-01 International Business Machines Corporation Trilayer SIT process with transfer layer for FINFET patterning
US9202694B2 (en) 2013-03-04 2015-12-01 Sandisk 3D Llc Vertical bit line non-volatile memory systems and methods of fabrication
US9165933B2 (en) 2013-03-07 2015-10-20 Sandisk 3D Llc Vertical bit line TFT decoder for high voltage operation
US9018711B1 (en) * 2013-10-17 2015-04-28 Globalfoundries Inc. Selective growth of a work-function metal in a replacement metal gate of a semiconductor device
US9362338B2 (en) 2014-03-03 2016-06-07 Sandisk Technologies Inc. Vertical thin film transistors in non-volatile storage systems
US9379246B2 (en) 2014-03-05 2016-06-28 Sandisk Technologies Inc. Vertical thin film transistor selection devices and methods of fabrication
US9196612B2 (en) 2014-03-26 2015-11-24 International Business Machines Corporation Semiconductor device including merged-unmerged work function metal and variable fin pitch
US9627009B2 (en) 2014-07-25 2017-04-18 Sandisk Technologies Llc Interleaved grouped word lines for three dimensional non-volatile storage
US9450023B1 (en) 2015-04-08 2016-09-20 Sandisk Technologies Llc Vertical bit line non-volatile memory with recessed word lines
US10217863B2 (en) 2016-06-28 2019-02-26 International Business Machines Corporation Fabrication of a vertical fin field effect transistor with an asymmetric gate structure
US9837406B1 (en) 2016-09-02 2017-12-05 International Business Machines Corporation III-V FINFET devices having multiple threshold voltages
CN109216273A (zh) 2017-07-06 2019-01-15 联华电子股份有限公司 半导体结构及其制造方法
US10103247B1 (en) 2017-10-17 2018-10-16 Globalfoundries Inc. Vertical transistor having buried contact, and contacts using work function metals and silicides

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3872491A (en) 1973-03-08 1975-03-18 Sprague Electric Co Asymmetrical dual-gate FET
US5420048A (en) * 1991-01-09 1995-05-30 Canon Kabushiki Kaisha Manufacturing method for SOI-type thin film transistor
US5563093A (en) 1993-01-28 1996-10-08 Kawasaki Steel Corporation Method of manufacturing fet semiconductor devices with polysilicon gate having large grain sizes
JP3238820B2 (ja) 1994-02-18 2001-12-17 富士通株式会社 半導体装置
US5512517A (en) 1995-04-25 1996-04-30 International Business Machines Corporation Self-aligned gate sidewall spacer in a corrugated FET and method of making same
DE19535629C1 (de) 1995-09-25 1996-09-12 Siemens Ag Verfahren zur Herstellung einer integrierten CMOS-Schaltung
JPH09205152A (ja) 1996-01-25 1997-08-05 Sony Corp 2層ゲート電極構造を有するcmos半導体装置及びその製造方法
US5780330A (en) 1996-06-28 1998-07-14 Integrated Device Technology, Inc. Selective diffusion process for forming both n-type and p-type gates with a single masking step
US5670397A (en) 1997-01-16 1997-09-23 Powerchip Semiconductor Corp. Dual poly-gate deep submicron CMOS with buried contact technology
US6015991A (en) 1997-03-12 2000-01-18 International Business Machines Corporation Asymmetrical field effect transistor
US5933721A (en) 1997-04-21 1999-08-03 Advanced Micro Devices, Inc. Method for fabricating differential threshold voltage transistor pair
US5939937A (en) 1997-09-29 1999-08-17 Siemens Aktiengesellschaft Constant current CMOS output driver circuit with dual gate transistor devices
US6004837A (en) * 1998-02-18 1999-12-21 International Business Machines Corporation Dual-gate SOI transistor
US6372559B1 (en) * 2000-11-09 2002-04-16 International Business Machines Corporation Method for self-aligned vertical double-gate MOSFET
US6396108B1 (en) * 2000-11-13 2002-05-28 Advanced Micro Devices, Inc. Self-aligned double gate silicon-on-insulator (SOI) device
US6300182B1 (en) * 2000-12-11 2001-10-09 Advanced Micro Devices, Inc. Field effect transistor having dual gates with asymmetrical doping for reduced threshold voltage

Also Published As

Publication number Publication date
US6492212B1 (en) 2002-12-10
JP2003163356A (ja) 2003-06-06
US20030067017A1 (en) 2003-04-10

Similar Documents

Publication Publication Date Title
JP3974837B2 (ja) 二重ゲート・トランジスタおよびその製造方法
US10700194B2 (en) Vertical tunneling FinFET
JP4453960B2 (ja) ダブル・ゲート・トランジスタおよび製法
US9722043B2 (en) Self-aligned trench silicide process for preventing gate contact to silicide shorts
US7018551B2 (en) Pull-back method of forming fins in FinFets
US6841834B2 (en) Doubly asymmetric double gate transistor structure
US6924178B2 (en) Oxide/nitride stacked in FinFET spacer process
US6974729B2 (en) Integrated semiconductor fin device and a method for manufacturing such device
US7087471B2 (en) Locally thinned fins
US6642090B1 (en) Fin FET devices from bulk semiconductor and method for forming
US6624032B2 (en) Structure and process flow for fabrication of dual gate floating body integrated MOS transistors
US6933183B2 (en) Selfaligned source/drain FinFET process flow
US9425105B1 (en) Semiconductor device including self-aligned gate structure and improved gate spacer topography
US20050239254A1 (en) Quasi-plannar and FinFET-like transistors on bulk silicon
US8227316B2 (en) Method for manufacturing double gate finFET with asymmetric halo
TW201628090A (zh) 半導體元件及其製作方法
US20160260741A1 (en) Semiconductor devices having fins, and methods of forming semiconductor devices having fins
US11239339B2 (en) Gate structure and method
EP1383164A1 (en) FinFET device and a method for manufacturing such device
EP1383166A2 (en) FIN field effect transistor device and a method for manufacturing such device
CN114975605A (zh) 半导体结构及其形成方法

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20050526

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050614

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050913

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060516

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20060724

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20060727

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060912

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070206

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070326

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070411

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20070612

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20070615

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100622

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees