JP4453960B2 - ダブル・ゲート・トランジスタおよび製法 - Google Patents

ダブル・ゲート・トランジスタおよび製法 Download PDF

Info

Publication number
JP4453960B2
JP4453960B2 JP2003507897A JP2003507897A JP4453960B2 JP 4453960 B2 JP4453960 B2 JP 4453960B2 JP 2003507897 A JP2003507897 A JP 2003507897A JP 2003507897 A JP2003507897 A JP 2003507897A JP 4453960 B2 JP4453960 B2 JP 4453960B2
Authority
JP
Japan
Prior art keywords
layer
silicon layer
gate
conductivity type
polysilicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2003507897A
Other languages
English (en)
Other versions
JP2004531085A (ja
Inventor
ブライアント、アンドレー
イェオン、メイケイ
ミュラー、ケー、ポール
ノワク、エドワード、ジェイ
フライド、デービッド、エム
ランキン、ジェド
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2004531085A publication Critical patent/JP2004531085A/ja
Application granted granted Critical
Publication of JP4453960B2 publication Critical patent/JP4453960B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4908Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET for thin film semiconductor, e.g. gate of TFT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Thin Film Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Description

本発明は、一般に半導体製造の分野に関し、より詳細には、ダブル・ゲート電界効果トランジスタを形成する方法に関する。
半導体デバイスの製造においてコストおよび性能競争力を維持していく必要から、集積回路のデバイス密度が絶えず増加している。デバイス密度の増加を促進するために、この半導体デバイスの特徴サイズを減少させることができる新しい技術が常に必要とされている。
デバイス密度を絶えず増加させようとする努力は、特に、電界効果トランジスタ(FET)の設計および製造におけるようなCMOS技術で強い。FETは、ほとんど全ての種類の集積回路設計(すなわち、マイクロプロセッサ、メモリなど)で使用されている。残念なことに、CMOSFETのデバイス密度の増加は、性能または信頼性あるいはその両方の低下をもたらすことが多い。
デバイス密度の増加を促進するために提案されたFETの1つの種類は、ダブル・ゲート電界効果トランジスタである。ダブル・ゲートFETは、本体の各々の側に1つずつの2つのゲートを使用して、許容可能な性能を維持しながら、CMOS寸法の比例縮小を容易にする。特に、ダブル・ゲートの使用でゲート面積が増加し、これによって、トランジスタは、デバイスのゲート長を増すことなしに、より優れた電流制御を有することができるようになる。そのようなものとして、ダブル・ゲートFETは、より大きなトランジスタのデバイス・スペースを必要とすることなしに、より大きなトランジスタの電流制御を持つことができる。
都合の悪いことに、ダブル・ゲートCMOSトランジスタの設計および製造でいくつかの問題が生じる。第1に、ダブル・ゲート・トランジスタの相対的な寸法は、信頼性の高い性能と最小の特徴寸法とを有するものを確実に製造することが困難であるようなものである。第2に、ダブル・ゲート・トランジスタの閾値電圧は、2つのゲートに使用される材料に大きく依存している。特に、現在製造技術は、一般に、高すぎる閾値電圧か、または低すぎる閾値電圧かどちらかを持つダブル・ゲート・トランジスタをもたらしている。例えば、ゲートがソースと同じ極性にドープされた場合、閾値電圧は一般にゼロに近くなる。逆に、ゲートがソースの反対極性にドープされた場合、閾値電圧はほぼ1ボルトになる。大抵のCMOS用途では、どちらの結果も望ましくない。
このように、製造の複雑さを過度に増すことなく、結果として得られるダブル・ゲートCMOSの改良された閾値電圧を実現するダブル・ゲートCMOSデバイスの改良されたデバイス構造および製造方法が必要とされている。
したがって、本発明は、改良されたデバイス性能および密度をもたらすダブル・ゲート・トランジスタおよびそれを形成する方法を提供する。本発明の好ましい実施例では、非対称ゲート・ドーピングを有するダブル・ゲート・トランジスタが使用され提供され、このトランジスタでは、ダブル・ゲートの一方は縮退したn型にドープされ、他方は縮退したp型にドープされる。ゲートの一方をn型に、他方をp型にドープすることで、結果として得られるデバイスの閾値電圧が改善される。特に、2つのゲートを非対称にドープすることで、結果として得られるトランジスタは、その本体を適切にドープした場合、低電圧CMOS動作を可能にする範囲の閾値を有することができる。例えば、nFETについて0Vと0.5Vの間で、pFETについて0と−0.5Vの間の閾値電圧を有するトランジスタを作ることができる。
本発明の好ましい実施例は、フィン型ダブル・ゲート構造を使用して実施される。フィン型構造では、その本体がゲート間に水平に配置されている状態で、ダブル・ゲートは、本体の両側に形成される。このダブル・ゲート・トランジスタを形成する好ましい方法によって、本体の厚さはゲート長よりも遥かに小さいことが可能でありながら、デバイスのゲート長は最小特徴サイズを有することができるようになる。これによって、結果として得られるデバイスの閾値電圧の制御が改善される。ダブル・ゲート・トランジスタを形成する好ましい方法は、画像強調技術を使用して、トランジスタ本体の厚さを画定するように側壁の像転写を達成して、そのトランジスタ本体の厚さを最小特徴サイズ以下で確実に形成することができるようにする。
本発明の前述および他の利点および特徴は、添付の図面に示されるような本発明の好ましい実施例についての以下のより詳細な説明から明らかになるであろう。
本発明の好ましい例示の実施例を、添付の図面に関連して以下で説明する。図面では、同様な呼称は同様な要素を示す。
したがって、本発明は、改良されたデバイス性能および密度をもたらすダブル・ゲート・トランジスタおよびそれを形成する方法を提供する。本発明の好ましい実施例は、非対称ゲート・ドーピングを有するダブル・ゲート・トランジスタを使用し提供し、このトランジスタでは、ダブル・ゲートのうちの一方は縮退したn型にドープされ、他方は縮退したp型にドープされる。ゲートの一方をn型に、他方をp型にドーピングすることで、結果として得られるデバイスの閾値電圧は改善される。特に、2つのゲートを非対称にドープすることによって、結果として得られるトランジスタは、本体の適切なドーピングで、低電圧CMOS動作を可能にする範囲の閾値電圧を有することができるようになる。例えば、nFETについて0Vと0.5Vの間で、pFETについて0と−0.5Vの間の閾値電圧を有するトランジスタを作ることができる。
本発明の好ましい実施例は、フィン型ダブル・ゲート構造を使用して実施される。フィン型構造では、ダブル・ゲートは本体の両側に形成され、本体はゲートの間に水平に配置されている。このダブル・ゲート・トランジスタを形成する好ましい方法によって、デバイスのゲート長は最小限の特徴サイズを有することができるようになり、一方で、本体の厚さはゲート長よりも遥かに小さくすることができる。これによって、結果として得られるデバイスの閾値電圧の制御が改良される。ダブル・ゲート・トランジスタを形成する好ましい方法は、画像強調技術を使用して、トランジスタ本体の厚さを画定するように側壁の像転写を達成し、トランジスタ本体を最小特徴サイズ以下で確実に形成できるようにする。
様々な導電性材料は、しばしばフェルミ・レベル(fermi level)と呼ばれる内部電位と関連づけられ、この内部電位は、外部印加電圧と共に、電子(または正孔)に対する導体の相対的な親和力を決定する。金属では、フェルミ・レベルは材料に固有であるが、一方で、シリコンのような半導体では、このフェルミ・レベルは、過剰な正孔または電子を供給する不純物を添加することで価電子帯と伝導帯の間の値に調整することができる。好ましい実施例の非対称ダブル・ゲートFETでは、2つのゲート電極は反対の極性をドープされて、一方のゲートはn型にドープされ、他方のゲートはp型にドープされる。このようにして、2つのゲート電極は、異なるフェルミ・レベルを有し、したがって、一方のゲート電極(強いゲート、nFETのnゲート)は、反転キャリアに対してより大きな親和力を有するが、他方の電極(弱いゲート、nFEのpゲート)は、反転キャリアに対してより小さな親和力を有する。そのために、半導体本体中の「強い」ゲートにより近い位置に反転チャネルが形成され、結果として、両方のゲート電極が比較的低い閾値電圧(例えば、0と0.5Vの間)をもたらす反転電位に寄与するようになる。
ここで図1に戻ると、好ましい実施例に従ってダブル・ゲート・トランジスタを形成する方法100が図示される。方法100によって、製造の信頼性および簡単さを維持しながら、トランジスタの閾値電圧を改良するやり方で、ダブル・ゲート・トランジスタが形成される。
方法100の第1のステップ101は、適切なウェーハを供給し、様々なエッチング停止層を堆積し、さらにマンドレル層(mandrel layer)を堆積することである。好ましい実施例では、使用されるウェーハは、シリコン・オン・インシュレータ(SOI)のウェーハを含む。そのようなものとして、ウェーハはSOI層の下に埋込み酸化物層を含む。明らかになるように、SOI層は、ダブル・ゲート・トランジスタの本体を形成するために使用される。そのようなものとして、トランジスタの閾値電圧の適切なセンタリングおよび制御を実現するために、3×1018cm−3から8×1018cm−3までの範囲のp型(NFETの場合)ドーピング密度を有するSOI層を使用するのが一般に好ましい。しかし、後で説明する他の実施例では、SOI層のドーピングは、本体全体にわたった一様な濃度密度の実現を容易にするように角度付けされた打込みを用いて行われる。
しかし、SOIでないウェーハを使用することができる。SOIでないウェーハを使用するとき、加工は、注記以外は、すべての点でSOIウェーハの場合のものと同一である。
SOIが供給された場合、好ましくは二酸化珪素層、窒化珪素層、および第2の酸化珪素層を含んだ3つのエッチング停止層が、ウェーハの上に形成される。これらのエッチング停止層は、適切なエッチング停止が必要とされるときに、製造プロセス全体を通じて使用される。
次に、マンドレル層を形成する。マンドレル層は、好ましくは、酸化物または他の適切な材料の層を含む。後でより詳細に説明するように、マンドレル層は、ダブル・ゲート・トランジスタの本体を画定するために使用される側壁像転写の一部として存在する。そのようなものとして、マンドレル層は側壁スペーサを形成するために使用され、そして次に、この側壁スペーサは、トランジスタ本体を画定するために使用される。好ましい実施例では、マンドレル層は10nmから100nmの厚さを有するが、そのような厚さは所望の本体厚さに依存して変えることができる。
ここで図2に注意を向けると、エッチング停止層およびマンドレル層を形成した後のウェーハ部200が示されている。好ましい実施例のウェーハ部200はSOIウェーハを含み、そのようなものとして、SOI層202および埋め込み酸化物層204を含む。SOI層の表面に、酸化物層206、窒化物層208、および酸化物層210を形成する。これらの層は、エッチング停止層として作用する。酸化物層210の表面に、マンドレル層212を形成する。
図1に戻って、次のステップ102は、マンドレル層をパターン形成し、側壁スペーサを形成し、さらにエッチング停止層をパターン形成することである。マンドレル層は、ダブル・ゲートの一方が形成されるその領域を開けるようにパターン形成する。側壁スペーサは、好ましくは、窒化珪素の堆積とこれに続く適切な指向性エッチングを使用して形成される。後で示すように、側壁スペーサの厚さによって、側壁の像転写を使用して、ダブル・ゲート・トランジスタの本体領域が画定される。
図3に注意を向けると、マンドレル層212がパターン形成され、側壁スペーサ214が形成され、さらに露出部分のエッチング停止層が除去された後のウェーハ部200が示される。
図1に戻って、次のステップ104は、側壁スペーサおよび残っているマンドレル材料をマスクとして使用してSOI層をパターン形成し、さらに、SOI層の露出側面にゲート酸化物を形成することである。これは、好ましくは、適切な反応性イオン・エッチングを使用して行われる。ゲート酸化物は、好ましくは、一般に750〜800℃で、熱酸化によって形成する。また、このステップ中に、トランジスタの本体中に打込みを行うことができる。好ましくは、これは、SOI層の露出側壁中への角度付き打込みを含み、ゲート酸化物の形成前に行われるかもしれない。これは、トランジスタの本体を適切にドープする役目をするかもしれない。以下でより詳細に説明するように、この角度付き打込みは、閾値電圧のばらつきを補償するのに役立つように一様な濃度密度を実現するやり方で行うことができる。
ここで図4に注意を向けると、SOI層202がパターン形成され、ゲート酸化物216がSOI層202の側面に形成された後のウェーハ部200が示される。再度、ゲート酸化物の形成前に、角度付き本体打込みを行うこともできる。
図1に戻って、次のステップ106は、ゲート材料を堆積しかつ平坦化することである。上で述べたように、好ましい実施例では、ダブル・ゲート・トランジスタはn+に形成された1つのゲートとp+に形成された別のゲートを有する。例示の打込みでは、n+ゲートが最初に形成される。図5に注意を向けると、n+ポリシリコン218が堆積され、かつ平坦化された後のウェーハ部200が示される。明らかになるように、n+ポリシリコン218は、好ましい実施例のダブル・ゲート・トランジスタにおいて、ゲートの1つを形成するために使用される。
次のステップ108は、残っているマンドレル層を選択的に除去することである。これは、好ましくは、窒化物側壁スペーサ、窒化物エッチング停止層、およびゲート・ポリシリコンに対して選択的にマンドレルを反応性イオン・エッチングすることで行われる。それから、好ましくはポリシリコン・ゲートに熱酸化物を成長させて、ポリシリコン・ゲート材料に中間酸化物層を形成する。ここで図6に注意を向けると、マンドレル層212が除去され、酸化物エッチング停止層210が除去され、そしてゲート・ポリシリコン218に熱酸化物層220が形成された後のウェーハ部200が示される。残っているマンドレル層の下の窒化物層208は、酸化物220に対して選択的にエッチングされ、この後に短時間のフッ酸エッチングが続き、このフッ酸エッチングで、残っているマンドレル層の下の残っている酸化物層206が除去される。
次のステップ110は、露出されたSOI層をエッチングすることである。これは、好ましくは、SOI層をエッチングする反応性イオン・エッチングを使用して行われ、埋め込み酸化物層で止まる。これによって、ダブル・ゲート・トランジスタの本体の厚さを画定するSOI層のパターン形成が完了する。次に、トランジスタ本体の露出された側面にゲート酸化物を形成する。
また、このステップ中に、トランジスタの本体に別の打込みを行うことができる。再び、これは、好ましくは、SOI層の露出側面への角度付き打込みを含み、ゲート酸化物の形成前に行われるかもしれない。
図7に注意を向けると、SOI層202のパターン形成後のウェーハ部200を示す。SOI層202の残っている部分は、ダブル・ゲート・トランジスタの本体を含む。熱酸化を使用して、または誘電体膜を堆積して、露出SOI層202上にゲート酸化物221を形成する。
SOIでないウェーハが使用されるとき、シリコン・フィンが所望の深さ(一般に、元のシリコン表面より下に100〜200nm)までの時間だけエッチングされた後で、酸化物の堆積/エッチング・プロセスを使用して、エッチングされたフィンの高さのほぼ4分の1の厚さの二酸化珪素を、エッチングされたシリコンの底の水平表面にだけ堆積する。nFETの場合、酸化物に硼素をドープすることができ、またはpFETの場合リンをドープすることができ、ドーパントのいくらかの部分は、ドープされた酸化物に直ぐ隣接するフィンの部分に外方拡散する。これは、フィンのゲート制御されない表面であるところでの、ソースからドレインへの漏れを抑制する役目をする。
SOIの実施例に戻って、留意すべきことであるが、SOI層のパターン形成で、ダブル・ゲート・トランジスタの本体が画定される。TSIとして示す本体厚さをゲート長に比べて狭くすることが、一般に望ましい。一般に、優れた閾値電圧制御をもたらすために、本体厚さは、ゲート長の4分の1よりも小さくなければならない。また、量子閉込め問題による移動度の低下を避けるために、本体厚さは2.5nmよりも大きいことが一般に望ましい。ゲート長は一般に最小特徴サイズに作られるので、側壁の像転写を使用して、最小特徴サイズ以下の本体を実現する。したがって、上で図示し説明したように、側壁スペーサの幅で本体厚さが決定される。
次のステップ112は、第2のゲートのためのゲート材料を堆積しかつ平坦化することである。上で述べたように、好ましい実施例では、2つのゲートを形成するために、反対ドーピングのゲート材料が使用される。このように、好ましい実施例では、p+ドープのポリシリコンを使用して、2つのゲートのうちの第2のものを形成する。p+ポリシリコン・ゲート材料の平坦化は、n+ポリシリコン・ゲートの表面に前に形成された熱成長酸化物で止まる。p+ポリシリコンを平坦化した後で、熱成長酸化物の第2の層が形成される。ここで図8に注意を向けると、第2のゲートを形成するようにp+ドープのポリシリコン226を堆積しかつ平坦化した後のウェーハ部202が示される。そして、堆積されたポリシリコン226上に熱成長酸化物228を形成する。
次のステップ114は、側壁スペーサを除去し、側壁スペーサの穴に真性ポリシリコンを充填して、プロセスのもっと後でこの領域でのシリサイド形成を最大にすることである。別個の独立したゲート・コンタクトが望ましい場合には、随意に、側壁スペーサを所定の位置に残すことができる。それから、熱成長酸化物の2つの層で止まるCMPプロセスを使用して、真性ポリシリコンを平坦化する。除去する過剰な真性ポリシリコンが非常に少ないので、この平坦化プロセスは、高い選択性を必要としない。それから、2つのゲートの露出熱成長酸化物を同様な平坦化プロセスを使用して除去する。再び、この加工ステップに高い選択性は必要とされない。ここで図9に注意を向けると、側壁スペーサ214の残っている部分が除去され、それからスペーサが真性ポリシリコン230で充填された後のウェーハ部200が示される。そして、図10は、過剰なポリシリコン230および熱成長酸化物220および228がCMPプロセスで除去された後のウェーハ部200を示す。これによって、真性ポリシリコンの小さな部分230だけが、最初に形成された側壁スペーサの位置に残される。この部分の真性ポリシリコン230を使用して、プロセスの流れのもっと後で、p+とn+のポリシリコン・ゲートをつなぐシリサイド・ブリッジを形成することができる。
方法100に戻って、次のステップ116は、ゲートをパターン形成することである。これには、トランジスタのソースおよびドレイン領域に近接して存在するゲート材料の部分を選択的に除去することが含まれる。これは、好ましくは、標準的なリソグラフィ技術すなわちハードマスクの堆積およびパターン形成を使用し、それから、このパターン形成されたハードマスクを、ゲート材料のエッチング中に、エッチング阻止物として使用して行う。ハードマスクは、好ましくは、本体上にすでに形成されたエッチング停止層と同じであるような窒化物ハードマスクである。
ここで図11に注意を向けると、遠近法の形式でウェーハ部200が示される。窒化物ハードマスク232は、n+ゲート・ポリシリコン218およびp+ゲート・ポリシリコン226を含む2つのゲートにわたって延びるように形成されている。ここで図12に注意を向けると、ゲート・ポリシリコン218およびゲート・ポリシリコン226がハードマスクに対して選択的なエッチングを使用してパターン形成された後のウェーハ部200が示される。パターン形成で、好ましくは、埋め込み酸化物層204までゲート・ポリシリコン全てが除去される。ゲートのパターン形成は、好ましくは、窒化物に対して選択的な指向性エッチングを使用して行われる。このようにして、パターン形成で、前に形成された窒化物エッチング停止層208で保護されたSOI本体の部分202は除去されない。パターン形成で、ダブル・ゲート・トランジスタの2つのゲートを画定する、n+ポリシリコン218およびp+ポリシリコン226の部分は残る。
好ましい実施例では、緩衝HF洗浄が行われ、その後に、露出シリコン表面全てに酸化物を成長するように設計された熱再酸化が続く。これによって、好ましくは、薄い50オングストロームの酸化膜が形成され、この酸化膜は、ゲートが本体と接するときの優れた境界面を実現する。
方法100の次のステップ118は、トランジスタにソース、ドレインの打込みおよびハロー打込み(halo implant)を形成することである。好ましくは、これらの打込みは、本体の両側面に一様な打込みが行われることを保証するために、本体の4方向全てに行われる。特に、ソースおよびドレインの両方の打込みは、本体のソースおよびドレイン部の両側から行われる。それから、短チャネル効果を改善するハロー打込みを形成するように、もうひとつの打込みが異なる打込みエネルギーおよび角度で行われる。ゲート電極の下にソース/ドレイン・ドーパントよりももっと深くハロー・ドーパントを確実に位置付けするために、ハロー打込みは、より高いエネルギーで、かつフィンに対してより鋭角で行われる。nFETでは、一般に、ソース/ドレイン打込みには、1から5keVおよび5×1014から2×1015cm−3のドーズ量の範囲で、フィンに対して75°と85°の間の角度で、砒素が使用され、ハロー打込みには、5から15keVの範囲のエネルギーおよび1×1013から8×1013cm−3のドーズ量で、フィンに対して20°と30°の間に向けられたハローで、硼素が使用される。同様に、pFETでは、一般に、ソース/ドレイン打込みには、0.5から3keVおよび5×1014から2×1015cm−3のドーズ量の範囲で、フィンに対して75°と85°の間の角度で、硼素が使用され、ハローには、20から45keVのエネルギーおよび1×1013から8×1013cm−3のドーズ量で、フィンに対して20°と30°の間に向けられたハローで、砒素が使用される。さらに、上の打込み全ては、ウェーハの方位から適切な角度、一般には方位から7°と30°の間でなければならない。
次のステップ120は、ゲート電極全体および露出されたフィンを覆う筺体の上の組み合わされたゲート電極とハードマスクの高さよりも大きな厚さの誘電体を堆積し、ハードマスクおよびゲート電極の一部(一般に、10から50nm)は露出されるがソース/ドレイン・フィン領域のどこも露出されないところまで、平坦化しかつ部分的にくぼますことである。明らかになるように、このステップは、トランジスタ・ゲートの端部に側壁スペーサを形成することの一部である。使用される誘電体は、好ましくは、酸化物を含み、この酸化物は、すでに形成された窒化物ハードマスクに対して選択的にエッチングすることができる。ここで図13に注意を向けると、誘電体240がトランジスタ・ゲート電極を囲繞して堆積され、平坦化され、そしてくぼまされた後のウェーハ部200が示される。誘電体は、好ましくは、前に与えられた窒化物ハードマスク232に対して選択的な指向性エッチングを使用してくぼまされる。
次のステップ122は、ゲートの端部に側壁スペーサを形成し、前に堆積された誘電体をエッチングすることである。これは、好ましくは、誘電体材料の共形堆積とこれに続く指向性エッチングを使用して行われる。側壁スペーサは、好ましくは、窒化物で形成される。それから、窒化物側壁スペーサを、窒化物ハードマスクと共に使用して、指向性エッチングを遮蔽し、それによって、ゲートに隣接する以外の酸化物を除去することができる。
ここで図14に注意を向けると、窒化物側壁スペーサ242が形成され、誘電体240がエッチング除去されて、トランジスタ・ゲートに隣接する側壁部分244だけを残した後のウェーハ部200が示される。ハードマスク232、側壁スペーサ242、および側壁部分244が組み合わさって、次に形成されるソースおよびドレインのコンタクトからゲートを有効に分離する。
次のステップ124は、ソースおよびドレインのコンタクトを形成することである。これは、好ましくは、前に除去された領域にコンタクト材料を充填することで行われる。コンタクト材料は、n+シリコンまたはp+シリコンあるいはその両方に対して低抵抗コンタクトを作るシリコン、タングステン、または他の導電性材料の選択的堆積であることができる。シリコンが使用される場合、シリコンは、nFETまたはpFETの場合それぞれ、縮退したn+またはp+にドープされる。この材料は、窒化物ハードマスクの高さより上の高さでウェーハを覆うまで堆積し、それから、窒化物ハードマスクが完全に露出されるまでRIEまたは化学機械研磨あるいはその両方で平坦化することができる。次に、図15に示すように、ソース/ドレイン・コンタクト材料の不要部分をエッチングしてソースをドレインから分離しかつ複数のそのようなFETを互いに分離するために使用されるマスクを用いて、ウェーハをパターン形成する。最後に、RIEまたは熱燐酸のような他のエッチング方法で、ハードマスクを選択的に除去することができる。そして、コバルトまたはチタンのような金属をほぼ700℃で堆積しかつ焼結して、ゲートの上に、またシリコン・コンタクトの場合にはソースおよびドレインのコンタクトの上にも、金属シリサイドを形成する。
ここで図16に注意を向けると、代替えの好ましい実施例の方法300が示される。この方法は、トランジスタ本体を画定するために使用される側壁スペーサの侵食が最少になるという利点を有する。というのは、スペーサは反応性イオン・エッチングに一度だけさらされるからである。結果として、この実施例で達成されるシリコン・エッチングのプロファイルは全く満足に制御される。ステップ301で、ウェーハが準備され、上で説明した方法100のステップ101のように、エッチング停止層およびマンドレル層が形成される。そして、ステップ302で、マンドレル層がパターン形成され、さらにエッチング停止層が直接エッチングされる。これは、エッチング停止層がパターン形成される前にマンドレル層の上に側壁スペーサが形成されないという点で、方法100と違っている。図17に注意を向けると、エッチング停止層、マンドレル層の形成およびマンドレル層とエッチング停止層の直接エッチングの後のウェーハ部200が示される。
次のステップ304は、残っているマンドレル層をマスクとして使用してSOI層をパターン形成し、SOI層の露出側面にゲート酸化物を形成することである。これは、好ましくは、適切な反応性イオン・エッチングと、これに続く一般に750℃と800℃の間での熱酸化または酸化アルミニウムのような大きなkの材料のCVD堆積と、を使用して行われる。また、このステップ中に、トランジスタの本体中に打込みを行うことができる。これは、好ましくは、SOI層の露出側面への角度付き打込みを含み、ゲート酸化物の形成前に行われるかもしれない。この打込みは、トランジスタの本体を適切にドープする役目をする。以下でより詳細に説明するように、この打込みは、そうでなければ本体厚さのばらつきに起因するかもしれない閾値電圧のばらつきを補償するのに役立つように一様な濃度密度を実現するやり方で、行うことができる。
ここで図18に注意を向けると、SOI層202がパターン形成され、ゲート酸化物216がSOI層202の側面に形成された後のウェーハ部200が示される。再び、ゲート酸化物の形成前に、角度付き本体打込みを行うこともできる。
図16に戻って、次のステップ306は、ゲート材料を堆積し平坦化することである。上で説明したように、好ましい実施例では、ダブル・ゲート・トランジスタは、n+に形成された一方のゲートとp+に形成された他方のゲートを有する。例示の実施例では、n+ゲートのゲートが最初に形成される。図19に注意を向けると、n+ポリシリコン218が堆積され、かつ平坦化された後のウェーハ部200が示される。明らかになるように、n+ポリシリコンは、好ましい実施例のダブル・ゲート・トランジスタのゲートの1つを形成するために使用される。
次のステップ308は、残っているマンドレル材料を除去し、残っている第1のゲート材料の端部に沿って側壁スペーサを形成し、さらに、ポリシリコン・ゲート材料上に中間酸化物層を形成することである。中間酸化物層は、ポリシリコン・ゲートに熱酸化物を成長させて形成される。ここで図20に注意を向けると、マンドレル層212が除去され、側壁スペーサ302が第1のゲート材料の側壁の上に形成され、さらに熱酸化物層220がゲート・ポリシリコン218上に形成された後のウェーハ部200が示される。残っているマンドレル層の下の窒化物層208は、酸化物220に対して選択的にエッチングされ、この後に短時間のHFエッチングが続き、このHFエッチングは、残っているマンドレル層の下の残っている酸化物層206を除去する。
次のステップ310は、露出SOI層をエッチングすることである。これは、好ましくは、SOI層をエッチングする反応性イオン・エッチングを使用して行われ、埋め込み酸化物層で止まる。これによって、ダブル・ゲート・トランジスタの本体の厚さを画定するSOI層のパターン形成が完了する。次に、トランジスタ本体の露出された側面上にゲート酸化物を形成する。再び、このステップ中に、トランジスタの本体中に打込みを行うことができる。再び、これは、好ましくは、SOI層の露出側面への角度付き打込みを含み、ゲート酸化物の形成前に行われるかもしれない。
ここで図21に注意を向けると、SOI層202のパターン形成後のウェーハ部200が示される。SOI層202の残っている部分は、ダブル・ゲート・トランジスタの本体を含む。熱酸化を使用して、または誘電体膜を堆積して、露出SOI層202上にゲート酸化物221を形成する。
次のステップ312は、第2のゲート用のゲート材料を堆積しかつ平坦化することである。上で述べたように、好ましい実施例では、2つのゲートを形成するために、反対ドーピングのゲート材料が使用される。このように、好ましい実施例では、p+ドープのポリシリコンを使用して、2つのゲートのうちの第2のものを形成する。p+ポリシリコン・ゲート材料の平坦化は、n+ポリシリコン・ゲートに前に形成された熱成長酸化物で止まる。p+ポリシリコンを平坦化した後で、熱成長酸化物の第2の層を形成する。ここで図22に注意を向けると、第2のゲートを形成するようにp+ドープのポリシリコン226を堆積しかつ平坦化した後のウェーハ部200が示される。そして、堆積されたポリシリコン226上に熱成長酸化物228を形成する。
次のステップ314は、側壁スペーサを除去しかつ側壁スペーサの穴に真性ポリシリコンを充填して、プロセスのもっと後でこの領域でのシリサイド形成を最大にすることである。別個の独立したゲート・コンタクトが望ましい場合には、随意に、側壁スペーサを所定の位置に残すことができる。それから、熱成長酸化物の2つの層で止まるCMPプロセスを使用して、真性ポリシリコンを平坦化する。除去する過剰な真性ポリシリコンが非常に少ないので、この平坦化プロセスは、高い選択性を必要としない。それから、2つのゲートの露出熱成長酸化物を同様な平坦化プロセスを使用して除去する。再び、この加工ステップに高い選択性は必要とされない。
ここで図23に注意を向けると、側壁スペーサ302の残っている部分が除去され、それからスペーサが真性ポリシリコン230で充填された後のウェーハ部200が示される。そして、図24は、過剰なポリシリコン230および熱成長酸化物220および228がCMPプロセスで除去された後のウェーハ部200を示す。これによって、真性ポリシリコンの小さな部分230だけが、最初に形成された側壁スペーサの位置に残される。この部分の真性ポリシリコン230によって、プロセスの流れのもっと後で、p+とn+のポリシリコン・ゲートをつなぐシリサイド・ブリッジを形成することが可能になる。
方法300に戻ると、残っているステップ316から326は、方法100で説明したステップ116から126と全く同じである。再び、スペーサは今や反応性イオン・エッチングに一度だけさらされるので、方法300は、トランジスタ本体を画定するために使用される側壁スペーサの侵蝕が最小限になるという利点を有する。その結果、この実施例で実現されるシリコン・エッチング・プロファイルは、非常に適切に制御される。
本発明の他の実施例では、本体厚さのばらつきによって通常生じる閾値電圧のばらつきを補償するステップが行われる。特に、閾値電圧は、少なくとも部分的に本体の厚さに依存している。上で説明したように、本体の厚さは、側壁の像転写中に本体を画定するように使用される側壁スペーサの厚さでほとんど決定される。一般に、側壁スペーサを形成するプロセスは、側壁スペーサの厚さに多少の変化をもたらすことがある。そのようなものとして、結果として得られるデバイスの閾値電圧に多少の変化があることがある。多くの場合、この閾値電圧変化は、許容可能な限界内にある。しかし、いくつかの場合、この変化を補償することが望ましいかもしれない。
この実施例では、厚さの違いを補償するために本体の一様なドーピングが行われる。本体厚さと関係して変化する密度を有する一様なドーパント量をもたらすドーピング方法とは対照的に、ドーピングを3次元の意味で一様にすることが、この実施例では一般的に望ましい。これは、本体に一定の一様なドーパント濃度密度を生じる打込みをすることで行われる。好ましくは、これは、本体の側面が露出されたときに、本体にいくつかの角度付き打込みすることで行われる。例えば、一方の側面が露出されたときに(図4に示すように)打込みを行い、さらに他方の側面が露出したときに(図7に示すように)第2の打込みを行うことができる。例えば、イオン打込みがウェーハ表面に対して45°傾いた、したがって垂直フィンに対して40°傾いた状態で、ゲート酸化直前に図4のシリコンの露出側壁に打ち込むことによって、一様なフィン・ドーピングを実現することができる。フィンの範囲全体にドーパント原子の一様な分布を作るように組み合わさるやり方で、様々なドーズ量の一連のエネルギーが使用されるかもしれない(図25に示すように)。この場合、0.6keV、1.2keV、2.4keV、および9.6keVの硼素エネルギーが、それぞれ2.1、4.4、9.3、19.5および40.8×1012原子/cmのそれぞれのドーズ量でシリコン側壁中に打ち込まれた。
他の方法では、エッチング停止層およびマンドレル層の形成前に垂直打込みを行って、この一様な濃度が作られる。そのような打込みが行われ、これに広範囲なアニールが続いたとき、実質的に一様なドーピング濃度が実現される。さらに、多数の垂直打込みを使用して、この一様性を実現することができる。
これらの実施例全てで、pFETおよびnFETは、別々にマスクしかつ打ち込む必要があり、pFETにリンまたは砒素が使用され、そしてnFETには硼素が使用されるかもしれない。
本体ドーピングNaを有する非対称ダブル・ゲートFETの閾値電圧(Vt)は、次式で与えられる。
Figure 0004453960
ここで、εSiはシリコンの誘電率であり、Egはシリコンのバンドギャップ・エネルギー(≒1.1eV)であり、TSiは本体厚さであり、λは強いゲートに近接した表面の下のシリコン本体中の反転層の電荷重心の深さ(≒1nm)であり、Qは電子の電荷であり、Naは本体(フィン)のドーピング密度であり、ψmsは形成された場合の反転層のフェルミ・レベルに対するゲート電極のフェルミ・レベルであり、そしてεOXはゲート誘電体の誘電率であり、Toxsはゲート電極のフェルミ・レベルが反転チャネル・キャリアにより引き付けられた状態にある絶縁体の厚さ(nFETのn+電極、pFETのp+電極)であり、Toxwはゲート電極のフェルミ・レベルが反転チャネル・キャリアに余り引き付けられない状態にある絶縁体の絶縁体厚さである(nFETのp+電極、およびpFETのn+電極)。この式から数学的に示されることであるが、この式をNaについて微分し、その導関数がゼロになる条件を解いて与えられるドーピングNaを選ぶことで、ドーピングに対して比較的敏感でないVtが与えられる。明らかに、本体のドーピングNaがほぼ式2であるように選ばれるとき、Vtは、シリコン本体の厚さのばらつきに対して比較的敏感でない。
Figure 0004453960
本体にいくつかの角度付き打込みを行うか、いくつかの垂直打込みを行うか、または広範囲なアニールを使用するかで、より一様なドーパント濃度密度が実現される。一様な密度を本体の厚さに関係なく有することで、異なる厚さに対して異なるドーピング総量になる。例えば、一様なドーピング濃度が作られるとき、より厚い本体はより薄い本体よりも大きなドーピング総量を有する。このドーピング量の変化で、異なる本体厚さが補償され、本体厚さの変化の結果として通常生じるかもしれない閾値電圧変化がより小さくなる。図25に注意を向けると、通常の補償されないダブル・ゲート・トランジスタと、より一様なドーピング濃度を実現して閾値電圧ばらつきを補償したダブル・ゲート・トランジスタとについて、本体厚さ(TSI)に対してプロットされた閾値電圧(V)が、グラフに示される。
図示のように、本体が一様にドープされたダブル・ゲート・トランジスタの閾値電圧は、本体厚さの関数としてより小さな変化を示す。このように、本体の一様な濃度密度のドーピングは、通常閾値電圧に大きな影響を及ぼすかもしれない本体厚さのばらつきを補償するのに役立つ。
したがって、本発明は、改良されたデバイス性能および密度をもたらすダブル・ゲート・トランジスタおよびそれを形成する方法を提供する。本発明の好ましい実施例は、非対称ゲート・ドーピングを有するダブル・ゲート・トランジスタを使用し提供し、このダブル・ゲート・トランジスタでは、ダブル・ゲートの一方が縮退したn型にドープされ、他方が縮退したp型にドープされる。ゲートの一方をn型にドープし、他方をp型にドープすることで、結果として得られるデバイスの閾値電圧が改善される。特に、2つのゲートを非対称にドープすることで、結果として得られるトランジスタは、本体を適切にドープした場合、低電圧CMOS動作を可能にする範囲の閾値電圧を有することができる。
フィン型ダブル・ゲート電界効果トランジスタを使用する例示の実施例に関連して本発明を特に示しまた説明したが、当業者は認めるであろうが、好ましい実施例は、他の型のダブル・ゲート・トランジスタに適用することができ、また実施の細部の変化は本発明の精神および範囲から逸脱することなく行うことができる。例えば、また当業者は理解するであろうが、本発明は、異なる分離技術(例えば、LOCOS、埋込み酸化物(ROX)など)、ウェルおよび基板技術、ドーパントの型、エネルギーおよび種に応用することができる。また、理解されるであろうが、本発明の精神は、他の半導体技術(例えば、BiCMOS、バイポーラ、シリコン・オン・インシュレータ(SOI)、シリコン・ゲルマニウム(SiGe))に応用することができる。
第1の製造方法を示す流れ図である。 製造中の例示のダブル・ゲート・トランジスタを示す断面側面図である。 製造中の例示のダブル・ゲート・トランジスタを示す断面側面図である。 製造中の例示のダブル・ゲート・トランジスタを示す断面側面図である。 製造中の例示のダブル・ゲート・トランジスタを示す断面側面図である。 製造中の例示のダブル・ゲート・トランジスタを示す断面側面図である。 製造中の例示のダブル・ゲート・トランジスタを示す断面側面図である。 製造中の例示のダブル・ゲート・トランジスタを示す断面側面図である。 製造中の例示のダブル・ゲート・トランジスタを示す断面側面図である。 製造中の例示のダブル・ゲート・トランジスタを示す断面側面図である。 製造中の例示のダブル・ゲート・トランジスタを示す透視図である。 製造中の例示のダブル・ゲート・トランジスタを示す透視図である。 製造中の例示のダブル・ゲート・トランジスタを示す透視図である。 製造中の例示のダブル・ゲート・トランジスタを示す透視図である。 製造中の例示のダブル・ゲート・トランジスタを示す透視図である。 第2の製造方法を示す流れ図である。 製造中の第2の例示のダブル・ゲート・トランジスタを示す断面側面図である。 製造中の第2の例示のダブル・ゲート・トランジスタを示す断面側面図である。 製造中の第2の例示のダブル・ゲート・トランジスタを示す断面側面図である。 製造中の第2の例示のダブル・ゲート・トランジスタを示す断面側面図である。 製造中の第2の例示のダブル・ゲート・トランジスタを示す断面側面図である。 製造中の第2の例示のダブル・ゲート・トランジスタを示す断面側面図である。 製造中の第2の例示のダブル・ゲート・トランジスタを示す断面側面図である。 製造中の第2の例示のダブル・ゲート・トランジスタを示す断面側面図である。 閾値電圧対本体厚さを示すグラフである。

Claims (10)

  1. フィン型ダブル・ゲート電界効果トランジスタを形成する方法であって、
    a)埋め込まれた誘電体層の上にシリコン層を備えるシリコン・オン・インシュレータ基板を用意する(101)ステップ、
    b)前記シリコン層の上側にマンドレル層(212)を形成し、該マンドレル層をパターン形成し、該マンドレル層の前記パターン形成により露出された側の端部に側壁スペーサを設ける(102)ステップと、
    c)残っているマンドレル層及び前記側壁スペーサをマスクとして用いて前記シリコン層をパターン形成して(104)、ダブル・ゲートの一方が形成される領域を画定するステップと、
    d)前記シリコン層の、前記パターン形成により露出された側面にゲート酸化膜を形成する(104)ステップと、
    e)前記ダブル・ゲートの一方が形成される領域に、第1導電型のポリシリコンを堆積させた後に平坦化するステップと、
    f)前記残っているマンドレル層を選択的に除去して、前記側壁スペーサの、前記マンドレル層側の側面を露出させるステップと、
    g)除去された前記残っているマンドレル層の下側のシリコン層を除去して、前記ダブル・ゲートの他方が形成される領域を画定するステップと、
    h)前記側壁スペーサの下に位置するシリコン層の、ステップg)における前記除去により露出された側面にゲート酸化膜(221)を形成するステップと、
    i)前記ダブル・ゲートの他方が形成される領域に第2導電型のポリシリコンを堆積させた後に平坦化する(112)ステップと、
    j)前記側壁スペーサを除去し、該除去により形成された穴に真性ポリシリコンを充填するステップと、
    k)前記第1導電型のポリシリコンと第2導電型のポリシリコンの上に延びる窒化物層を形成し、該窒化物層をマスクとして用いて、該第1導電型のポリシリコンと第2導電型のポリシリコンの、前記トランジスタのソース及びドレイン領域に近接する部分を選択的に除去するステップと、
    を備える方法。
  2. フィン型ダブル・ゲート電界効果トランジスタを形成する方法であって、
    a)埋め込まれた誘電体層の上にシリコン層を備えるシリコン・オン・インシュレータ基板を用意する(101)ステップ、
    b)前記シリコン層の上側にマンドレル層(212)を形成し、前記マンドレル層をパターン形成するステップと、
    c)残っているマンドレル層をマスクとして用いて前記シリコン層をパターン形成してダブル・ゲートの一方が形成される領域を画定する(104)ステップと、
    d)前記シリコン層の前記パターン形成により露出された側面にゲート酸化膜を形成する(104)ステップと、
    e)前記ダブル・ゲートの一方が形成される領域に、第1導電型のポリシリコンを堆積させた後に平坦化するステップと、
    f)残っている前記マンドレル層を選択的に除去するステップと、
    g)前記第1導電型のポリシリコンの、ステップf)における前記除去により露出された側の端部に側壁スペーサを設けるステップと、
    h)前記除去されたマンドレル層の下側のシリコン層であって前記側壁スペーサで覆われていない部分のシリコン層を除去して、前記ダブル・ゲートの他方が形成される領域を画定するステップと、
    i)前記側壁スペーサの下に位置するシリコン層の、ステップh)における前記除去により露出された側面にゲート酸化膜(221)を形成するステップと、
    j)前記ダブル・ゲートの他方が形成される領域に第2導電型のポリシリコンを堆積させた後に平坦化する(112)ステップと、
    k)前記側壁スペーサを除去し、それにより形成された穴に真性ポリシリコンを充填するステップと、
    l)前記第1導電型のポリシリコンと第2導電型のポリシリコンの上に延びる窒化物層を形成し、該窒化物層をマスクとして用いて、該第1導電型のポリシリコンと第2導電型のポリシリコンの、前記トランジスタのソース及びドレイン領域に近接する部分を選択的に除去するステップと、
    を備える方法。
  3. 前記シリコン層のソース及びドレイン領域にドーパントを打込むステップをさらに備える請求項1または2記載の方法。
  4. ステップd)において、ゲート酸化膜を形成する前に、シリコン層の露出された側から前記シリコン層にドーパントを打込み、及び、ステップh)において、ゲート酸化膜を形成する前に、シリコン層の露出された側から前記シリコン層にドーパントを打込むステップをさらに含む、請求項1記載の方法。
  5. ステップd)において、ゲート酸化膜を形成する前に、シリコン層の露出された側から前記シリコン層にドーパントを打込み、及び、ステップi)において、ゲート酸化膜を形成する前に、シリコン層の露出された側から前記シリコン層にドーパントを打込むステップをさらに含む、請求項2記載の方法。
  6. 前記シリコン層のソース及びドレイン領域にドーパントを打込むステップが、ハロー打込みにより行なわれる、請求項に記載の方法。
  7. 前記第1導電型のポリシリコンがn型ポリシリコンであり、前記第2導電型のポリシリコンがp型ポリシリコンである、請求項1〜6のいずれか1項に記載の方法。
  8. ステップb)において、前記シリコン層の上に第1酸化物層、窒化物層、及び第2酸化物層を順次形成し、該第2酸化物層の上に前記マンドレル層が形成される、請求項1または2に記載の方法。
  9. 前記側壁スペーサが窒化珪素を堆積した後、指向性エッチングを行なうことにより形成される、請求項1または2記載の方法。
  10. 請求項1〜9のいずれか1項に記載の方法を使用して製造されるフィン型ダブル・ゲート電界効果トランジスタ。
JP2003507897A 2001-06-21 2002-06-06 ダブル・ゲート・トランジスタおよび製法 Expired - Fee Related JP4453960B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/886,823 US6960806B2 (en) 2001-06-21 2001-06-21 Double gated vertical transistor with different first and second gate materials
PCT/EP2002/006202 WO2003001604A2 (en) 2001-06-21 2002-06-06 Double gated transistor and method of fabrication

Publications (2)

Publication Number Publication Date
JP2004531085A JP2004531085A (ja) 2004-10-07
JP4453960B2 true JP4453960B2 (ja) 2010-04-21

Family

ID=25389849

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003507897A Expired - Fee Related JP4453960B2 (ja) 2001-06-21 2002-06-06 ダブル・ゲート・トランジスタおよび製法

Country Status (9)

Country Link
US (3) US6960806B2 (ja)
JP (1) JP4453960B2 (ja)
KR (1) KR100518128B1 (ja)
CN (1) CN1272855C (ja)
AU (1) AU2002317778A1 (ja)
DE (1) DE10296953B4 (ja)
IL (1) IL159476A0 (ja)
TW (1) TW578295B (ja)
WO (1) WO2003001604A2 (ja)

Families Citing this family (76)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6770516B2 (en) * 2002-09-05 2004-08-03 Taiwan Semiconductor Manufacturing Company Method of forming an N channel and P channel FINFET device on the same semiconductor substrate
US20060154423A1 (en) * 2002-12-19 2006-07-13 Fried David M Methods of forming structure and spacer and related finfet
JP2005086024A (ja) * 2003-09-09 2005-03-31 Toshiba Corp 半導体装置及びその製造方法
KR100506460B1 (ko) * 2003-10-31 2005-08-05 주식회사 하이닉스반도체 반도체소자의 트랜지스터 및 그 형성방법
US7091566B2 (en) * 2003-11-20 2006-08-15 International Business Machines Corp. Dual gate FinFet
US7176092B2 (en) * 2004-04-16 2007-02-13 Taiwan Semiconductor Manufacturing Company Gate electrode for a semiconductor fin device
KR100555569B1 (ko) 2004-08-06 2006-03-03 삼성전자주식회사 절연막에 의해 제한된 채널영역을 갖는 반도체 소자 및 그제조방법
US6969659B1 (en) 2004-08-12 2005-11-29 International Business Machines Corporation FinFETs (Fin Field Effect Transistors)
US20060046392A1 (en) * 2004-08-26 2006-03-02 Manning H M Methods of forming vertical transistor structures
US7151040B2 (en) * 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7910288B2 (en) 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US7655387B2 (en) * 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
KR100679693B1 (ko) * 2004-10-29 2007-02-09 한국과학기술원 비대칭적인 일함수를 갖는 이중 게이트 구조를 이용한2비트 비휘발성 메모리 소자 제조 방법 및 그 구조
US7193279B2 (en) * 2005-01-18 2007-03-20 Intel Corporation Non-planar MOS structure with a strained channel region
US7202117B2 (en) * 2005-01-31 2007-04-10 Freescale Semiconductor, Inc. Method of making a planar double-gated transistor
US7518196B2 (en) 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US7390746B2 (en) 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7253118B2 (en) 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7611944B2 (en) 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
US7429536B2 (en) 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7560390B2 (en) 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7396781B2 (en) 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
US7413981B2 (en) 2005-07-29 2008-08-19 Micron Technology, Inc. Pitch doubled circuit layout
US8123968B2 (en) 2005-08-25 2012-02-28 Round Rock Research, Llc Multiple deposition for integration of spacers in pitch multiplication process
US7816262B2 (en) 2005-08-30 2010-10-19 Micron Technology, Inc. Method and algorithm for random half pitched interconnect layout with constant spacing
US7829262B2 (en) * 2005-08-31 2010-11-09 Micron Technology, Inc. Method of forming pitch multipled contacts
US7572572B2 (en) 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7393789B2 (en) 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US7759197B2 (en) 2005-09-01 2010-07-20 Micron Technology, Inc. Method of forming isolated features using pitch multiplication
US7776744B2 (en) 2005-09-01 2010-08-17 Micron Technology, Inc. Pitch multiplication spacers and methods of forming the same
US7842558B2 (en) 2006-03-02 2010-11-30 Micron Technology, Inc. Masking process for simultaneously patterning separate regions
US7476933B2 (en) 2006-03-02 2009-01-13 Micron Technology, Inc. Vertical gated access transistor
US7902074B2 (en) 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
US8003310B2 (en) 2006-04-24 2011-08-23 Micron Technology, Inc. Masking techniques and templates for dense semiconductor fabrication
US7488685B2 (en) 2006-04-25 2009-02-10 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
US7795149B2 (en) 2006-06-01 2010-09-14 Micron Technology, Inc. Masking techniques and contact imprint reticles for dense semiconductor fabrication
US7723009B2 (en) 2006-06-02 2010-05-25 Micron Technology, Inc. Topography based patterning
KR101146588B1 (ko) 2006-08-11 2012-05-16 삼성전자주식회사 Fin 구조체 및 이를 이용한 핀 트랜지스터의 제조방법
US7611980B2 (en) 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
US7666578B2 (en) 2006-09-14 2010-02-23 Micron Technology, Inc. Efficient pitch multiplication process
US7659579B2 (en) * 2006-10-06 2010-02-09 International Business Machines Corporation FETS with self-aligned bodies and backgate holes
JP2008098553A (ja) 2006-10-16 2008-04-24 Elpida Memory Inc 半導体装置及びその製造方法
US7960760B2 (en) * 2006-12-28 2011-06-14 Texas Instruments Incorporated Electrically programmable fuse
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US8563229B2 (en) 2007-07-31 2013-10-22 Micron Technology, Inc. Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
US7737039B2 (en) 2007-11-01 2010-06-15 Micron Technology, Inc. Spacer process for on pitch contacts and related structures
US7659208B2 (en) 2007-12-06 2010-02-09 Micron Technology, Inc Method for forming high density patterns
US7790531B2 (en) 2007-12-18 2010-09-07 Micron Technology, Inc. Methods for isolating portions of a loop of pitch-multiplied material and related structures
US8030218B2 (en) 2008-03-21 2011-10-04 Micron Technology, Inc. Method for selectively modifying spacing between pitch multiplied structures
US7982269B2 (en) * 2008-04-17 2011-07-19 International Business Machines Corporation Transistors having asymmetric strained source/drain portions
US8076208B2 (en) 2008-07-03 2011-12-13 Micron Technology, Inc. Method for forming transistor with high breakdown voltage using pitch multiplication technique
US8101497B2 (en) 2008-09-11 2012-01-24 Micron Technology, Inc. Self-aligned trench formation
US8492282B2 (en) 2008-11-24 2013-07-23 Micron Technology, Inc. Methods of forming a masking pattern for integrated circuits
US7999332B2 (en) * 2009-05-14 2011-08-16 International Business Machines Corporation Asymmetric semiconductor devices and method of fabricating
US8617937B2 (en) 2010-09-21 2013-12-31 International Business Machines Corporation Forming narrow fins for finFET devices using asymmetrically spaced mandrels
EP2731109B1 (en) 2010-12-14 2016-09-07 SanDisk Technologies LLC Architecture for three dimensional non-volatile storage with vertical bit lines
CN102903750B (zh) * 2011-07-27 2015-11-25 中国科学院微电子研究所 一种半导体场效应晶体管结构及其制备方法
US9171584B2 (en) 2012-05-15 2015-10-27 Sandisk 3D Llc Three dimensional non-volatile storage with interleaved vertical select devices above and below vertical bit lines
CN103426756B (zh) * 2012-05-15 2016-02-10 中国科学院微电子研究所 半导体器件及其制造方法
KR101286707B1 (ko) * 2012-05-17 2013-07-16 서강대학교산학협력단 독립된 듀얼 게이트의 핀펫 구조를 갖는 터널링 전계효과 트랜지스터 및 그 제조방법
KR101402697B1 (ko) * 2012-12-11 2014-06-03 한국과학기술원 독립적 및 대칭적인 이중 게이트 구조를 이용한 전자-정공 이중층 터널 전계 효과 트랜지스터 및 그 제조 방법
US9202694B2 (en) 2013-03-04 2015-12-01 Sandisk 3D Llc Vertical bit line non-volatile memory systems and methods of fabrication
US9165933B2 (en) 2013-03-07 2015-10-20 Sandisk 3D Llc Vertical bit line TFT decoder for high voltage operation
CN104576386B (zh) * 2013-10-14 2018-01-12 中国科学院微电子研究所 一种FinFET及其制造方法
KR102124063B1 (ko) 2013-10-29 2020-06-18 삼성디스플레이 주식회사 표시 장치 및 이의 제조 방법
US9362338B2 (en) 2014-03-03 2016-06-07 Sandisk Technologies Inc. Vertical thin film transistors in non-volatile storage systems
US9379246B2 (en) 2014-03-05 2016-06-28 Sandisk Technologies Inc. Vertical thin film transistor selection devices and methods of fabrication
US9627009B2 (en) 2014-07-25 2017-04-18 Sandisk Technologies Llc Interleaved grouped word lines for three dimensional non-volatile storage
CN105990344B (zh) * 2015-02-28 2018-10-30 北大方正集团有限公司 一种cmos集成电路
US9450023B1 (en) 2015-04-08 2016-09-20 Sandisk Technologies Llc Vertical bit line non-volatile memory with recessed word lines
US9793270B1 (en) 2016-04-21 2017-10-17 International Business Machines Corporation Forming gates with varying length using sidewall image transfer
US10381348B2 (en) 2017-01-10 2019-08-13 International Business Machines Corporation Structure and method for equal substrate to channel height between N and P fin-FETs
US10734479B1 (en) 2019-01-23 2020-08-04 International Business Machines Corporation FinFET CMOS with asymmetric gate threshold voltage
US10790357B2 (en) 2019-02-06 2020-09-29 International Business Machines Corporation VFET with channel profile control using selective GE oxidation and drive-out
US11158715B2 (en) 2019-06-20 2021-10-26 International Business Machines Corporation Vertical FET with asymmetric threshold voltage and channel thicknesses

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3872491A (en) 1973-03-08 1975-03-18 Sprague Electric Co Asymmetrical dual-gate FET
US4041519A (en) * 1975-02-10 1977-08-09 Melen Roger D Low transient effect switching device and method
US5032529A (en) * 1988-08-24 1991-07-16 Harris Corporation Trench gate VCMOS method of manufacture
US4996575A (en) * 1989-08-29 1991-02-26 David Sarnoff Research Center, Inc. Low leakage silicon-on-insulator CMOS structure and method of making same
JP2994670B2 (ja) * 1989-12-02 1999-12-27 忠弘 大見 半導体装置及びその製造方法
US5391506A (en) * 1992-01-31 1995-02-21 Kawasaki Steel Corporation Manufacturing method for semiconductor devices with source/drain formed in substrate projection.
US5563093A (en) 1993-01-28 1996-10-08 Kawasaki Steel Corporation Method of manufacturing fet semiconductor devices with polysilicon gate having large grain sizes
JP3252578B2 (ja) * 1993-12-27 2002-02-04 ソニー株式会社 平面型絶縁ゲート電界効果トランジスタの製法
JP3238820B2 (ja) 1994-02-18 2001-12-17 富士通株式会社 半導体装置
JPH0832040A (ja) 1994-07-14 1996-02-02 Nec Corp 半導体装置
US5576227A (en) * 1994-11-02 1996-11-19 United Microelectronics Corp. Process for fabricating a recessed gate MOS device
JPH08204191A (ja) 1995-01-20 1996-08-09 Sony Corp 電界効果トランジスタ及びその製造方法
US5512517A (en) 1995-04-25 1996-04-30 International Business Machines Corporation Self-aligned gate sidewall spacer in a corrugated FET and method of making same
DE19535629C1 (de) 1995-09-25 1996-09-12 Siemens Ag Verfahren zur Herstellung einer integrierten CMOS-Schaltung
DE19548056C1 (de) * 1995-12-21 1997-03-06 Siemens Ag Verfahren zur Herstellung einer Gateelektrode für eine MOS-Struktur
JPH09205152A (ja) 1996-01-25 1997-08-05 Sony Corp 2層ゲート電極構造を有するcmos半導体装置及びその製造方法
US5780330A (en) 1996-06-28 1998-07-14 Integrated Device Technology, Inc. Selective diffusion process for forming both n-type and p-type gates with a single masking step
US5670397A (en) 1997-01-16 1997-09-23 Powerchip Semiconductor Corp. Dual poly-gate deep submicron CMOS with buried contact technology
US6015991A (en) 1997-03-12 2000-01-18 International Business Machines Corporation Asymmetrical field effect transistor
US5933721A (en) 1997-04-21 1999-08-03 Advanced Micro Devices, Inc. Method for fabricating differential threshold voltage transistor pair
US5939937A (en) 1997-09-29 1999-08-17 Siemens Aktiengesellschaft Constant current CMOS output driver circuit with dual gate transistor devices
US6197672B1 (en) 1998-12-08 2001-03-06 United Microelectronics Corp. Method for forming polycide dual gate
US6265293B1 (en) * 1999-08-27 2001-07-24 Advanced Micro Devices, Inc. CMOS transistors fabricated in optimized RTA scheme
US6362057B1 (en) * 1999-10-26 2002-03-26 Motorola, Inc. Method for forming a semiconductor device
US6396108B1 (en) * 2000-11-13 2002-05-28 Advanced Micro Devices, Inc. Self-aligned double gate silicon-on-insulator (SOI) device
US6693009B1 (en) * 2000-11-15 2004-02-17 Advanced Micro Devices, Inc. Flash memory cell with minimized floating gate to drain/source overlap for minimizing charge leakage
US6458662B1 (en) * 2001-04-04 2002-10-01 Advanced Micro Devices, Inc. Method of fabricating a semiconductor device having an asymmetrical dual-gate silicon-germanium (SiGe) channel MOSFET and a device thereby formed
US6586296B1 (en) * 2001-04-30 2003-07-01 Cypress Semiconductor Corp. Method of doping wells, channels, and gates of dual gate CMOS technology with reduced number of masks

Also Published As

Publication number Publication date
WO2003001604A2 (en) 2003-01-03
US20050221543A1 (en) 2005-10-06
DE10296953B4 (de) 2010-04-08
US7288445B2 (en) 2007-10-30
WO2003001604A3 (en) 2003-09-04
IL159476A0 (en) 2004-06-01
JP2004531085A (ja) 2004-10-07
CN1518772A (zh) 2004-08-04
DE10296953T5 (de) 2004-04-29
US7645650B2 (en) 2010-01-12
AU2002317778A1 (en) 2003-01-08
US20020197781A1 (en) 2002-12-26
CN1272855C (zh) 2006-08-30
KR20040012900A (ko) 2004-02-11
KR100518128B1 (ko) 2005-10-04
TW578295B (en) 2004-03-01
US6960806B2 (en) 2005-11-01
US20070254438A1 (en) 2007-11-01

Similar Documents

Publication Publication Date Title
JP4453960B2 (ja) ダブル・ゲート・トランジスタおよび製法
US11515418B2 (en) Vertical tunneling FinFET
US6492212B1 (en) Variable threshold voltage double gated transistors and method of fabrication
US7256458B2 (en) Doubly asymmetric double gate transistor structure
TWI495018B (zh) 藉由晚期鰭部蝕刻以在圖案化的淺溝槽隔離區域上形成鰭部電晶體
US9722043B2 (en) Self-aligned trench silicide process for preventing gate contact to silicide shorts
JP3378414B2 (ja) 半導体装置
US6762101B2 (en) Damascene double-gate FET
US9425105B1 (en) Semiconductor device including self-aligned gate structure and improved gate spacer topography
US7687365B2 (en) CMOS structure for body ties in ultra-thin SOI (UTSOI) substrates
US9245975B2 (en) Recessed channel insulated-gate field effect transistor with self-aligned gate and increased channel length
US20060175669A1 (en) Semiconductor device including FinFET having metal gate electrode and fabricating method thereof
US20050124099A1 (en) Selfaligned source/drain finfet process flow
US20070158743A1 (en) Thin silicon single diffusion field effect transistor for enhanced drive performance with stress film liners
US7648880B2 (en) Nitride-encapsulated FET (NNCFET)
CN106328537B (zh) 半导体元件及制造方法
TW202129964A (zh) 先進邏輯操作的電荷捕捉tfet半導體元件製作方法
US20230402520A1 (en) Staircase stacked field effect transistor

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20070903

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080108

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080404

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20080404

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20080404

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20080404

RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20090108

RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20090115

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20090126

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20090212

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090317

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090615

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20090615

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20090728

RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20090730

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20090728

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090908

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091016

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100119

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20100120

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100129

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130212

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130212

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140212

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees