TW589684B - Method for depositing refractory metal layers employing sequential deposition techniques - Google Patents

Method for depositing refractory metal layers employing sequential deposition techniques Download PDF

Info

Publication number
TW589684B
TW589684B TW091123374A TW91123374A TW589684B TW 589684 B TW589684 B TW 589684B TW 091123374 A TW091123374 A TW 091123374A TW 91123374 A TW91123374 A TW 91123374A TW 589684 B TW589684 B TW 589684B
Authority
TW
Taiwan
Prior art keywords
tungsten
substrate
deposition
layer
scope
Prior art date
Application number
TW091123374A
Other languages
English (en)
Inventor
Hongbin Fang
Hyung Suk A Yoon
Ken-Kaung Lai
Chih-Chung Yang
James Horng
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of TW589684B publication Critical patent/TW589684B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0209Pretreatment of the material to be coated by heating
    • C23C16/0218Pretreatment of the material to be coated by heating in a reactive atmosphere
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • C23C16/0281Deposition of sub-layers, e.g. to promote the adhesion of the main coating of metallic sub-layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/16Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal carbonyl compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Description

柒、發明說明 【發明所屬之技術領域】 本發明之具體實施例為關於半導體基材之 且特別是關於沉積耐火金屬層於H體基材 例〇 【先前技術】 半導體製程工業持續致力於在具有更大表 上,增加沉積於基材上沉積層均-性同時,亦 生產量。上述技術因素與新材料的結合,使單 上可整合更多電路。但當電路整合可獲得更高 於沉積層厚度均-性與相關製程控制的要求也 目則已發展多種兼顧維持經濟效益與維持沉積 制性的技術,在基材上產生沉積層。 化學氣相沉積(CVD)為數種最常用於於基材 層之沉積製程之一。化學氣相沉積為一與通, dependent)之沉積技術,需要對基材溫度與引入 驅物加以精確控制,以使製得之沉積層厚度具 基材尺寸加大,為了維持適當的均一性,上述 更具關鍵性,使得製程室設計與氣體流量技術 雜。 循環沉積為一種化學氣相沉積之變化,與 積比較,具有較佳之階梯覆蓋性。循環沉積是 成長(Atomic Layer Epitaxy,ALE)技術為基礎, 製程處理。 之具體實施 面積之基材 能獲得更大 位面積基材 水準時,對 就越高。故 層特性可控 上產生沉積 t 有關(flux-製程室之前 均一性。當 要求會變得 變得更為複 化學氣相沉 以原子暴晶 並使用化學 吸附技術以將反 材表面。上述結 前驅物注入沉積 冲吹方式加以區 沉積層上,而在 進行直到形成所 以高沉積速 間具衝突性,往 突在形成為介電 耐火金屬層沉積 學氣相沉積技術 屬,以期能節省 路整合的趨勢,S 因為上述製程具 術進行鎢金屬沉: 以傳統化學 例言之,在半導選 以毯式沉積方式 金屬的沉積速率 i 5 5 0 c ’加以丨 體電路覆蓋部分 使用鎢,因為所 糖’其折射性會 造成困擾。且鐵 應性前驅物分子之飽和單分子層沉積於基 果是藉由以交替脈衝方式將適當之反應性 室。每一次反應性前驅物注射是利用氮氣 隔,以提供新的原子層添加物沉積於先前 基材上形成均一層。上述循環係不斷重複 需要的沉積層厚度。 率形成薄膜層與提供適當階梯覆蓋兩技術 往必須犧牲其一以滿足另一目的。上述衝 層所區隔之相鄰金屬層間的接點時,使用 以覆蓋間隙與介層洞時最為明顯。過去化 •即已被用來沉積傳導性材料例如耐火金 成本與快速的形成接點。鑑於半導體上電 高已因為具有優越階梯覆蓋特性而被採用。 有高產率的特性,故使用化學氣相沉積技 帻已被廣泛應用於半導體製程中。 氣相沉積方式沉積鎢,仍有幾項缺點。舉 t晶圓上,在溫度40(TC以下之製程條件下, 形成鎢金屬層時,係為一耗時製程。雖鐵 可以藉由增加沉積溫度,舉例言之,5〇(rc 皮進。但上述溫度範圍可能必須在所形成積 之結構或操作上的完整性作一妥協。另外 沉積出的表面與矽基材表面相比下較為粗 較珍基材低20%或略低,因而對光刻製程 較難得到具均一性之沉積層,此外較差的
表面均一性亦會使薄膜抗性增加。 因此,在使用循環沉積技術形成導 體層具有均一性,必須對上述技術加以这 【内容】 本發明具體實施例包含一種於基材 <改良方法。本發明之一態樣為,上述 面置於製程室中之適當位置,將基材表 以父替脈衝方式使含鎢化合物與由含与 (Sl2H6)、二氯矽烷(sicl2H2)、上述物種 種之組合形成的族群中所選取之作為還 進入上述製程室中形成成核層沉積。 本發明之另一態樣為,上述方法包 於硼化物中,以交替脈衝方式使含鎢化1 to進入上述製程室中形成成核層沉積, 形成表體鎢金屬沉積膜。 以上未提及之本發明之另一態樣為 基材表面暴露於二硼烷、以交替脈衝方 石夕燒氣體進入上述製程室中形成成 核層上形成表體鎢金屬沉積膜。上述表 以循環沉積、化學氣相沉積、或物理氣$ 【實施方式】 本發明具體實施例包含一種於基材 體層時,若希望導 L進。 表面形成鎢金屬層 方法包含將基材表 面暴露於硼化物, r燒(SiH4)、二石夕燒 之衍生物、上述物 原劑之製程氣體, 含將基材表面暴露 卜物與矽烷(3旧4)氣 之後並於成核層上 ,上述方法包含將 式使含鎢化合物與 *核層沉積,並於成 體鎢金屬沉積膜可 目沉積技術製得。 表面形成鎢金屬層 589684 之改良製程0上述製牙军你乂古 w 祆係使用循裱沉積技術並提供一種且 明顯改進之表面均-性與可增加產量之鎮金屬層。 本發明之一態樣為,μ、+<#] 、、上述製程包含於進行鎢沉積前, 先以硼化物浸潰活化被覆苦 ^ 基材表面。雖一般認為任何 覆1物與其衍生物均可得到相似效果,但較佳之狀況為被 4基材係暴露Μ硼燒(Β2Η6)中…般㈣下,刪化物 :以類同後續之鎢循環沉積製程之製程條件,同步 漀潰約5至30秒,從而可使產量明顯上升。
此處所扣之「基材表面(substrate surface)」為任一於 其上進行薄膜處理之基材表面。舉例言之,根據應用用途: 基材表面可為切、氧切、摻雜妙、錯、坤化鎵玻璃 質、藍寶石與任何其他金屬,金屬氮化物、金屬合金之材 料與其他傳導性材料。基材表面尚可包含介電材料如二 氧化矽與碳摻雜的氧化矽。
此處所指之「循環沉積(cyclical dep〇siti〇n)」為相繼引 入兩種或以上反應物種,以在基材表面沉積單層材料。兩 種或以上的反應化合物交替引入製程室之反應區中。每一 反應物種係使用時間延遲方式區隔,以使每一化合物能附 著於基材表面並/或與基材表面產生反應。本發明之一態樣 為,第一種前驅物或反應物A以脈衝方式進入反應區,之 後伴隨第一次時間延遲。之後,第二種前驅物或化合物B 以脈衝方式引入反應區,之後伴隨第二次時間延遲。在每 一次時間延遲中,惰性氣體,例如氬,引入製程室中以沖 吹方式(purge)清洗反應區或移除反應區中任何殘餘之反應 9 589684 化合物。除上述方式外,清洗氣體於沉積製程中亦可為連 續性流動,所以在反應化合物脈衝間之時間延遲中,只有 清洗氣體仍在流動。反應化合物係以交替脈衝方式引入, 直到在基材表面上得到所需之薄膜或膜厚度。 第1圖繪示一種示範性製程序列丨〇〇,上述製程序列為 根據本發明之一具體實施例形成改良之鎢金屬薄膜。所要 處理<基材首先置於可實施循環沉積之製程室中,並進行 製程條件調整步驟(步驟110)。之後將上述基材暴露於一種 或更多之硼化物或硼化物衍生物中,例如乙硼烷,5至3〇 移(步騍120),在步驟130中,一適當載體氣體伴隨著含鎢 化合物脈衝被引入製程室中。之後引入惰性氣體脈衝於製 程室中(步驟140)以清洗或移除任何殘留的含鎢化合物。之 後’一適當的載體氣體伴隨著還原劑化合物脈衝被引入製 私A中(步驟1 5〇),上述還原氣體可使用與硼化物浸潰步驟 相同之(步驟120)化合物或,視裝置之用途或所要求之產量, 可為其他相異化合物。之後引入惰性氣體脈衝於製程室中(步 驟1 60)以清洗或移除任何殘留的含鎢化合物。 適當的載體氣體包含氦(He)、氬(Ar)、氮(n2)、氫(h2), 與上述物種之組合物。一般來說’硼化物係以氬作為載體 氣體,作為還原劑之化合物則利用氫作為載體氣體。具實 用性之沖吹氣體包含氬、氦與上述物種之組合物。 此處所指之「脈衝」為以間隔或非連續方式引入製程 室反應區中之特定化合物質量。脈衝中所含之特定化合物 質量視各脈衝持續時間不同’可隨著時間改變。每一脈衝 10 《持續時間,與數個因子有關,例如 、’ 體積容量、所連妗 ,所使用之製程室的 應性。 、玉、統、與化合物本身之揮發性/反 參閱步驟1 70,在矣一沉萨 可於基材表面形成具特 Λ %後(步驟130至160), 姦,„接 疋孚又又鎢金屬成核層。一般來嗖,
每一沉積循環可形成厚7埃a)mA 定裝置要求,飞* )至8埃(a)之材料層。根據特 之鎢金屬成核層:上要後續沉積循環 16〇)可以持續重複,直=式’上述沉積猶環(㈣130至 當得到所需厚产後广到具有所需厚度之鎢金屬薄膜。 之進行。 艾驟I80所示方式,停止製程 適^的含鶏金屬化合物丄β (W(CO) ^ ^ ^ 物匕σ穴脱化鎢(WF6)與六羰化鎢 …、6、《組合。適合作為還原劑之化合物包含,舉 4 、一 矽烷(Si2H6)、二氯矽烷(SiCl2H2)、 硼貌⑽3)、:㈣(Μ)、三㈣(响)、四職⑽士 五硼WB5H士六们完(Μ。、七硼燒(Μ”)、八硼燒 队H:)、九蝴_9h27)、十㈣(BA。)與上述物種之組合。 乐1圖〈循環沉積製程-般為於壓力介於1托耳或90 托耳、放度介於2〇(rc至4〇〇它間之製程條件下進行。硼化 物浸潰步驟(步驟12G)—般於壓力1 ’托耳溫度35G°C之條件 下進行勺10移至約i分鐘。本發明之一態樣為,乙硼烷與 氮起被引入’每一物種之流速約為300立方公分/每分鐘 (k準狀/兄下)至2000立方公分/每分鐘(標準狀況下較佳 ^ Λ下’引入之乙硼燒與氫氣之體積比例為1比1。步驟^ 589684 中之含鎢金屬化合物較佳為六氟化鎢,引入速率約為5立 方公分/每分鐘(標準狀況下)至2〇〇立方公分/每分鐘(標準狀 沉下)’伴隨引入之氬氣則約為1〇〇立方公分/每分鐘(標準 狀況下)至1000立方公分/每分鐘(標準狀況下^步驟15〇 中,較佳作為還原劑之化合物為乙㈣或錢,引入速率 約為5立方公分/每分鐘(標準狀況下)至2〇〇立方公分/每分 鐘(標準狀況下),伴隨引入之氫氣流速約為100立方公分/ 每分鐘(標準狀況下)i 立方公分/每分鐘(標準狀況 下)。惰性氣體’較佳為氬,之脈衝,於步驟14G至160中, 速率-般為於_立方公分/每分鐘(標準狀況下)至議立 方公分/每分鐘(標準狀況下)。每一製程步驟(步驟120至160) 持續時間約為3 0秒。 第2圖績示一部份概要截面圖,上述截面圖為繪示一 具示範性’根據上述具體實施例所得,料沉積鶴金屬層 之製程室16。上述製程室可由美商應用材料公司一clara,
Calif〇rnia)供應’以下為較詳細相關簡短說明。⑺上所述可 於2_年12月12日中請之美國專利中請案第謂16,则 號,標題為「-種有助於連績沉積技術製程系統所使用的 盖組件」+找到更詳細之敘述,其全文以參考文件併入本 文中。 參閱第2圖,製程室、 至16包含一罜主體14、供氣體輸送 用之蓋线20、具熱能控制之基材支撐構件Μ、上述具孰 能控制之基材支撐構件46包含與支㈣48a連結之晶圓支 據臺厓48。上述具熱能控制之基材支撐構件46可於室主體 12 589684 14内垂直移動,故可以控制支撐臺座48與蓋組件20間之 距離。支撐臺座48之括舉機制可參閱在ι999年9月14日 ‘核發給Selyutin等人之美國專利號碼第5951776號,標題 為「自動對準抬舉機制」,其全文以參考文件併入本文中。 支撐臺座48包含用於偵測臺座溫度之埋入式熱電耦 5 0A。舉例τ之,由熱電耦5〇A傳出之訊號可用於回饋電路 中,控制由電源52送入加熱元件52A之電能。上述加熱元 件52A,為裝置於臺座48中或與臺座接觸之電阻加熱元件 或其他傳熱裝置以控制臺座溫度。上述支撐臺座48也可選 用傳熱流體進行加熱(未输出)。 上述支撐臺座48可以任何與製程相容材料製成。上述 材料包含氮化銘與氧化銘(ALA或礬土)並可形塑為可用真 2來挾持住基材49,換言之,上述支撐臺座可作為一真空 夾持器(vaccum chuck)。使用一真空夾持器,上述支撐臺座 48可包含藉支撐架48A與真空源保持流體連接的多個真空 孔洞(未繪出)。 上述室主體14包含, 至少包含柱狀部分與平面部分 任何適當材料形成例如鋁、陶 臺座48為柱狀部分所環繞。上
其係與配置於外罩1 4側壁 使基材可進出室16。 分。柱狀部分與平面部分可由 陶瓷或其他相類似材料。支撐 上述柱狀部分尚包含孔洞6〇, 14B之狹缝閥開口 44對準,以 Μ之底部14A之上, 54區隔出介於室14 底襯組件54之平面部分配置於室14 4 向延伸至柱狀部分。上述底襯附件$ 4 13 589684 與底襯組件5 4柱狀部分與乎面部分間之室溝渠5 8。特定言 之,上述溝渠5 4的第一部份為位於室底部1 4 A與底襯組件 54平面部分之間。上述溝渠54的第二部份為位於室側壁14B 與底襯組件54柱狀部分之間。於溝渠58中引入沖吹氣體 以清除室壁上不希望存在之沉澱並控制室壁與底襯組件5 4 間之熱傳速率。 室主體14尚包含沿室側壁HB配置之幫浦溝渠62。 上述幫浦溝渠62包含多個孔洞,其中之一表示為第一孔洞 62A。幫浦溝渠62包含以通道66與幫浦溝渠62連接之第2 孔洞62B。節流閥18A用於連接幫浦溝渠62與幫浦系統18。 上述幫浦溝渠62、節流閥1 8A與幫浦系統1 8可用來控制由 製程室16流出之氣體質量。與室16連接之孔洞62A的尺 寸、數量與位置,係以氣體自上置有基材的支撐臺座48上 之蓋組件20流出時,仍能為均一氣體流之目的加以設計。 上述蓋組件20包含上置有氣體歧管34之蓋平面2〇A。 上述蓋平面20A為室主體14上部,當置於關閉位置時,可 作為流體密封墊。上述氣體歧管34包含多種控制閥32(只 矣曰出一個)以提供快速、精確,低於丨秒之的閥門開關循環 控制氣體流動。在一具體實施例中,則可達到低於〇 ·丨秒。 閥32為裝置於表面,利用電力控制.之閥門。其中一種閥門 可為日本Fujikin生產,編號為FR_21-6 35 UGF ApD之閥 門。亦可使用其他可提供相同速度與精度之閥門。 蓋組件20尚包含多種氣體源68A、68B、68(:每一氣 把源均藉由室主體14、蓋組件2〇與氣體歧管34所形成之 14 589684 m“),與闕32其中之-維持流體連結關係。 中父:二尚包含當蓋組件2°關閉時,於反應室主體14 中與75。一般來說反應區75包含當製程室16 :於支撐臺架48與蓋狀平面下表面2。間之體二:定 口之’反應區75包含每—閥門32之出口 * 間之體積。 ,、土材上表面49 控制器70調節製程室16各種零件之操作。 器:包含與記憶體’例如隨機記憶體以與硬碟二 /包含f浦“ 18、電源52、閥門32間溝通之微處理器U 例行軟體執行程序係用來執行製程處方 。上 2軟體執行程序’當執行時,可將-般電腦轉為供特: 之電腦,1料控制製程室操作,以執行製程a 二製程。舉例言4,例行軟體執行程序可用:至 電氣控制之閥門的啟動以用於執行本發明之製程序列 述例仃軟體執行程序亦可用於硬體中,應用於特定積卜 路或其他硬體實作中,或將軟體與硬體結合。 " 製程整合 上込鎢金屬成核層當與傳統表體填充技術整合時具 特疋用途’可形成具優越薄膜性質之特定型式。上述整合 系統可包含内含表體填充化學氣相沉積(CVD)與物理氣相;r 積(PVD)製程之循環成核沉積製程。可實作上述製程系統之 15 589684 整合製程系統有 Endura®、Endura SL®、Centura®、Producer*® 製私系統。上述系統均可由位於Santa ciara,California之 Applied Material, Inc取得。上述任一系統可以規劃為至少 包含一供沉積成核層的製程室與至少一供表體填充使用之 化學氣相沉積製程室或物理氣相沉積製程室。 第3圖為一示範性多製程室製程系統3〇〇之概要上視 圖。本說明書之參考文獻,1 993年2月16號核發之美國專 利號碼第5 1 8671 8號,標題為「階段式真空晶圓處理系統 與方法」,亦揭露相似之多製程室製程系統。上述系統3〇〇 # 奴包含負載室302、304以將基材移入或移出系統3〇〇。 一般系統300為真空,但負載室3〇2、3〇4可藉泵集方式使 基材進入系統300中。第一個機械人31〇負貴負載室3〇2、 3 04與第一組之一個或以上製程室312、314、316、318(只 繪出4個)間的基材轉移。每一製程室3 ! 2、3 i 4、3 j 6、3 i 8 可以依特定目的,裝配為適合數種基材製程操作,例如循 環沉積、化學氣相沉積(化學氣相沉積)、·物理氣相沉積 (PVD)、蝕刻、預清洗、除氣、定向分布製程或其他基材處 理1¾。第一個機器人310尚可由一個或以上移轉室322、 324中移入/移出基材。 移轉室322、324被用於當於系統3〇〇中轉移基材時, 維持系統中超高度真空狀態。第二個機器人33〇可負貴移 轉室322 024與第二組之一個或以上製程室332、334、336、 338間的基材轉移。與製程室312、314、316、318相似, 製程室332、334、336、338亦可以依特定目的,裝配為適 16 589684 合數種基材製程操作’例如循環沉積、化學氣相沉積(化战 氣相沉積)、物理氣相沉積(PVD)、蝕刻、預清洗除氣予 定向分布製程。任一製程室312、314、3 1 6 3 1 8 332 334 336、338若對系,統300中特定製程而言,並無需要,則可 由系統3 0 0移除。 在-種排列中’每-製程室332、338均可為循環沉積 製程室用於沉積成核層:每一· w 母^&室334與336均可為循 %沉積室、化學氣相沉積室、. 只主或物理軋相沉積罜以用來形 成表體填充沉積層::每一製程舍’ 芩灰私至312與314可為物理氣相 沉積製程室、化學氣相沉積製葙a . ^ 領I私至、或循環沉積製程室以 用於沉積介電層,每一製程會^ 泉钲至316與318亦可為蝕刻製程 室,蝕刻互連特定型式元件所♦、 · 午斤而 < 孔洞或開口。上述系統3〇〇 之特定安排只為例示,並韭呢在丨& ^ η ^ 卫非限制本發明之範圍。 另一整合系統在單一· 長心至中包含成核層沉積與表體 填充沉積製程。製程室辞金、奴 疋為可操作循環沉積與傳統化學 氣相沉積。於本說明書作為夂去、… F兩参考又獻,於2001年12月12 曰申請之美國專利申請案第 系罘1〇/〇16,300號中即描述一上述 製程室之範例。 在另一整合系統,當一偷 、 ^ 個或以上循環沉積製程室整合 於第一個處理系統中時,一徊 個或以上表體沉積製程室亦整 合於第二個製程系統中。在此、 在此種設疋下,基材首先於進行 ’儿積成核層步驟之第一個萃餘士 1U乐統中加以處理,之後基材移至 進行表體沉積之第二個製程系統中。 此外,旋轉批次式製程系統(carousel type batch 17 589684 processing system),在同一製程室中含有許多反應臺可於 單一製程系統中即包含成核反應與表體層沉積製程。在上 述製程系統中沖吹氣體簾(a purge gas curtain),例如氮氣 簾,可以形成在每一反應臺間,以使每一反應臺都具有微 型或小型反應環境。基材依序列置入系統中然後依序轉動 以將基材傳輸至每一反應臺,並於每一反應臺至少進行一 部份反應。舉例言之,基材可於第一反應臺暴露於循環成 核沉積步驟,然後於後續反應臺進行部分表體填充(bulk fill) 化學氣相沉積反應。此外成核反應可於一個以上反應臺進 行’表體填充也可於一個以上反應臺進行。更進一步言之, 成核層與表體層可以在分離之多桶式系統中進行沉積反 應。每一平臺均可以控制溫度以在每一反應臺進行部分製 程控制。氮製程壓力在每一反應臺間均相同,因為反應臺 係覆蓋於同一製程室中。但因為氣體簾的緣故,在每一反 應臺中仍可進行微型或小型壓力控制。 若不考量系統整合,成核層一般沉積厚度為1 〇埃至约 200埃’表體填充之厚度約為1000埃至1〇〇〇〇埃。但上述 薄膜厚度根據一特定應用所欲形成之特徵尺寸與寬-深比 (aspect ratio)而有所不同。因此薄膜厚度係根據應用方式不 同,以適當尺寸容納不同應用所需之幾何構造。以下為數 個幾何構造範例與可應用根據於此所列之具體實施例所沉 積之成核層而受益的應用。下列敘述僅供說明之用,並非 限制本發明之範圍。 18 589684 鎢金屈閘極 第4圖繪示一根據本發明所述之具體實施例,使用成 核沉積所得之示範性金屬氧化鎢閘極裝置之截面圖4〇〇。上 述裝置400 —般包含被間隔層圍繞,暴露於外之閘極4丨〇, 與形成於基材表面412中之矽源極/汲極區420。間隔層416 一般包含氧化物,例如氧化矽,或氮化物例如氮化碎。 金屬閘極410包含氧化層411,多晶矽層414、氮化鈥 阻障層415與鎢金屬層422。氧化層411將基材412由多晶 矽層414區隔開來。氧化層411與多晶矽層414係使用傳 統沉積技術沉積而得。 氮化飲阻障層412沉積於多晶矽層414上。氮化鈦阻 障層4 1 5可經由物理氣相沉積鈦金屬層與化學氣相沉積氮 化鈦金屬層形成雙層堆疊結構。鈦阻障層415亦可使用如 在本說明書中作為參考文獻,於2001年12月21號提出之 美國專利共同申請案第1〇/〇32293號,標題為「供氮化鈦原 予層沉積使用之製程室硬體設計」中所繪示與描述之製程, 以循環沉積技術形成。 經上述乙硼烷浸潰製程處理基材表面後,以循環沉積 技術將成核層417沉積於阻障層415上。本發明之一態樣 為成核層417以交替脈衝方式,以六氣化嫣(WF6)與乙删 烷(Β#6)進行循環沉積。六氟化鎢(WFj脈衝速率介於以 丄万么刀/每分鐘(標準狀況下)至4〇〇立方公分/每分鐘(標準 狀Λ下)間,例如2〇立方公分/每分鐘(標準狀況下)至 万a刀/每分鐘(標準狀況下)持續3〇秒。載體氣體,例如 19 589684 氨’與六氟化鎢-同傳送,速率介於25〇立方公分/每分鐘(樣 準狀況下)至1〇〇〇 乂方公分’每分鐘(標準狀況下)間例如 立方公分/每分鐘(標準狀況下)至75Q立方公分/每分鐘(標準 狀^下)間。乙刪燒(ΒΛ)脈衝速率介於5立方公分/每分鐘 ⑷準狀況下)至! 50立方公分/每分鐘(標準狀況下)間例如 5立方公分/每分鐘(標準狀況下)至25立方公分/每分鐘(標 準狀況下)之速率持續3G #。載體氣體,例如氫,與乙錢 一同傳达,速率介於2S0立方公分/每分鐘(標準狀況下)至
1000立方公分/每分鐘(標準狀況下)間,例如500立方公分/ 每分鐘(標準狀況下)至750 ±方公分/每分鐘(標準狀況下) 間。基材溫度,在處理室壓力維持^托耳至10拖耳間時, 維持於250°c至35〇t間。六氟化鎢與乙硼烷脈衝間,氬脈 衝持續·約30秒、,以沖吹方式清洗或移⑨製程室中之反應化 合物。 本發明之另—態樣為,〃交替脈衝方式,以六氣化嫣 (WF0)與乙硼烷(Β^6)進行循環沉積形成成核層417。六氟 化鶏(WFJ與氬以上述方式產生3()秒脈衝。錢(siH〇以 1〇立方公分/每分鐘至約500立方公分/每分鐘(標準狀況 下),例如50立方公分/每分鐘至2〇〇立方公分/每分鐘(標 準狀況下),之速率產生30秒脈衝。.載體氣體,例如氫,與 矽烷一起以約250立方公分/每分鐘至1〇〇〇立方公分/每分 鐘(標準狀況下),例如300立方公分/每分鐘至5〇〇立方公 分/每分鐘(標準狀況下),之速率傳輸。氬脈衝之速率約為3〇〇 立方公分/每分鐘至1000立方公分/每分鐘(標準狀況下), 20 況 互万公分/每分鐘至750立方公分/每分鐘(標準狀 續^間,於六氟化鶏(鮮6)與碎燒(SiH4)脈衝間隔中持 、秒。基材溫度,於製程室壓力為i托耳至ι〇粍耳間 ’維持在300°C -400°C之間。
读、、主利用六氟化鎢(WF6)與乙硼烷之脈衝,但未經硼化物 :〉貝處理形成成核層之製程,與利用六氟化鎢(Μ)與矽 烷(S1H4)父替脈衝形成成核層之製程相較有以下優點。乙硼 坑:成《薄膜在形成整合薄膜時,張力較小,&核層介面 <鼠之含量也較低。乙硼燒具有非晶形特性,故可使用較 薄^核層,而仍保持好的阻障特性。❻以如上所述以硼化 物浸潰處理後才與六氟化鶴(WF6)與我(SiH4)交替脈衝形 成之成核層,與矽烷相較會減低原以乙硼烷沉積所佔之優 勢。因此成核層417較佳係以乙硼烷浸潰後再以六氟化鎢 (WF0)與矽烷(siH4)交替脈衝形成。
之後沉積鎢金屬表體填充物422於鎢金屬成核層4 i 7 上。雖然任何金屬沉積製程均可使用,例如傳統化學氣相 ’儿積或物理氣相沉積,但鶏金屬表體填充422可藉由交替 吸附含鎢金屬化合物與如上所述之作為還原劑之氣體以形 成沉積。使用循環沉積技術於鎢金屬沉積可於在本說明書 中作為參考文獻,於2001年12月12曰提出之美國專利申 請案第10/016300號,標題為「一種有助於連續沉積技術之 製程系統所使用的蓋組件」與於2002年2月20日提出之, 美國專利申請案(案號不明),標題為「應用於隨機記憶體 (DRAM)之鎢金屬沉積薄膜」中找到更詳細之相關敛述。 21 589684 沉積後,將最終結構4 Ο 0之頂部部分加以平坦化。使 用化學機械研磨(CMP)裝置,例如使用可由位於Santa CUra,
California 之 Applied Material 取得之 MirraTM 系統。舉例言
之’部分鎢金屬表體填充422由結構頂部移除以得到完全 平面表面。此外,介於上述相繼沉積層結構之中介表面, 亦可於沉積相繼沉基層之製程間加以選擇性平面化。 邏輯裝W
第5圖為含有置於溝式電容530上部附近電晶體520 之傳統動態隨機存取記憶體裝置的截面圖。動態隨機存取 $己憶體裝置510上的存取霉晶體520’為位於置於溝式電容 530上部附近。較佳之存取電晶體520至少包含n-p-n型電 晶體,上述電晶體至少包含源極區5 2 2、閘極區5 2 4與沒極 區5 26。上述閘極區524為沉積於P基材上之P-摻雜珍暴 晶表層。存取電晶體520上之源極區522為摻雜N +之材料, 沉積於閘極區524之第一側,汲極區526為摻雜N +之材料, 沉積於閘極區524之第二侧,位於源極區W2之對面。
源極與汲極區522、524可與鎢栓塞560連結,每—鱗 栓塞560包含鎢金屬底襯562、鎮金屬成核層564、與鱗金 屬填充物566。鎢金屬底襯562可為雙層堆疊結構至少包本 上述之以物理氣相沉積技術沉積之鎢與之後以化學氣相沉 積技術沉積之氮化鎢,鎢金屬成核層564則使用上述循環 沉積技術形成。表體鎢金屬填充物5 6 6可以任何傳統沉積 技術進行。 22 589684 溝式電容530 —般包含第—電極… — 罾於装間> 人兩上丄 弟一笔極534與 置於其間《介電材料536。P +基材可 一雷極r 乍為溝式電容530之第 屯極532並連接接地541。於p +其 高产捻錐倉M4_史 基材中形成溝渠538以 冋度摻雜有N+多晶矽加以填充,以 -雷;fe 入$ 乍為溝式電谷530之第 一电桎534。介電材料536配 - 於罘一電極532(例如P +基 材)’、罘一電極(例如N+多晶矽)間。 溝式電容53〇尚包含配置於介 η更材枓5 3 6與第一電極 532間之第一層氮化鎢阻障層 平乂住傦況為,第-厝翁 化鎮阻障層542為酉己置於介電材料 s 弟一滑亂 %打與罘二電極534間。 此外’阻障層540、542亦可為組合薄膜, 口辟胰例如鎢/氮化鎢。 雖然上述動態隨機記憶體裝置 且13C州n-P-n型電晶體,ρ + 基材作為電容之第-電極、Ν+多晶,夕作為電容之第二電極, 其他電晶體設計與電極材質仍可適用於本發明巾,製造動 .%隨機έ己憶體裝置。此外,其他裝曹 Γ丹他袈置,例如皇冠形電容亦 可適用於本發明。 實施例 鈦(Τι)金屬層以物理氣相沉積之方式沉積於2〇〇公釐之 基材表面直到沉積厚度為20埃為止。氮化鈦(TiN)金屬層使 用原子層沉積(ALD)製程沉積於鈦金屬層,直到沉積厚度為 約80埃以形成鈦/氮化鈦阻障層。之後將基材表面暴露於乙 硼烷加以浸潰,反應條件為: 壓力:約1托耳; 溫度:約350°C ; 23 589684 流速··乙硼烷1500立方公分/每分鐘(標準狀況下)、氫 氣1 500立方公分/每分鐘(標準狀況下);與 持續期間:約1 〇秒。 之後,於阻障層上使用上述循環沉積技術形成鎢金屬 成核層。成核層厚度約100埃。之後,表體鎢金屬層使用 化學氣相沉積技術,沉積於成核層,直到其厚度約為25〇〇 埃。所得到之鎢金屬表體填充物薄膜具有少於2%之均一變 異性。 對照實施例: 鈥(Ti)金屬層以物理氣相沉積之方式沉積於2〇〇公釐之 基材表面直到沉積厚度為20埃為止。氮化鈦(TiN)金屬層使 用原子層沉積(ALD)製程沉積於鈦金屬層,直到沉積厚度為 約80埃以形成Ti/TiN阻障層。之後將基材表面暴露於乙硼 燒加以浸潰,反應條件為: 壓力:約9 0托耳; 溫度:約300°C ; 流速:石夕燒1 〇〇立方公分/每分鐘(標準狀況下)、氨氣 ® 500 乂方公分/每分鐘(標準狀況下);與 持續期間:約60秒。 <後’於阻障層上使用上述循環沉積技術形成鎢金屬 “曰。成核層厚度約i 00埃。之後,表體鎢金屬層使用 化學翁知J、_ π k 不目/儿積技術,沉積於成核層,直到其厚度約為2500 埃。芦 曰 斤知到之鎢金屬表體填充物薄膜具有少於5%之均一變 24 589684 異性。 如上述實施例所示,使用硼化物浸潰製層形成之 >儿積層,與使用矽烷浸潰製成形成之鎢金屬沉積層相比 具有改良的表面均—性。此外,硼化物浸潰製程至 奴改潰製程快六倍,因硼化物浸潰製程不必考慮♦燒、夺戈 製程中將製程室壓力加到90托耳所需要的停止時間。因^ 經本發明中之硼化物浸潰處理後,使薄膜可具更佳均」 與可使產量顯著增加。 —性
以上敘述為根據本發明之具體實施例,其他或更進 步 < 具體實施例可以在不偏離本發明之基本範疇,與以 請求項所確立之範園下思及。 ^ 下 【圖式簡單說明】 為使上述關於本發明之細部特徵能被了解,已 %上
作一簡述’關於本發明的特定敘述,可藉具體實施例知 了解,其中部分並繪示於圖示組件中。但仍需注意的日 圖示組件僅為本發明具代表性之具體實施例,並非限制 發明之範圍’因由本發明尚可思及其他具同等功效之斗 實施例。 ' 根據本發明所述之一具體實施例,第1圖繪示〜# 赞連 績製私’上述連續製程為使用循環沉積技術形成轉金屬展 第2圖繪示一概要截面圖,上述截面圖為%示〜 赞有 助於實作上述循環沉積技術之製程室。 第3圖繪示一種示範性的製程整合平台。 25 589684 第 4圖繪示一概要截面圖,上述截面圖為繪示一種根 據本發明之具體實施例形成之示範性金屬氧化物閘極裝 置。 第 5圖繪示一概要截面圖,上述截面圖為繪示一種根 據本發明之具體實施例形成之傳統動態記憶體裝置。 【元件代表符號簡單說明】 100 110 120 130 140 150 160 170 180 16 14A 14 14B 16 18A 18 20A 20 32 34 44 46 示範性製程序列 將基材置於製程室中 進行硼化物浸潰 提提提脈提得結製 2.12.節幫蓋供控氣狹具 供供供衝供到束程底室室外側室【至^、>jf浦狀氣•體缝熱 體 氣 程 衝製 脈衝之 物脈劑 合體原 化氣還 鎢性為 含惰作 度 厚 脈屬 體金 氣鎢 性定程 部 惰預製室部底 壁 罩壁側 程閥系平體閥歧閥能 室. 件 組 蓋 之 用 送 統面輸 件 構 撐 支 材 基 之 口制 管開控
26 589684
48A 48 49 50A 52A 52 54 58 60 62
62A
62B 66
68A、68B、68C 70 72 74 75 76 300 302 304 310 312、 314、 316、 318、 332、 334 、 336 、 338 322 、 324 330 400 410 411 412 414 415 416 417 420 422 510 520 支撐架 支撐臺座 基材 熱電耦 加熱元件 電源 底襯組件 1. 室溝渠 2. 溝渠 孔洞 幫浦溝渠 第1孔洞 第2孔洞 通道 氣體源 控制器 微處理器 隨機記憶體 反應區 硬碟 示範性多製程室製程系統 負載室 負載室 第一個機械人 製程室 移轉室 第二個機器人 示範性金屬氧化鎢閘極裝置 之截面圖 閘極 氧化層 基材表面 多晶矽層 氮化鈦阻障層 間隔層 成核層 矽源極/汲極區 偽金屬層 動態隨機存取記憶體裝置 電晶體
27 589684 522 源極區 524 閘極區 526 沒極區 530 溝式電容 532 第一電極 534 第二電極 536 介電材料 538 溝渠 540 1 ·阻障層 2 ·第一層氮化鶴阻障層 541 接地 542 1 ·阻障層 2.第二層氮化鎢阻障層 560 鎢栓塞 562 鎢金屬底襯 564 镇金屬成核層 566 表體鎢金屬填充物
28

Claims (1)

  1. 589684 捌、申請專利範圍 1· 一種於基材表面形成鎢金屬層之方法,該方法至少包含: 將基材表面置於製程室中之適當位置; 將基材表面暴露於硼化物下;及 於相同製程室中以交替脈衝方式使含鎢化合物與 一還原氣體形成一成核層沉積,其中該還原氣體係選自 由矽烷(SiH4)、二矽烷(Si2H6)、二氯矽烷(Sicl2H2)、上 述物種之衍生物、上述物種之組合所形成的族群中。 2.如申請專利範圍第1項所述之方法,其中上述之成核層 係以六氟化鎢與矽烷交替脈衝方式進行沉積形成。 3·如申請專利範圍第1項所述之方法,其中上述之成核層 厚度約為100埃。 4·如申請專利範圍第1項所述之方法,其中上述之含鎢化 合物為由包含六氟化鎢(WF1 2)、六羰化鎢(w(c〇)6)、 與上述物種組合之族群中選取。 29 1 ·如申請專利範圍第1項所述之方法,其中上述之硼化物 至少包含甲硼烷(BH3)、二硼烷(B2H6)、三硼烷(b3h9)、 四硼烷(B4H12)、五硼烷(B5H15)、六硼烷(Β6Ηι8)、七硼 烷(B7H21)、八硼烷(b8H24)、九硼烷(B9H27)、十硼烷 2 (Βίο Η 3〇)與上述物種之組合。 589684 6. 如申請專利範圍第1項所述之方法,其中上述將基材表 面暴露於棚化物下的少驊’係於與成核層沉積相同製程 條件下進行,且暴露時間為約30秒或更低。 7. 如申請專利範圍第1項所述之方法,更包含於成核層上 利用循環沉積、化學氣相沉積或物理氣相沉積技術形成 表體鎢金屬沉積薄膜。 8·如申請專利範圍第1項所述之方法,其中上述之基材表 面至少包含氮化鈦。 9. 一種於基材表面形成鎢金屬層的方法,至少包含: 將至少包含氮化欽之基材表面暴露於乙蝴烧下低 於3 0秒’反應條件為壓力約1至5托耳,溫度為約3 〇〇 °C 至 350°C ; 以上述相同製程條件,以六氟化鎢與矽烷交替脈衝 方式沉積出成核層;及 於成核層上形成表體鎢金屬沉積薄膜。 10·如申請專利範圍第9項所述之方法,其中上述將基材表 面暴露於乙爛烧及成核層沉積的步驟係於同一製程室 中進行。 30 11.589684 如申請專利範圍第9項所述之方法,其中上述之成核層 厚度介於約10埃至200埃之間。 12. 如申請專利範圍第9項所述之方法,其中上述表體鎢金 屬沉積薄膜之厚度介於約1000埃與2500埃之間。
    31
TW091123374A 2001-10-10 2002-10-09 Method for depositing refractory metal layers employing sequential deposition techniques TW589684B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US32845101P 2001-10-10 2001-10-10

Publications (1)

Publication Number Publication Date
TW589684B true TW589684B (en) 2004-06-01

Family

ID=23281040

Family Applications (1)

Application Number Title Priority Date Filing Date
TW091123374A TW589684B (en) 2001-10-10 2002-10-09 Method for depositing refractory metal layers employing sequential deposition techniques

Country Status (5)

Country Link
US (3) US6797340B2 (zh)
JP (2) JP4174424B2 (zh)
KR (1) KR100978993B1 (zh)
TW (1) TW589684B (zh)
WO (1) WO2003031679A2 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101899649A (zh) * 2005-07-01 2010-12-01 东京毅力科创株式会社 钨膜的形成方法
TWI427704B (zh) * 2009-07-31 2014-02-21 Ulvac Inc 半導體裝置之製造裝置及半導體裝置之製造方法
CN114269963A (zh) * 2019-08-12 2022-04-01 朗姆研究公司 钨沉积

Families Citing this family (154)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI119941B (fi) * 1999-10-15 2009-05-15 Asm Int Menetelmä nanolaminaattien valmistamiseksi
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
DE10080457T1 (de) * 1999-02-12 2001-04-26 Gelest Inc CVD-Abscheidung von Wolframnitrid
US6475276B1 (en) * 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
AU1208201A (en) 1999-10-15 2001-04-30 Asm America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US7141494B2 (en) * 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
US7589017B2 (en) * 2001-05-22 2009-09-15 Novellus Systems, Inc. Methods for growing low-resistivity tungsten film
US7262125B2 (en) * 2001-05-22 2007-08-28 Novellus Systems, Inc. Method of forming low-resistivity tungsten interconnects
US7005372B2 (en) * 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7955972B2 (en) * 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
WO2003029515A2 (en) 2001-07-16 2003-04-10 Applied Materials, Inc. Formation of composite tungsten films
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
AU2002333601A1 (en) 2001-09-14 2003-04-01 Asm America, Inc. Metal nitride deposition by ald using gettering reactant
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US7439191B2 (en) * 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US7153542B2 (en) * 2002-08-06 2006-12-26 Tegal Corporation Assembly line processing method
US7398090B2 (en) * 2002-09-13 2008-07-08 Hewlett-Packard Development Company, L.P. Defining a smart area
US6888755B2 (en) 2002-10-28 2005-05-03 Sandisk Corporation Flash memory cell arrays having dual control gates per memory cell charge storage element
JP4031704B2 (ja) 2002-12-18 2008-01-09 東京エレクトロン株式会社 成膜方法
US7262133B2 (en) * 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
US6703296B1 (en) * 2003-04-17 2004-03-09 Macronix International Co. Ltd. Method for forming metal salicide
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
WO2004113585A2 (en) 2003-06-18 2004-12-29 Applied Materials, Inc. Atomic layer deposition of barrier materials
TW200508413A (en) * 2003-08-06 2005-03-01 Ulvac Inc Device and method for manufacturing thin films
US7754604B2 (en) * 2003-08-26 2010-07-13 Novellus Systems, Inc. Reducing silicon attack and improving resistivity of tungsten nitride film
US6924223B2 (en) * 2003-09-30 2005-08-02 Tokyo Electron Limited Method of forming a metal layer using an intermittent precursor gas flow process
US20050069641A1 (en) * 2003-09-30 2005-03-31 Tokyo Electron Limited Method for depositing metal layers using sequential flow deposition
US7405143B2 (en) 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
US7410864B2 (en) * 2004-04-23 2008-08-12 Infineon Technologies Ag Trench and a trench capacitor and method for forming the same
KR100578221B1 (ko) * 2004-05-06 2006-05-12 주식회사 하이닉스반도체 확산방지막을 구비하는 반도체소자의 제조 방법
CN101684550B (zh) * 2004-06-28 2012-04-11 剑桥纳米科技公司 设计为用于气相沉积系统中的阱
KR100550346B1 (ko) * 2004-08-24 2006-02-08 삼성전자주식회사 반도체 장치와 그의 제조 방법 및 이를 이용한 트랜치소자 분리막의 제조 방법
KR100615093B1 (ko) * 2004-08-24 2006-08-22 삼성전자주식회사 나노크리스탈을 갖는 비휘발성 메모리 소자의 제조방법
KR100648252B1 (ko) * 2004-11-22 2006-11-24 삼성전자주식회사 텅스텐막 형성 방법 및 이를 이용하는 반도체 소자의 형성방법
US7429402B2 (en) * 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
KR100745066B1 (ko) 2005-03-24 2007-08-01 주식회사 하이닉스반도체 반도체 소자의 금속배선 형성 방법
JP2007048926A (ja) * 2005-08-10 2007-02-22 Tokyo Electron Ltd W系膜の成膜方法、ゲート電極の形成方法、半導体装置の製造方法およびコンピュータ読取可能な記憶媒体
JP2007046134A (ja) * 2005-08-11 2007-02-22 Tokyo Electron Ltd 金属系膜形成方法及びプログラムを記録した記録媒体
US7235485B2 (en) * 2005-10-14 2007-06-26 Samsung Electronics Co., Ltd. Method of manufacturing semiconductor device
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
TWI332532B (en) * 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
JP2007194468A (ja) * 2006-01-20 2007-08-02 Renesas Technology Corp 半導体装置およびその製造方法
US7951669B2 (en) 2006-04-13 2011-05-31 Sandisk Corporation Methods of making flash memory cell arrays having dual control gates per memory cell charge storage element
EP2047502A4 (en) * 2006-06-30 2009-12-30 Applied Materials Inc NANO CRYSTAL EDUCATION
US8268409B2 (en) * 2006-10-25 2012-09-18 Asm America, Inc. Plasma-enhanced deposition of metal carbide films
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US8821637B2 (en) * 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
US8287647B2 (en) * 2007-04-17 2012-10-16 Lam Research Corporation Apparatus and method for atomic layer deposition
US7713874B2 (en) 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
US20080273410A1 (en) * 2007-05-04 2008-11-06 Jaydeb Goswami Tungsten digitlines
CN101308794B (zh) * 2007-05-15 2010-09-15 应用材料股份有限公司 钨材料的原子层沉积
US7655567B1 (en) 2007-07-24 2010-02-02 Novellus Systems, Inc. Methods for improving uniformity and resistivity of thin tungsten films
US8049178B2 (en) * 2007-08-30 2011-11-01 Washington State University Research Foundation Semiconductive materials and associated uses thereof
US20090087550A1 (en) * 2007-09-27 2009-04-02 Tokyo Electron Limited Sequential flow deposition of a tungsten silicide gate electrode film
US7772114B2 (en) * 2007-12-05 2010-08-10 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
US8053365B2 (en) 2007-12-21 2011-11-08 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
US8062977B1 (en) 2008-01-31 2011-11-22 Novellus Systems, Inc. Ternary tungsten-containing resistive thin films
WO2009129332A2 (en) * 2008-04-16 2009-10-22 Asm America, Inc. Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
US7666474B2 (en) 2008-05-07 2010-02-23 Asm America, Inc. Plasma-enhanced pulsed deposition of metal carbide films
US8058170B2 (en) * 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US8551885B2 (en) * 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
KR101485506B1 (ko) 2008-11-19 2015-01-28 주식회사 원익아이피에스 박막 증착방법
KR101462154B1 (ko) 2008-12-15 2014-11-14 주식회사 원익아이피에스 텅스텐 박막 증착방법
CN102265383B (zh) * 2008-12-31 2014-06-11 应用材料公司 用于沉积具有降低电阻率及改良表面形态的钨膜的方法
US20100267230A1 (en) 2009-04-16 2010-10-21 Anand Chandrashekar Method for forming tungsten contacts and interconnects with small critical dimensions
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US8207062B2 (en) * 2009-09-09 2012-06-26 Novellus Systems, Inc. Method for improving adhesion of low resistivity tungsten/tungsten nitride layers
US10513772B2 (en) 2009-10-20 2019-12-24 Asm International N.V. Process for passivating dielectric films
US8709948B2 (en) 2010-03-12 2014-04-29 Novellus Systems, Inc. Tungsten barrier and seed for copper filled TSV
US8633109B2 (en) * 2010-08-04 2014-01-21 Taiwan Semiconductor Manufacturing Company, Ltd. Soft error rate (SER) reduction in advanced silicon processes
AU2012271612B2 (en) 2011-06-16 2017-08-31 Zimmer, Inc. Chemical vapor infiltration apparatus and process
WO2012174211A1 (en) 2011-06-16 2012-12-20 Zimmer, Inc. Micro-alloyed porous metal having optimized chemical composition and method of manufacturing the same
US20130224948A1 (en) * 2012-02-28 2013-08-29 Globalfoundries Inc. Methods for deposition of tungsten in the fabrication of an integrated circuit
KR102064627B1 (ko) 2012-03-27 2020-01-09 노벨러스 시스템즈, 인코포레이티드 텅스텐 피처 충진
KR101435100B1 (ko) * 2012-06-20 2014-08-29 주식회사 엠티에스나노테크 원자층 증착 장치
US9034760B2 (en) 2012-06-29 2015-05-19 Novellus Systems, Inc. Methods of forming tensile tungsten films and compressive tungsten films
US8975184B2 (en) 2012-07-27 2015-03-10 Novellus Systems, Inc. Methods of improving tungsten contact resistance in small critical dimension features
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US9169556B2 (en) * 2012-10-11 2015-10-27 Applied Materials, Inc. Tungsten growth modulation by controlling surface composition
US9546419B2 (en) * 2012-11-26 2017-01-17 Applied Materials, Inc. Method of reducing tungsten film roughness and resistivity
KR101971547B1 (ko) * 2013-01-03 2019-04-24 주식회사 원익아이피에스 반도체 소자의 금속층 형성 방법
US9412602B2 (en) 2013-03-13 2016-08-09 Asm Ip Holding B.V. Deposition of smooth metal nitride films
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US8841182B1 (en) 2013-03-14 2014-09-23 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
US9394609B2 (en) 2014-02-13 2016-07-19 Asm Ip Holding B.V. Atomic layer deposition of aluminum fluoride thin films
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
US9869024B2 (en) 2014-07-17 2018-01-16 Applied Materials, Inc. Methods and apparatus for depositing a cobalt layer using a carousel batch deposition reactor
US10094023B2 (en) 2014-08-01 2018-10-09 Applied Materials, Inc. Methods and apparatus for chemical vapor deposition of a cobalt layer
JP6222880B2 (ja) * 2014-09-24 2017-11-01 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、半導体装置およびプログラム
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
KR102216575B1 (ko) 2014-10-23 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 티타늄 알루미늄 및 탄탈륨 알루미늄 박막들
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
KR102397797B1 (ko) * 2015-05-27 2022-05-12 램 리써치 코포레이션 순차적인 cvd 프로세스에 의한 저 불소 텅스텐의 증착
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
US20180312966A1 (en) * 2015-10-23 2018-11-01 Applied Materials, Inc. Methods For Spatial Metal Atomic Layer Deposition
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9972968B2 (en) * 2016-04-20 2018-05-15 Trumpf Photonics, Inc. Passivation of laser facets and systems for performing the same
KR20170120443A (ko) * 2016-04-21 2017-10-31 삼성전자주식회사 텅스텐 박막의 형성 방법 및 이를 이용한 반도체 소자의 제조 방법
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
CN110546302B (zh) 2017-05-05 2022-05-27 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10199267B2 (en) 2017-06-30 2019-02-05 Lam Research Corporation Tungsten nitride barrier layer deposition
US11348795B2 (en) 2017-08-14 2022-05-31 Lam Research Corporation Metal fill process for three-dimensional vertical NAND wordline
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
TWI761636B (zh) 2017-12-04 2022-04-21 荷蘭商Asm Ip控股公司 電漿增強型原子層沉積製程及沉積碳氧化矽薄膜的方法
SG11202008268RA (en) 2018-03-19 2020-10-29 Applied Materials Inc Methods for depositing coatings on aerospace components
WO2019209401A1 (en) 2018-04-27 2019-10-31 Applied Materials, Inc. Protection of components from corrosion
US11201227B2 (en) * 2018-04-27 2021-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure with barrier layer and method for forming the same
KR20200140391A (ko) 2018-05-03 2020-12-15 램 리써치 코포레이션 3d nand 구조체들에 텅스텐 및 다른 금속들을 증착하는 방법
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
US10636705B1 (en) 2018-11-29 2020-04-28 Applied Materials, Inc. High pressure annealing of metal gate structures
WO2020123987A1 (en) 2018-12-14 2020-06-18 Lam Research Corporation Atomic layer deposition on 3d nand structures
KR20210110886A (ko) 2019-01-28 2021-09-09 램 리써치 코포레이션 금속 막들의 증착
KR20210127262A (ko) 2019-03-11 2021-10-21 램 리써치 코포레이션 몰리브덴-함유 막들의 증착을 위한 전구체들
KR20210141762A (ko) * 2019-04-11 2021-11-23 램 리써치 코포레이션 고 단차 커버리지 (step coverage) 텅스텐 증착
WO2020219332A1 (en) 2019-04-26 2020-10-29 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
WO2022005696A1 (en) 2020-07-03 2022-01-06 Applied Materials, Inc. Methods for refurbishing aerospace components
CN112201748B (zh) * 2020-09-27 2024-04-16 昕原半导体(上海)有限公司 阻变存储器的钨薄膜制备方法
US11976002B2 (en) 2021-01-05 2024-05-07 Applied Materials, Inc. Methods for encapsulating silver mirrors on optical structures
US11939668B2 (en) * 2022-04-26 2024-03-26 Applied Materials, Inc. Gas delivery for tungsten-containing layer
CN115011947A (zh) * 2022-06-30 2022-09-06 厦门韫茂科技有限公司 一种沉积钨的金刚石粉以及复合铜粉的材料及其制备方法

Family Cites Families (122)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2002008A (en) * 1933-05-25 1935-05-21 Benjamin Howard Benson Apparatus for blood transfusion
FI118158B (sv) 1999-10-15 2007-07-31 Asm Int Förfarande för modifiering av utgångsämneskemikalierna i en ALD-prosess
FI117944B (fi) 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
JPS6065004A (ja) * 1983-09-20 1985-04-13 Hiroaki Egawa キレ−ト樹脂及びその製造法
US5028565A (en) * 1989-08-25 1991-07-02 Applied Materials, Inc. Process for CVD deposition of tungsten layer on semiconductor wafer
JPH05206081A (ja) * 1992-01-28 1993-08-13 Sony Corp ドライエッチング方法
JP3415207B2 (ja) * 1992-07-24 2003-06-09 東京エレクトロン株式会社 化学気相成長による金属薄膜形成方法
US5306666A (en) 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
JPH0794727A (ja) * 1993-09-21 1995-04-07 Toshiba Corp 半導体装置の製造方法
JPH0794425A (ja) * 1993-09-24 1995-04-07 Toshiba Corp 金属薄膜の形成方法および金属薄膜の形成装置
JP3358328B2 (ja) * 1994-10-27 2002-12-16 ソニー株式会社 高融点金属膜の成膜方法
US5804488A (en) * 1995-08-24 1998-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a tungsten silicide capacitor having a high breakdown voltage
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US6335280B1 (en) * 1997-01-13 2002-01-01 Asm America, Inc. Tungsten silicide deposition process
TW417249B (en) * 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
US6156382A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US6162715A (en) * 1997-06-30 2000-12-19 Applied Materials, Inc. Method of forming gate electrode connection structure by in situ chemical vapor deposition of tungsten and tungsten nitride
KR100385946B1 (ko) 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
JP4097747B2 (ja) * 1997-08-07 2008-06-11 株式会社アルバック バリア膜形成方法
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
US6099904A (en) * 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
WO2000067936A1 (en) 1998-05-06 2000-11-16 H.C. Starck, Inc. Metal powders produced by the reduction of the oxides with gaseous magnesium
KR100319888B1 (ko) 1998-06-16 2002-01-10 윤종용 선택적 금속층 형성방법, 이를 이용한 커패시터 형성 및 콘택홀 매립방법
KR100278657B1 (ko) 1998-06-24 2001-02-01 윤종용 반도체장치의금속배선구조및그제조방법
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
KR100287180B1 (ko) 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
DE19847012A1 (de) 1998-10-13 2000-04-20 Starck H C Gmbh Co Kg Niobpulver und Verfahren zu dessen Herstellung
KR100327328B1 (ko) 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
JP3580159B2 (ja) * 1998-12-18 2004-10-20 東京エレクトロン株式会社 タングステン膜の成膜方法
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6540838B2 (en) 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
KR100347379B1 (ko) 1999-05-01 2002-08-07 주식회사 피케이엘 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치
FI118342B (fi) 1999-05-10 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
US6218298B1 (en) * 1999-05-19 2001-04-17 Infineon Technologies North America Corp. Tungsten-filled deep trenches
US6064847A (en) 1999-06-21 2000-05-16 Sharp Kabushiki Kaisha Developing device
US6524952B1 (en) * 1999-06-25 2003-02-25 Applied Materials, Inc. Method of forming a titanium silicide layer on a substrate
KR20010017820A (ko) 1999-08-14 2001-03-05 윤종용 반도체 소자 및 그 제조방법
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
JP5173098B2 (ja) 1999-10-15 2013-03-27 エーエスエム インターナショナル エヌ.ヴェー. ダマシン・メタライゼーションのためのコンフォーマルライニング層
AU1208201A (en) 1999-10-15 2001-04-30 Asm America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
US6475276B1 (en) 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
WO2001029280A1 (en) 1999-10-15 2001-04-26 Asm America, Inc. Deposition of transition metal carbides
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6534404B1 (en) * 1999-11-24 2003-03-18 Novellus Systems, Inc. Method of depositing diffusion barrier for copper interconnect in integrated circuit
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
KR100330163B1 (ko) * 2000-01-06 2002-03-28 윤종용 반도체 장치의 텅스텐 콘택 플러그 형성 방법
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
US6601126B1 (en) * 2000-01-20 2003-07-29 Palmchip Corporation Chip-core framework for systems-on-a-chip
WO2001066832A2 (en) 2000-03-07 2001-09-13 Asm America, Inc. Graded thin films
US6274484B1 (en) * 2000-03-17 2001-08-14 Taiwan Semiconductor Manufacturing Company Fabrication process for low resistivity tungsten layer with good adhesion to insulator layers
KR100363088B1 (ko) 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6482733B2 (en) * 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
EP1282911B1 (en) 2000-05-15 2018-09-05 Asm International N.V. Process for producing integrated circuits
US6759325B2 (en) * 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
KR100403611B1 (ko) 2000-06-07 2003-11-01 삼성전자주식회사 금속-절연체-금속 구조의 커패시터 및 그 제조방법
US7253076B1 (en) 2000-06-08 2007-08-07 Micron Technologies, Inc. Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers
EP1292970B1 (en) * 2000-06-08 2011-09-28 Genitech Inc. Thin film forming method
KR100387255B1 (ko) 2000-06-20 2003-06-11 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성 방법
KR100332313B1 (ko) 2000-06-24 2002-04-12 서성기 Ald 박막증착장치 및 증착방법
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6551929B1 (en) * 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6936538B2 (en) * 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
KR100444149B1 (ko) 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
US6368954B1 (en) 2000-07-28 2002-04-09 Advanced Micro Devices, Inc. Method of copper interconnect formation using atomic layer copper deposition
KR100630666B1 (ko) 2000-08-09 2006-10-02 삼성전자주식회사 금속 콘택 및 커패시터를 포함하는 반도체 소자 제조방법
US6903005B1 (en) 2000-08-30 2005-06-07 Micron Technology, Inc. Method for the formation of RuSixOy-containing barrier layers for high-k dielectrics
US6376346B1 (en) * 2000-09-28 2002-04-23 Fabtech, Inc. High voltage device and method for making the same
US6355561B1 (en) 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
EP1340269B1 (en) 2000-11-30 2009-02-25 Asm International N.V. Thin films for magnetic devices
US20020104481A1 (en) * 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US6416822B1 (en) * 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6949450B2 (en) * 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
KR100386034B1 (ko) 2000-12-06 2003-06-02 에이에스엠 마이크로케미스트리 리미티드 확산 방지막의 결정립계를 금속산화물로 충진한 구리 배선구조의 반도체 소자 제조 방법
US6428859B1 (en) * 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US20020076481A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Chamber pressure state-based control for a reactor
US20020073924A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Gas introduction system for a reactor
KR20020056260A (ko) 2000-12-29 2002-07-10 박종섭 반도체 소자의 금속 게이트 형성방법
US20020086111A1 (en) * 2001-01-03 2002-07-04 Byun Jeong Soo Method of forming refractory metal nitride layers using chemisorption techniques
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
KR100400033B1 (ko) 2001-02-08 2003-09-29 삼성전자주식회사 다층 배선 구조를 갖는 반도체 소자 및 그의 제조방법
KR100395766B1 (ko) 2001-02-12 2003-08-25 삼성전자주식회사 강유전체 기억 소자 및 그 형성 방법
US20020117399A1 (en) 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
US6660126B2 (en) * 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US20020121241A1 (en) * 2001-03-02 2002-09-05 Nguyen Anh N. Processing chamber and method of distributing process fluids therein to facilitate sequential deposition of films
FI109770B (fi) 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
US6369430B1 (en) 2001-04-02 2002-04-09 Motorola, Inc. Method of preventing two neighboring contacts from a short-circuit caused by a void between them and device having the same
US6420189B1 (en) 2001-04-27 2002-07-16 Advanced Micro Devices, Inc. Superconducting damascene interconnected for integrated circuit
US6447933B1 (en) 2001-04-30 2002-09-10 Advanced Micro Devices, Inc. Formation of alloy material using alternating depositions of alloy doping element and bulk material
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
WO2003029515A2 (en) * 2001-07-16 2003-04-10 Applied Materials, Inc. Formation of composite tungsten films
US6548906B2 (en) * 2001-08-22 2003-04-15 Agere Systems Inc. Method for reducing a metal seam in an interconnect structure and a device manufactured thereby
US6806145B2 (en) 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
AU2002333601A1 (en) * 2001-09-14 2003-04-01 Asm America, Inc. Metal nitride deposition by ald using gettering reactant
US6718126B2 (en) * 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US20030049931A1 (en) * 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
KR20030025494A (ko) * 2001-09-21 2003-03-29 삼성전자주식회사 루테늄막과 금속층간의 콘택을 포함하는 반도체 장치 및그의 제조 방법
US6607976B2 (en) * 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6423619B1 (en) 2001-11-30 2002-07-23 Motorola, Inc. Transistor metal gate structure that minimizes non-planarity effects and method of formation
US20030116087A1 (en) * 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US20030123216A1 (en) * 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6827978B2 (en) * 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6833161B2 (en) * 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
KR100476926B1 (ko) * 2002-07-02 2005-03-17 삼성전자주식회사 반도체 소자의 듀얼 게이트 형성방법
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US6955211B2 (en) * 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US6772072B2 (en) * 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
WO2004009011A1 (en) * 2002-07-23 2004-01-29 Healthsouth Corporation Improved powered gait orthosis and method of utilizing same
US7300038B2 (en) * 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
JP4188033B2 (ja) * 2002-08-30 2008-11-26 本田技研工業株式会社 油圧緩衝機の取付構造

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101899649A (zh) * 2005-07-01 2010-12-01 东京毅力科创株式会社 钨膜的形成方法
CN101899649B (zh) * 2005-07-01 2012-11-21 东京毅力科创株式会社 钨膜的形成方法
TWI427704B (zh) * 2009-07-31 2014-02-21 Ulvac Inc 半導體裝置之製造裝置及半導體裝置之製造方法
CN114269963A (zh) * 2019-08-12 2022-04-01 朗姆研究公司 钨沉积

Also Published As

Publication number Publication date
US20030104126A1 (en) 2003-06-05
WO2003031679A3 (en) 2003-08-21
WO2003031679A2 (en) 2003-04-17
KR100978993B1 (ko) 2010-08-30
US6797340B2 (en) 2004-09-28
JP4174424B2 (ja) 2008-10-29
JP2008303466A (ja) 2008-12-18
US20060040052A1 (en) 2006-02-23
US20040247788A1 (en) 2004-12-09
WO2003031679B1 (en) 2004-05-13
JP2005505690A (ja) 2005-02-24
KR20040050073A (ko) 2004-06-14
JP5048602B2 (ja) 2012-10-17

Similar Documents

Publication Publication Date Title
TW589684B (en) Method for depositing refractory metal layers employing sequential deposition techniques
TWI428469B (zh) 用以使用原子層沉積技術沉積鎢層之方法
US6827978B2 (en) Deposition of tungsten films
US7521379B2 (en) Deposition and densification process for titanium nitride barrier layers
TWI520268B (zh) 高溫鎢金屬化製程
US8513116B2 (en) Atomic layer deposition of tungsten materials
TWI493058B (zh) 鎢材料的原子層沈積法
US20100151676A1 (en) Densification process for titanium nitride layer for submicron applications
KR101108304B1 (ko) 질화 텅스텐의 증착
US20100102417A1 (en) Vapor deposition method for ternary compounds
US9546419B2 (en) Method of reducing tungsten film roughness and resistivity
US9659814B2 (en) Doping control of metal nitride films
TW200419642A (en) Integration of ALD/CVD barriers with porous low k materials
CN100523287C (zh) 成膜装置和成膜方法
TWI780922B (zh) 形成鎢支柱的方法
KR101383406B1 (ko) 실리콘 공격을 감소시키고 텅스텐 니트라이드 필름의저항성을 개선하는 방법

Legal Events

Date Code Title Description
MK4A Expiration of patent term of an invention patent