JP5048602B2 - 一連の堆積技術を用いる耐火性金属層を堆積する方法 - Google Patents

一連の堆積技術を用いる耐火性金属層を堆積する方法 Download PDF

Info

Publication number
JP5048602B2
JP5048602B2 JP2008181763A JP2008181763A JP5048602B2 JP 5048602 B2 JP5048602 B2 JP 5048602B2 JP 2008181763 A JP2008181763 A JP 2008181763A JP 2008181763 A JP2008181763 A JP 2008181763A JP 5048602 B2 JP5048602 B2 JP 5048602B2
Authority
JP
Japan
Prior art keywords
tungsten
layer
substrate
gas
exposing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2008181763A
Other languages
English (en)
Other versions
JP2008303466A (ja
Inventor
ホンビン ファン,
ヒュン, スック エー. ユーン,
ケン, クァン ライ,
シー., シー. ヤン,
ジェイムズ ホーン,
ミン シー,
マイケル, エックス. ヤン,
フー チャン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2008303466A publication Critical patent/JP2008303466A/ja
Application granted granted Critical
Publication of JP5048602B2 publication Critical patent/JP5048602B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0209Pretreatment of the material to be coated by heating
    • C23C16/0218Pretreatment of the material to be coated by heating in a reactive atmosphere
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • C23C16/0281Deposition of sub-layers, e.g. to promote the adhesion of the main coating of metallic sub-layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/16Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal carbonyl compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Semiconductor Memories (AREA)

Description

関連出願の相互参照
この出願は、2001年10月10日に出願された、”Method and Apparatus for Depositing Refractory Metal LayersEmploying Sequential Deposition Techniques”という名称の、係属中の米国特許出願第60/328451号に関連し、この米国出願は、本願に参考として組み込まれる。
開示内容の背景
技術分野
本発明の実施形態は、半導体基板の処理に関する。より具体的には、本発明の実施形態は、半導体基板上の耐火性金属層の堆積に関する。
背景技術
半導体処理産業は、より広い表面領域を有する基板上に堆積された層の均一性を高めつつ、大きい生産性歩留まりを得ようと努力し続けている。新しい材料との組合せにおいて、これらの同一ファクタは、また、基板単位面積当たりの回路の高集積を提供する。回路集積化が高まると、より高い均一性と、層の厚さに関するプロセス制御の必要性が増大する。その結果、層の特性にわたる制御を維持しつつ、費用効果的方法で基板上に層を堆積する為に様々な技術が開発されてきた。
化学気相堆積(CVD)は、基板上に層を堆積する為に使われる、最も一般的な堆積処理の一つである。CVDは、均一な厚さの所望の層を生産する為に、基板温度と、処理チャンバ内に導入される前駆体の正確な制御を必要とする、磁束依存の堆積技術である。基板の大きさが増大し、十分な均一性を維持する為にチャンバ設計およびガス流量技術に複雑性を必要とするにつれて、これらの要求は、より重要になる。
CVDと比較して、優れたステップカバレージを示すCVD変形例は、周期的堆積である。周期的堆積は、原子層配向成長(ALE)に基づき、化学吸着を使用し、基板表面上に反応性前駆体分子の飽和単一層を堆積する。これは、適切な反応性前駆体を交互に堆積チャンバに律動的に送ることにより達成される。反応性前駆体の各投入は、不活性ガスのパージにより分離され、基板上に均一層を形成する為に、以前に堆積された層に新たな原子層添加剤を提供する。周期は、所望の厚さまで層を形成する為に繰り返される。
十分なステップカバレージを提供しつつ、高堆積速度での被膜層の形成は、他方を得る為に一方を犠牲にすることを必要とする、相反する特性である。この相反性は、誘電層により分離された隣接した金属層を相互に接続するコンタクトを形成する間、隙間やバイアを覆う為に耐火性金属層が堆積されるとき、特に正しい。歴史的に、CVD技術は、経済的かつ迅速にコンタクトを形成する為に、耐火性金属のような導電材を堆積する為に使用されてきた。半導体回路の集積度が増加するため、タングステンが、優れたステップカバレージに基づき使用されてきた。その結果、CVD技術を使用するタングステンの堆積は、処理の高スループットのため、半導体処理においては広い適用を得ている。
しかし、伝統的なCVD法によるタングステンの堆積は、幾つかの不利益を伴う。例えば、半導体ウエハ上のタングステン層の包括的堆積は、400℃以下の温度では時間の浪費である。タングステンの堆積速度は、堆積温度を(例えば、約500℃から約550℃に)増加することにより改善可能である。しかし、この高い範囲内の温度は、形成される集積回路の下部の、構造的かつ動作的保全性を危うくする。タングステンの使用は、生産処理中のフォトリソグラフィステップを挫折させたが、これは、シリコン基板の耐火性の20%以下の耐火性を有する、比較的粗い表面が生じるからである。さらに、タングステンは、均一に堆積するのが難しいことが見いだされた。貧弱な表面均一性は、通常、膜の抵抗を増加する。
そのため、周期的堆積技術を使用し良好な均一性を持つ導電層を堆積する改善技術が必要である。
発明の開示内容
本発明の実施形態は、基板表面上にタングステン層を形成する為の改善された方法を含む。一態様において、その方法は、処理チャンバ内に基板表面を位置決めするステップと、基板表面をホウ化物に晒すステップと、交互にタングステン含有化合物と、シラン(SiH)、ジシラン(Si)、ジクロルシラン(SiCl)、その誘導体、更に、これらの組合せから成る群から選択された還元性ガスを律動的に送ることにより、核形成層を同一の処理チャンバ内で堆積するステップと、を含む。
他の態様において、その方法は、基板表面をホウ化物に晒すステップと、タングステン含有化合物とシランガスを交互に律動的に送ることにより、同一処理チャンバ内で核形成層を堆積するステップと、核形成層上にバルクタングステン堆積膜を形成するステップと、を含む。
また他の態様において、方法は、基板表面をホウ化物に晒すステップと、タングステン含有化合物とシランガスを交互に律動的に送ることにより、核形成層を堆積するステップと、核形成層上にバルクタングステン堆積膜を形成するステップと、を含む。バルクタングステン堆積膜は、周期的堆積、化学的気相堆積、又は、物理的気相堆積技術を使用して堆積可能である。
発明の詳細な説明
本発明の上記特徴が詳細に理解できる方法で、簡単に要約された本発明の、より具体的な説明は、実施形態を参照してもよく、その一部は、添付図面に示されている。しかし、添付図面は、本発明の典型的な実施形態だけを例示するにすぎず、よって、その範囲の限定に考慮されるべきではない。というのも、本発明は、他の同等の有効な実施形態をも許容するからである。
本発明の実施形態は、タングステン膜を堆積する為の改善されたプロセスを提供する。このプロセスは、周期的堆積技術を利用し、著しく改善された表面均一性と、著しく高められた生産処理能力を有するタングステン膜を提供する。一態様において、プロセスは、下にある基板表面を活性化する為にタングステン堆積の前にホウ化物ソーキングを含む。好ましくは、下にある表面は、ジボラン(B)に晒されるが、どんなボランも、その誘導体も同様の効果を達成すると考えられている。一般的に、ホウ化物ソーキングは、原位置で約5秒から約30秒間、後のタングステン周期的堆積処理と同様の処理条件で生じ、それによって、生産処理能力は著しく高められる。
本願で使用されるように、「基板表面」は膜処理が実行される全ての基板表面を指す。例えば、基板表面は、適用例に依存するが、シリコン、シリコン酸化物、ドープされたシリコン、ゲルマニウム、ガリウムヒ素、ガラス、サファイア、金属、金属窒化物、金属合金、他の導電性材料のような他の材料を含んでもよい。基板表面は、二酸化珪素、カーボンがドープされた二酸化珪素のような誘電材料を含んでもよい。
本願で使用されるように、「周期的堆積」は、基板表面上に単層の材料を堆積する為に2以上の反応性化合物を連続で導入することを指す。2以上の反応性化合物は、処理チャンバの反応領域に交互に導入される。各反応性化合物は、一定の時間遅れで分離され、各化合物を基板表面上で付着及び/又は反応させる。一態様において、第1前駆体又は化合物Aは、第1時間遅れで反応領域に律動的に送られる。次に、第2前駆体又は化合物Bが、第2時間遅れで反応領域に律動的に送られる。各時間遅れ中、アルゴンのような不活性ガスが処理チャンバ内に導入され、反応領域を一掃するか、別の方法で、残留反応性化合物を反応領域から除去する。代替え的に、パージガスは、堆積処理を通じて連続的に流され、パージガスだけが、反応性化合物の律動間の時間遅れ中に流れてもよい。反応性化合物は、基板表面に所望の膜あるいは膜厚が形成されるまで、交互に律動的に送られる。
図1は、本発明の一実施形態に従った、改善されたタングステン膜を形成する為の処理シーケンス100の例を示す。処理される基板は、最初に、周期的堆積を実行することができる処理チャンバ内にロードされ、処理条件が調整される(ステップ110)。その後、基板は、1以上のホウ化物又はその誘導体(ジボラン)に、約5秒から約30秒間晒される(ステップ120)。ステップ130では、適当なキャリアガスを伴うタングステン含有化合物の適用量が処理チャンバ内に導入される。その後、不活性ガスの適用量が、処理チャンバ内に導入され(ステップ140)、残留しているタングステン含有化合物を一掃するか別の方法で除去する。次に、適当なキャリアガスを伴った還元性化合物の適用量が処理チャンバ内に導入される(ステップ150)。還元性化合物は、ホウ化物ソーキングステップ(ステップ120)用に使用されたガスと同一化合物でもよいが、代替え的に、還元性ガスは、生産処理能力の要求、デバイス用途に依存するが、別の化合物でもよい。不活性ガスの適用量は、その後、処理チャンバ内に導入され(ステップ160)、残留している還元性化合物を一掃するか、別の方法で除去する。
適当なキャリアガスは、ヘリウム(He)、アルゴン(Ar)、窒素(N)、水素(H)、これらの組合せである。通常、ホウ化物は、キャリアガスとしてアルゴンを利用し、還元性化合物はキャリアガスとして水素を使用する。有用なパージガスは、アルゴン、ヘリウム、これらの組合せを含む。
本願で使用されているように、「適用量(pulse)」は、処理チャンバの反応領域内に間欠的に或いは不連続的に導入される一定量の特定化合物を指す。各適用量(pulse)における一定量の特定化合物は、適用量の継続期間に依存し、時間にわたって変化する。各適用量(pulse)の継続期間は、ファクターの数、例えば、使用される処理チャンバの容積、それに結合された真空システム、特定化合物の揮発性/反応性に依存して変化する。
ステップ170では、各堆積サイクル(ステップ130からステップ160)の後、特定の厚さを有するタングステンの核形成層が基板表面上に堆積される。普通、各堆積サイクルは、7オングストロームから8オングストロームの材料層を形成する。特定の装置要求に依存するが、連続した堆積サイクルは、所望の厚さを有するタングステン核形成層を堆積するのに必要かもしれない。このように、所望の厚さのタングステン膜が達成されるまで、堆積サイクル(ステップ130からステップ160)を繰り返すことができる。その後、ステップ180で表示されるように、所望の厚さが達成されると、処理は停止される。
適したタングステン含有化合物は、数ある中で、タングステン六フッ化物(WF)、タングステンヘキサカルボニル(W(CO))、更に、その組合せを含む。適した還元性化合物は、例えば、シラン(SiH)、ジシラン(Si)、ジクロルシラン(SiCl)、ボラン(BH)、ジボラン(B)、トリボラン(B)、テトラボラン(B12)、ペンタボラン(B15)、ヘキサボラン(B18)、ヘプタボラン(B21)、オクタボラン(B24)、ナノボラン(B27)、デカボラン(B1030)、更に、これらの組合せを含む。
図1の周期的堆積処理は、通常、約1トルから約90トルの圧力で、約200℃から約400℃の温度で生じる。ホウ化物ソーキングステップ(ステップ120)は、通常、約1トル、約350℃で、約10秒から約1分間、実行される。一態様において、ジボランは水素と共に導入され、それぞれが約300sccmから約2000sccmの流量を有する。ジボランと水素ガスは、1:1の体積比で導入されるのが好ましい。ステップ130において、タングステン含有化合物は、タングステン六フッ化物(WF)であるのが好ましく、約5sccmから約200sccmのレートで、約100sccmから約1000sccmのアルゴンと共に導入される。ステップ150において、還元性化合物は、ジボラン又はシランであるのが好ましく、約5sccmから約200sccmのレートで、約100sccmから約1000sccmの水素と共に導入される。不活性ガス(好ましくはアルゴン)の適用量(pulse)は、ステップ140、160で、通常、約100sccmから約1000sccmのレートで導入される。各処理ステップ(ステップ120からステップ160)は、約30秒間続く。
図2は、上述された実施形態に従って、タングステン層を堆積する為に使用される処理チャンバ16の例の、概略の部分的横断面図を示す。このような処理チャンバ16は、カリフォルニア州サンタクララ市に所在するアプライドマテリアルズ社から利用可能であり、簡単な説明が続く。より詳細な説明は、2001年12月12日に出願された”Lid Assembly For A Processing System To Facilitate SequentialDeposition Techniques”という名称の、共通に譲渡された米国特許出願第10/016300号で見つけられるが、この内容は本願に参考のため組み込まれる。
図2を参照すると、処理チャンバ16は、チャンバ本体14,ガス分配用リッドアセンブリ20、熱制御型基板支持部材46を含む。熱制御型基板支持部材46は、支持軸48Aに連結されたウエハ支持用ペデスタル48を含む。熱制御型基板支持部材46は、チャンバ本体14内で垂直に移動され、支持用ペデスタル48とリッドアセンブリ20との間の距離は制御可能である。支持用ペデスタル48の為の昇降機構の一例は、”Self-Aligning Lift Mechanism”という名称で、Selyutin等に1999年9月14日に発行された、米国特許第5951776号に詳細に説明されており、この開示内容の全てが参考として本願に組み込まれる。
支持用ペデスタル48は、その温度をモニタする為に使用可能な埋め込み型熱電対50Aを含む。例えば、熱電対50Aからの信号は、フィードバックループ内で使用可能であり、加熱素子52Aに対する電源52により印加される電力を制御する。加熱素子52Aは、抵抗加熱素子、または、温度を制御する為に利用されるペデスタル48の内部に配置あるいは接触して配置された他の熱伝達装置でもよい。オプションとして、支持用ペデスタル48は、熱伝達用流体(図示せず)を使用して加熱されてもよい。
支持用ペデスタル48は、窒化アルミニウム、酸化アルミニウム(Al又はアルミナ)を含む処理−互換材料から形成可能であり、また、真空を用いて上部に基板49を保持するように構成可能、すなわち、支持用ペデスタル48は真空チャックでもよい。真空チャックを使用すると、支持用ペデスタル48は、複数の真空ホール(図示せず)を含み、真空ホールは、支持用シャフト48Aを介して真空源と流体連通して配置される。
チャンバ本体14は、ライナアセンブリ54を含み、ライナアセンブリ54は、円筒部分と平坦部分を有する。円筒部分と平坦部分は、アルミナ、セラミック等のような適した材料から形成可能である。円筒部分は、支持用ペデスタル48を囲む。円筒部分は、また、ハウジング14の側壁14B内に配置されたスリットバルブ開口44に整列するアパーチャ60を含み、基板のチャンバ16からの出し入れを許容する。
ライナアセンブリ54の平坦部分は、側方に円筒部分まで伸び、チャンバ本体14のチャンバ底14Aに対して配置されている。ライナアセンブリ54は、ライナアセンブリ54の円筒部分及び平坦部分の両方とチャンバ本体14との間にチャンバチャネル58を画成する。特に、チャネル58の第1部分は、ライナアセンブリ54の平坦部分とチャンバ底14Aとの間で画成されている。チャネル58の第2部分は、チャンバ本体14の側壁14Bとライナアセンブリ54の円筒部分との間で画成されている。パージガスは、チャネル58内に導入され、チャンバ壁に対する不要堆積を最小にし、チャンバ壁とライナアセンブリ54との間の熱伝達のレートを制御する。
チャンバ本体14は、また、その側壁14Bに沿って配置されたポンピングチャネル62を含む。ポンピングチャネル62は、複数のアパーチャを含み、その一つが第1アパーチャ62Aとして示されている。ポンピングチャネル62は、導管66によりポンプシステム18に結合されている第2アパーチャ62Bを含む。スロットルバルブ18Aは、ポンピングチャネル62とポンプシステム18との間に結合されている。ポンピングチャネル62、スロットルバルブ18A、ポンプシステム18は、処理チャンバ16からのガス流の量を制御する。チャンバ16と連通しているアパーチャ62Aの大きさ、数、位置は、上部に基板が配置された支持用ペデスタル48の上方でリッドアセンブリ20を出るガスの均一な流れを達成するように構成される。
リッドアセンブリは、リッドプレート20Aを含み、リッドプレート20Aは、上部にガスマニホールド34が取り付けられている。リッドプレート20Aは、閉鎖位置のとき、チャンバ本体14の上部に流体密シールを提供する。ガスマニホールド34は、(一つしか示されていないが)複数の制御バルブ32を含み、約1秒未満のバルブ開閉サイクルで急速かつ高精度のガス流を提供するが、一実施形態では約0.1秒未満である。バルブ32は、表面が取り付けられた、電子制御された、バルブである。利用可能なバルブの一つは、部品番号FR−21−6.35 UGF−APDとして、日本のフジキンから入手可能である。実質的に同一速度、精度で動作する他のバルブも使用可能である。
リッドアセンブリ20は、複数のガス源68A、68B、68Cを含み、各々は、一連の導管(図示せず)を介してバルブ32の一つと流体連通しており、一連の導管は、チャンバ本体14,リッドアセンブリ20、ガスマニホールド34を介して形成されている。
処理チャンバ16は、更に反応領域75を含み、反応領域75は、リッドアセンブリ20が閉鎖位置のとき、チャンバ本体14内に形成される。一般的に、反応領域75は、内部に配置されたウエハ102と流体連通している。そのため、反応領域75は、リッドアセンブリ20内の各バルブ32の下流側容積、支持用ペデスタル48とリッドプレート20の下面との間の容積を含む。より具体的には、反応領域75は、各バルブ32の出口と基板49の上面との間の容積を含む。
コントローラ70は、処理チャンバ16の様々な構成部品の動作を調節する。コントローラ70は、RAM74、ハードディスクドライブ76のようなメモリとデータ通信状態にあるプロセッサを含み、少なくともポンプシステム18、電源52、バルブ32と連通状態にある。
ソフトウェアルーチンは、処理レシピやシーケンスを始める為に実行される。ソフトウェアルーチンは、実行されるとき、汎用コンピュータを、チャンバ処理が実行されるようにチャンバ動作を制御する特別処理用コンピュータに変化させる。例えば、ソフトウェアルーチンは、本発明に従って、処理シーケンスの実行の為に電子制御バルブの駆動を精度良く制御する為に使用可能である。代替え的に、ソフトウェアルーチンは、集積回路専用アプリケーションとしてハードウェアで、或いは、他のタイプのハードウェア機能、または、ソフトウェア及びハードウェアの組合せで、実行可能である。

処理の統合

前述されたようなタングステン核形成層は、優れた膜特性で特徴部を形成する為に伝統的なバルク充填技術を用いて統合されたとき、特別な有用性を示した。統合スキームは、バルク充填化学的気相堆積(CVD)、物理的気相堆積(PVD)処理を用いた周期的堆積核形成を含んでもよい。そのような統合スキームを実行できる統合処理システムは、Endula SL(登録商標)、Centura(登録商標)、Producer(登録商標)処理システムを含み、各々はカリフォルニア州サンタクララ市に所在するアプライドマテリアルズ社から利用可能である。これらの、どのシステムでも、核形成層を堆積する為に少なくとも一つの周期的堆積チャンバ、バルク充填の為に少なくとも一つのCVDチャンバ又はPVDチャンバを含むように構成可能である。
図3は、マルチチャンバ処理システム300の一例の概略平面図である。同様のマルチチャンバ処理システムは、1993年2月16日に発行された”Stage Vacuum Wafer Processing System and Method”という名称の、米国特許第5186718号に開示され、これは、本願に参考として組み込まれる。システム300は、一般的に、基板をシステム300の内外に移動する為にロードロックチャンバ302、304を含む。通常、システム300が真空下にあるので、ロードロックチャンバ302、304は、システム300に導入される基板を「ポンプダウン」可能である。第1ロボット310は、基板をロードロックチャンバ302、304と1以上の基板処理チャンバ312、314、316、318(4つが図示)の第1組との間で移送可能である。各処理チャンバ312、314、316、318は、周期的層堆積、化学的気相堆積(CVD)、物理的気相堆積(PVD)、エッチング、予備洗浄、脱ガス、オリエンテーション、他の基板処理のような多くの基板処理動作を実行するように必要な装備を施すことができる。第1ロボット310は、また、基板を1以上の移送チャンバ322、324に/から移送する。
移送チャンバ322、324は、超高真空条件を維持しつつ、システム300内で基板を移送させる。第2ロボット330は、基板を移送チャンバ322、324と、1以上の処理チャンバ332、334、336、338の第2組との間で移送可能である。処理チャンバ312、314、316、318と同様に、処理チャンバ332、334、336、338は、例えば、周期的堆積、化学的気相堆積(CVD)、物理的気相堆積(PVD)、エッチング、予備洗浄、脱ガス、オリエンテーションのような様々な基板処理動作を実行するように必要な装備を施すことができる。処理チャンバ312、314、316、318、332、334、336、338のいずれも、当該システム300により実行される特定処理に必要でなければ、システム300から取り外すことができる。
一つのアレンジメントにおいて、各処理チャンバ332、338は、周期的堆積チャンバであって、核形成層を堆積する為に適合されたものでもよく、各処理チャンバ334、336は、周期的堆積用チャンバ、化学的気相堆積用チャンバ、又は、物理的気相堆積用チャンバであって、バルク充填材堆積層を形成するように適合されたものでもよく、各処理チャンバ312,314は、物理的気相堆積用チャンバ、化学的気相堆積用チャンバ、または周期的堆積チャンバであって、誘電層を堆積するように適合されたものでもよく、各処理チャンバ316、318は、相互接続特徴部の為のアパーチャ又は開口をエッチングする為に必要な装備を施されたエッチング用チャンバでもよい。システム300の、この特別なアレンジメントは、本発明を例示する為に提供されており、本発明の範囲を限定する為に使用されるものではない。
他の統合システムは、バルク充填材堆積と核形成堆積を単一チャンバ内に含んでもよい。周期的堆積モードと従来のCVDモードの両方で動作するように構成されたチャンバが使用可能である。そのようなチャンバの一例は、2001年12月12日に出願された米国特許出願第10/016300号に説明されており、これは、本願に参考として組み込まれている。
他の統合スキームにおいて、1以上の周期的堆積核形成用チャンバが第1処理システムに統合され、1以上のバルク層堆積用チャンバが第2処理システムに統合されている。この構成において、基板は、最初に第1処理システムで処理され、ここで、核形成層が基板上に堆積される。その後、基板は第2処理システムに移送され、ここで、バルク堆積が生じる。
代替え的に、複数のステーションを単一チャンバ内に有するカルーゼル型バッチ処理システムを適合可能であり、核形成とバルク層堆積を単一処理システムに統合する。このような処理システムにおいて、アルゴンガスカーテンのようなパージガスカーテンが、各ステーションでマイクロ又はミニ環境を作る各ステーションの間で、設定可能である。基板は、システムに順次ロードされ、その後、各ステーションを介して回転され、各ステーションで少なくとも一部で処理される。例えば、基板は、第1ステーションで周期的堆積核形成ステップ、その後、後のステーションの各々で部分的なバルク充填用CVDステップに晒される。代替え的に、核形成は、2以上のステーションで生じ、バルク充填は、1以上のステーションで生じてもよい。また更に、核形成層とバルク層は、別個のカルーゼル型システムで堆積されてもよい。核プラテンは、温度制御され、各ステーションで少なくとも幾つかの処理制御を提供する。しかし、処理圧力は、通常、ステーション間で同一のままであり、これは、ステーションが単一チャンバ内に収容されているからである。不活性ガスカーテンのため、各ステーションで存在するミクロ又はミニ環境において、ある程度の圧力制御は利用可能である。
統合スキームに拘わらず、核形成層は、通常、約10オングストロームから約200オングストロームの範囲の厚さまで堆積され、バルク充填材は、約1000オングストロームから約10000オングストロームの間の厚さを有する。しかし、これらの膜の厚さは、所定適用例における特徴部の大きさやアスペクト比に依存して変更可能である。したがって、膜は、所定の適用例の幾何学的形状に適合する適切な大きさになっている。以下は、本願で説明された実施形態に従って堆積された核形成層から利益を得ることができる幾つかの例示的な幾何学的形状、適用例である。以下の説明は、例示する為であり、本発明の使用を限定する意図はない。
タングステン金属ゲート
図4は、本願で説明された実施形態に従って堆積された核形成層を利用する例示的な金属酸化物ゲート装置400の横断面図を示す。装置400は、一般的に、スペーサ416により囲まれた、晒されたゲート410、基板表面412内に形成されたシリコンソース/ドレイン領域420を含む。スペーサ416は、通常、二酸化珪素のような酸化物、または、窒化ケイ素のような窒化物を含む。
金属ゲート410は、酸化物層411、ポリシリコン層414、窒化チタンバリア層415、タングステン層422を含む。酸化物層411は、ポリシリコン層414から基板412を分離する。酸化物層411とポリシリコン層414は、従来の堆積技術を用いて堆積される。
窒化チタンバリア層415は、ポリシリコン層414上に堆積される。窒化チタンバリア層415は、PVDチタン層を堆積し、その後、CVD窒化チタン層を堆積することにより形成された二層スタックであってもよい。チタンバリア層415は、また、周期的堆積技術を用いて堆積されてもよく、そのような処理は、”Chamber Hardware Design for Titanium Nitride Atomic LayerDeposition”という名称で、2001年12月21日に出願された係属中の米国特許出願第10/032293号に示され、説明され、これは、本願に参考として組み込まれる。
核形成層417は、その後、周期的にバリア層415上に堆積されるが、その前に前述したようにジボランソーキング処理で基板表面の処置がある。一態様によると、核形成層417は、タングステン六フッ化物(WF)とジボラン(B)を交互に律動的に送るステップを使用して周期的に堆積される。タングステン六フッ化物(WF)は、約10sccmから約400sccmのレート(例えば、約20sccmから約100sccm)で約30秒間、律動的に送られる。キャリアガス(例えば、アルゴン)は、タングステン六フッ化物と共に、約250sccmから約1000sccmのレート(例えば、約500sccmから約750sccm)で提供される。ジボラン(B)は、約5sccmから約150sccmのレート(例えば、約5sccmから約25sccm)で、約30秒間、律動的に送られる。キャリアガス(例えば、水素)は、約250sccmから約1000sccmのレート(約500sccmから約750sccm)でジボランと共に提供される。基板は、約250℃から約350℃の温度で、約1トルから約10トルのチャンバ圧で維持される。タングステン六フッ化物とジボランの適用量(pulse)間に、アルゴンが約30秒間、律動的に送られ、処理チャンバから反応性化合物を一掃するか、別の方法で除外する。
他の態様において、核形成層417は、タングステン六フッ化物(WF)とシラン(SiH)を交互に律動的に送るステップを使用して周期的に堆積される。タングステン六フッ化物(WF)は、前述したように、アルゴンと共に約30秒間、律動的に送られる。シラン(SiH)は、約10sccmから約500sccmのレート(例えば、50sccmから約200sccm)で、約30秒間、律動的に送られる。キャリアガス(例えば、水素)は、シランと共に約250sccmから約1000sccmのレート(例えば、300sccmから500sccm)で提供される。アルゴンは、約300sccmから約1000sccmのレート(例えば、約500sccmから約750sccm)で、タングステン六フッ化物(WF)の適用量とシラン(SiH)の適用量との間の約30秒間、律動的に送られる。基板は、約300℃から約400℃の温度で、約1トルから約10トルまでのチャンバ圧で維持される。
ホウ化物ソーキング処置を有することなく、タングステン六フッ化物(WF)とジボランの交互の適用量により形成される核形成層は、タングステン六フッ化物(WF)とシランの交互の適用量により形成される核形成層より利点がある。ジボラン膜は、集積膜に対し低応力を示し、核形成層の接合面でフッ素含有量が少ない。ジボラン膜のアモルファス特性は、また、使用される核形成層の厚さを薄くしつつ、良好なバリア特性を維持することができる。しかし、ホウ化物ソーキング処置の後、タングステン六フッ化物(WF)とシランの交互の適用量により形成される核形成層は、前述したように、ジボラン堆積がシラン堆積に対して有する利点をなくす。そのため、核形成層417は、ジボランソーキングに続き、タングステン六フッ化物(WF)とシランの交互の適用量を使用して形成されるのが好ましい。
タングステンバルク充填材422は、その後、タングステン核形成層417上に堆積される。いかなる金属堆積処理(従来の化学的気相堆積または物理的気相堆積)が使用可能であっても、タングステンバルク充填材422は、交互にタングステン含有化合物と還元性ガスを吸着することにより、堆積可能である。周期的堆積技術を使用したタングステン堆積の、より詳細な説明は、”Lid Assembly For A Processing System To Facilitate SequentialDeposition Techniques”という名称で、2001年12月12日に出願され、共通に譲渡された米国特許出願第10/016300、”Deposition Of Tungsten Films For Dynamic Random Access Memory(DRAM) Application”という名称で2002年2月20日に出願され、共通に譲渡された米国特許第(不知)で見つけることができ、これらは、両方とも本願に参考として組み込まれる。
堆積後、結果として生じる構造体400の頂部は平坦化されてもよい。化学的機械研磨(CMP)装置(例えば、カリフォルニア州サンタクララ市に所在するアプライドマテリアルズ社から使用可能なMirra(商標)システム)が使用されてもよい。例えば、タングステンバルク充填材422の一部は、頂部から除去され、十分に平坦面が残る。オプションとして、基板の中間面が、上述した後の層の堆積間に平坦化されてもよい。
論理装置
図5は、トレンチ型コンデンサ530の頂部付近にトランジスタ520が位置決めされた従来のDRAM装置の横断面図である。DRAM装置510に対するアクセストランジスタ520は、トレンチ型コンデンサ530の頂部付近に位置決めされている。アクセストランジスタ520は、ソース領域522、ゲート領域524、ドレイン領域526を有するn−p−n型トランジスタを備えるのが好ましい。アクセストランジスタ520のゲート領域524は、P+基板を覆ってP−がドープされた、シリコンエピタキシャル層である。アクセストランジスタ520のソース領域522は、ゲート領域524の第1側部に堆積された、N+がドープされた材料であり、ドレイン領域526は、ゲート領域524の第2側部(ソース領域の反対側)に堆積された、N+がドープされた材料である。
ソース領域522とドレイン領域524は、タングステンプラグ560に接続可能である。各タングステンプラグ560は、チタンライナ562、タングステン核形成層564、バルクタングステン充填材566を含む。チタンライナ562は、PVDチタンに続くCVD窒化チタンを備える二層スタックでもよい。タングステン核形成層564は、前述したように、周期的堆積技術を使用して形成される。タングステンバルク充填材566は、どんな堆積技術を使用しても堆積可能である。
トレンチ型コンデンサ530は、一般的に、第1電極532、第2電極534、それらの間に配置された誘電材料536を含む。P+基板は、トレンチ型コンデンサ530の第1電極532として機能し、グランド結合部541に接続されている。トレンチ538は、P+基板内に形成され、重くドープされたN+ポリシリコンで充填され、N+ポリシリコンは、トレンチ型コンデンサ530の第2電極534として機能する。誘電材料536は、第1電極532(すなわち、P+基板)、第2電極534(すなわち、N+ポリシリコン)の間に配置される。
トレンチ型コンデンサ530は、また、誘電材料536と第1電極532との間に配置された第1窒化タングステンバリア層540を含む。第2タングステン窒化物バリア層542は、誘電材料536と第2電極534との間に配置されるのが好ましい。代替え的に、バリア層540、542は、組合せ膜(W/WN)である。
前述したDRAM装置は、n−p−n型トランジスタ、第1電極としてのP+基板、コンデンサの第2電極としてのN+ポリシリコンを利用するが、DRAM装置を形成する為に、他のトランジスタ設計、電極用材料は本発明により企図されている。また、他の装置(クラウン型コンデンサ)も本発明により企図されている。
チタン層(Ti)は、200mm基板表面上に、約20Åの厚さまで、基板PVDにより堆積された。窒化チタン(TiN)層は、原子層堆積(ALD)処理を使用して、約80Åの厚さまで、Ti層上に堆積され、Ti/TiNバリア層を形成した。基板表面は、その後、以下の条件で、ジボランソーキングに晒された。

圧力:約1トル
温度:約350℃
流量:1500sccmのB、1500sccmのH
持続期間:約10秒間

次に、タングステン核形成層は、前述した周期的堆積技術を使用して、バリア層上に形成された。核形成層の厚さは、約100Åであった。最後に、バルクタングステン層が、CVDを使用して約2500Åの厚さまで核形成層上に堆積された。結果として生じたタングステンバルク充填材は、約2%未満の均一なバラツキを示した。
比較実施例
チタン(Ti)層は、200mm基板表面に、約20Åの厚さまで、PVDにより堆積された。窒化チタン(TiN)層は、原子層堆積(ALD)処理を使用して、約80Åの厚さまで、Ti層上に堆積され、Ti/TiNバリア層を形成した。基板表面は、その後、以下の条件で、シランソーキングに晒された。

圧力:約90トル
温度:約300℃
流量:100sccmのSiH、500sccmのH
持続期間:約60秒間

次に、タングステン核形成層は、前述した周期的堆積技術を使用して、バリア層上に形成された。核形成層の厚さは、約100Åであった。最後に、バルクタングステン層が、CVDを使用して約2500Åの厚さまで核形成層上に堆積された。結果として生じたタングステンバルク充填材は、約5%未満の均一なバラツキを示した。
上記実施例で示されたように、ホウ化物ソーキング処理を使用して堆積されたタングステン膜は、シランソーキング処理を使用して堆積されたタングステン膜と比較すると、改善された表面均一性を示した。さらに、ホウ化物ソーキング処理は、少なくともシランソーキングより6倍速いが、これには、シランソーキング処置に必要な90トルまで処理チャンバを加圧する為のダウンタイムは考慮していない。したがって、本発明のホウ化物ソーキング処置は、改善された膜均一性、著しく高いプロダクト処理能力を示す。
前述は本発明の実施形態に向けられているが、本発明の他の、更なる実施形態は、本発明の基本的範囲から逸脱することなく、案出可能であり、本発明の範囲は、別添の請求の範囲により決定される。
図1は、本願に説明された一実施形態に従って、周期的堆積技術を使用してタングステン層の形成の為の処理シーケンスを例示する。 図2は、本願に説明された周期的堆積技術を実施する為に有用な処理チャンバの概略横断面図である。 統合された処理用プラットフォームの例を示す。 本発明の実施形態に従って形成された金属酸化物ゲート装置例の概略横断面図を示す。 図5は、本発明の実施形態に従って形成された従来のDRAMの横断面図を示す。
符号の説明
14…チャンバ本体、14A…チャンバ底、14B…側壁、16…処理チャンバ、18…ポンプシステム、18A…スロットルバルブ、20…リッドアセンブリ、20A…リッドプレート、32…バルブ、34…ガスマニホールド、44…開口、46…基板支持部材、48…支持用ペデスタル、48A…支持用シャフト、49…基板、50A…熱電対、52…電源、52A…加熱素子、54…ライナアセンブリ、58…チャネル、60…アパーチャ、62…ポンピングチャネル、62A…アパーチャ、62B…、66…導管、68A、68B、68C…ガス源、70…コントローラ、72…プロセッサ、74…RAM、75…反応領域、76…ハードディスクドライブ、300…システム、302、304…ロードロック用チャンバ、310…第1ロボット、312、314、316、318…処理用チャンバ、322、324…移送用チャンバ、330…第2ロボット、332、334、336、338…処理用チャンバ、510…、520…アクセストランジスタ、524…ゲート領域、526…ドレイン領域、530…トレンチ型コンデンサ、532…第1電極、534…第2電極、536…誘電材料、538…トレンチ、540…バリア層、541…グランド結合部、542…バリア層、560…タングステンプラグ、562…チタンライナ、564…タングステン核形成層、566…タングステン充填。

Claims (21)

  1. 基板表面上に耐火性金属層を形成する方法であって:
    基板上に堆積されたバリア層を処理チャンバ内でソーキング処理に晒すステップと;
    前記基板を前記処理チャンバ内で第1及び第2の反応性ガスに連続的に晒すことにより、耐火性金属核形成層を前記バリア層上に形成するステップと;
    気相堆積処理を採用することにより、バルク堆積層を前記耐火性金属核形成層上に形成して、前記第1及び第2の反応性ガスの1つに含まれる耐火性金属をバルク堆積するステップと;
    を備え、前記耐火性金属核形成層を形成するステップは、前記基板を前記第1の反応性ガスに晒した後、該基板を前記第2の反応性ガスに晒す前に、パージガスを前記処理チャンバに導入するステップを更に備える、前記方法。
  2. 前記ソーキング処理は、前記バリア層をジボランに晒すステップを含む、請求項1記載の方法。
  3. 前記ソーキング処理は、前記バリア層をシランに晒すステップを含む、請求項1記載の方法。
  4. 前記バリア層は、チタン又は窒化チタンを含む、請求項1記載の方法。
  5. 前記耐火性金属核形成層を形成するステップは、次いで前記処理チャンバをポンピングしてガスを取り除くことにより、前記処理チャンバから前記第1の反応性ガスをパージするステップを更に備える、請求項1記載の方法。
  6. 前記耐火性金属核形成層を形成するステップは、ジボランと耐火性金属化合物との交互の層を、前記基板上に形成するステップを備える、請求項1記載の方法。
  7. 前記耐火性金属核形成層は、10Å〜100Åの範囲内の厚さを有する、請求項1記載の方法。
  8. 前記バルク堆積層は、化学的気相堆積処理又は物理的気相堆積処理を採用して堆積される、請求項1記載の方法。
  9. 基板表面上にタングステン含有材料を形成する方法であって:
    基板上に堆積されたバリア層を処理チャンバ内でソーキング処理に晒すステップと;
    原子層堆積処理の間、前記基板を前記処理チャンバ内で処理ガス及びタングステン含有ガスに連続的に晒すことにより、タングステン含有核形成層を形成するステップであって、前記処理ガスがホウ素含有ガス及び窒素含有ガスを含むステップと;
    化学的気相堆積処理の間、前記基板を、前記タングステン含有ガス及び反応性前駆体ガスを含む堆積ガスに晒すことにより、前記タングステン含有核形成層の上にタングステンバルク層を形成するステップと;
    を備え、前記タングステン含有核形成層を形成するステップは、前記原子層堆積処理の間、該基板を前記タングステン含有ガスに晒す前、前記基板を前記反応性ガスに晒した後に、パージガスを前記処理チャンバに導入するステップを更に備える、前記方法。
  10. 前記タングステン含有ガスはタングステン六フッ化物を含み、前記ホウ素含有ガスはジボランを含み、前記窒素含有ガスは二窒素を含む、請求項9記載の方法。
  11. 前記タングステン含有核形成層は、前記基板上に堆積されたシリコン含有層の上に堆積される、請求項9記載の方法。
  12. 前記タングステン含有核形成層は、10Å〜100Åの範囲内の厚さを有する、請求項9記載の方法。
  13. 前記反応性前駆体ガスは、シラン、水素、アルゴン、及びこれらの組合せからなる群から選ばれるガスを含む、請求項9記載の方法。
  14. 前記ソーキング処理は、前記バリア層をジボランに晒すステップを含む、請求項9記載の方法。
  15. 前記ソーキング処理は、前記バリア層をシランに晒すステップを含む、請求項9記載の方法。
  16. 前記バリア層は、チタン又は窒化チタンを含む、請求項9記載の方法。
  17. 基板表面上にタングステン含有材料を形成する方法であって:
    基板上に堆積されたバリア層を処理チャンバ内でソーキング処理に晒すステップと;
    原子層堆積処理の間、前記基板をタングステン含有ガス、ジボラン、及び窒素含有ガスに連続的に晒すことにより、タングステン核形成層を前記バリア層上に形成するステップと;
    化学的気相堆積処理の間、前記基板を、前記タングステン含有ガス及び反応性前駆体ガスを含む堆積ガスに晒すことにより、前記タングステン核形成層の上にタングステンバルク層を形成するステップと;
    を備え、前記タングステン含有核形成層を形成するステップは、前記原子層堆積処理の間、該基板をジボラン及び前記窒素含有ガスに晒す前、前記基板を前記タングステン含有ガスに晒した後に、パージガスを前記処理チャンバに導入するステップを更に備える、前記方法。
  18. 前記ソーキング処理は、前記バリア層をジボランに晒すステップを含む、請求項17記載の方法。
  19. 前記ソーキング処理は、前記バリア層をシランに晒すステップを含む、請求項17記載の方法。
  20. 前記タングステン含有ガスはタングステン六フッ化物を含み、前記窒素含有ガスは二窒素を含む、請求項17記載の方法。
  21. 前記反応性前駆体ガスは、シラン、水素、アルゴン、及びこれらの組合せからなる群から選ばれるガスを含む、請求項17記載の方法。
JP2008181763A 2001-10-10 2008-07-11 一連の堆積技術を用いる耐火性金属層を堆積する方法 Expired - Lifetime JP5048602B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US32845101P 2001-10-10 2001-10-10
US60/328,451 2001-10-10

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2003534647A Division JP4174424B2 (ja) 2001-10-10 2002-10-10 一連の堆積技術を用いる耐火性金属層を堆積する方法

Publications (2)

Publication Number Publication Date
JP2008303466A JP2008303466A (ja) 2008-12-18
JP5048602B2 true JP5048602B2 (ja) 2012-10-17

Family

ID=23281040

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2003534647A Expired - Lifetime JP4174424B2 (ja) 2001-10-10 2002-10-10 一連の堆積技術を用いる耐火性金属層を堆積する方法
JP2008181763A Expired - Lifetime JP5048602B2 (ja) 2001-10-10 2008-07-11 一連の堆積技術を用いる耐火性金属層を堆積する方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2003534647A Expired - Lifetime JP4174424B2 (ja) 2001-10-10 2002-10-10 一連の堆積技術を用いる耐火性金属層を堆積する方法

Country Status (5)

Country Link
US (3) US6797340B2 (ja)
JP (2) JP4174424B2 (ja)
KR (1) KR100978993B1 (ja)
TW (1) TW589684B (ja)
WO (1) WO2003031679A2 (ja)

Families Citing this family (157)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI119941B (fi) * 1999-10-15 2009-05-15 Asm Int Menetelmä nanolaminaattien valmistamiseksi
US6482262B1 (en) * 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
US6974766B1 (en) * 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
DE10080457T1 (de) * 1999-02-12 2001-04-26 Gelest Inc CVD-Abscheidung von Wolframnitrid
EP1221178A1 (en) 1999-10-15 2002-07-10 ASM America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7141494B2 (en) * 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7955972B2 (en) * 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US7262125B2 (en) * 2001-05-22 2007-08-28 Novellus Systems, Inc. Method of forming low-resistivity tungsten interconnects
US7005372B2 (en) * 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US7589017B2 (en) * 2001-05-22 2009-09-15 Novellus Systems, Inc. Methods for growing low-resistivity tungsten film
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
TW581822B (en) * 2001-07-16 2004-04-01 Applied Materials Inc Formation of composite tungsten films
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
KR101013231B1 (ko) * 2001-09-14 2011-02-10 에이에스엠 인터내셔널 엔.브이. 환원펄스를 이용한 원자층증착에 의한 질화금속증착
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US7439191B2 (en) * 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US7153542B2 (en) * 2002-08-06 2006-12-26 Tegal Corporation Assembly line processing method
US7398090B2 (en) * 2002-09-13 2008-07-08 Hewlett-Packard Development Company, L.P. Defining a smart area
US6888755B2 (en) 2002-10-28 2005-05-03 Sandisk Corporation Flash memory cell arrays having dual control gates per memory cell charge storage element
JP4031704B2 (ja) 2002-12-18 2008-01-09 東京エレクトロン株式会社 成膜方法
US7262133B2 (en) * 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
US6703296B1 (en) * 2003-04-17 2004-03-09 Macronix International Co. Ltd. Method for forming metal salicide
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
US7211508B2 (en) 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
TW200508413A (en) * 2003-08-06 2005-03-01 Ulvac Inc Device and method for manufacturing thin films
US7754604B2 (en) * 2003-08-26 2010-07-13 Novellus Systems, Inc. Reducing silicon attack and improving resistivity of tungsten nitride film
US20050069641A1 (en) * 2003-09-30 2005-03-31 Tokyo Electron Limited Method for depositing metal layers using sequential flow deposition
US6924223B2 (en) * 2003-09-30 2005-08-02 Tokyo Electron Limited Method of forming a metal layer using an intermittent precursor gas flow process
US7405143B2 (en) 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
US7410864B2 (en) * 2004-04-23 2008-08-12 Infineon Technologies Ag Trench and a trench capacitor and method for forming the same
KR100578221B1 (ko) * 2004-05-06 2006-05-12 주식회사 하이닉스반도체 확산방지막을 구비하는 반도체소자의 제조 방법
DE602005016933D1 (de) * 2004-06-28 2009-11-12 Cambridge Nanotech Inc Atomlagenabscheidungssystem und -verfahren
KR100550346B1 (ko) * 2004-08-24 2006-02-08 삼성전자주식회사 반도체 장치와 그의 제조 방법 및 이를 이용한 트랜치소자 분리막의 제조 방법
KR100615093B1 (ko) * 2004-08-24 2006-08-22 삼성전자주식회사 나노크리스탈을 갖는 비휘발성 메모리 소자의 제조방법
KR100648252B1 (ko) * 2004-11-22 2006-11-24 삼성전자주식회사 텅스텐막 형성 방법 및 이를 이용하는 반도체 소자의 형성방법
US7429402B2 (en) * 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
KR100745066B1 (ko) 2005-03-24 2007-08-01 주식회사 하이닉스반도체 반도체 소자의 금속배선 형성 방법
JP4945937B2 (ja) * 2005-07-01 2012-06-06 東京エレクトロン株式会社 タングステン膜の形成方法、成膜装置及び記憶媒体
JP2007048926A (ja) * 2005-08-10 2007-02-22 Tokyo Electron Ltd W系膜の成膜方法、ゲート電極の形成方法、半導体装置の製造方法およびコンピュータ読取可能な記憶媒体
JP2007046134A (ja) * 2005-08-11 2007-02-22 Tokyo Electron Ltd 金属系膜形成方法及びプログラムを記録した記録媒体
US7235485B2 (en) * 2005-10-14 2007-06-26 Samsung Electronics Co., Ltd. Method of manufacturing semiconductor device
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
TWI329135B (en) 2005-11-04 2010-08-21 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
JP2007194468A (ja) * 2006-01-20 2007-08-02 Renesas Technology Corp 半導体装置およびその製造方法
US7951669B2 (en) 2006-04-13 2011-05-31 Sandisk Corporation Methods of making flash memory cell arrays having dual control gates per memory cell charge storage element
JP5558815B2 (ja) * 2006-06-30 2014-07-23 アプライド マテリアルズ インコーポレイテッド ナノ結晶の形成
US8268409B2 (en) * 2006-10-25 2012-09-18 Asm America, Inc. Plasma-enhanced deposition of metal carbide films
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US8821637B2 (en) * 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
US8287647B2 (en) * 2007-04-17 2012-10-16 Lam Research Corporation Apparatus and method for atomic layer deposition
US7713874B2 (en) 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
US20080273410A1 (en) * 2007-05-04 2008-11-06 Jaydeb Goswami Tungsten digitlines
JP2009024252A (ja) * 2007-05-15 2009-02-05 Applied Materials Inc タングステン材料の原子層堆積法
US7655567B1 (en) 2007-07-24 2010-02-02 Novellus Systems, Inc. Methods for improving uniformity and resistivity of thin tungsten films
US8049178B2 (en) * 2007-08-30 2011-11-01 Washington State University Research Foundation Semiconductive materials and associated uses thereof
US20090087550A1 (en) * 2007-09-27 2009-04-02 Tokyo Electron Limited Sequential flow deposition of a tungsten silicide gate electrode film
US7772114B2 (en) * 2007-12-05 2010-08-10 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
US8053365B2 (en) 2007-12-21 2011-11-08 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
US8062977B1 (en) 2008-01-31 2011-11-22 Novellus Systems, Inc. Ternary tungsten-containing resistive thin films
JP5551681B2 (ja) * 2008-04-16 2014-07-16 エーエスエム アメリカ インコーポレイテッド アルミニウム炭化水素化合物を使用する金属炭化物膜の原子層堆積
US7666474B2 (en) 2008-05-07 2010-02-23 Asm America, Inc. Plasma-enhanced pulsed deposition of metal carbide films
US8058170B2 (en) * 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US8551885B2 (en) * 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
KR101485506B1 (ko) 2008-11-19 2015-01-28 주식회사 원익아이피에스 박막 증착방법
KR101462154B1 (ko) 2008-12-15 2014-11-14 주식회사 원익아이피에스 텅스텐 박막 증착방법
CN102265383B (zh) * 2008-12-31 2014-06-11 应用材料公司 用于沉积具有降低电阻率及改良表面形态的钨膜的方法
US8623733B2 (en) 2009-04-16 2014-01-07 Novellus Systems, Inc. Methods for depositing ultra thin low resistivity tungsten film for small critical dimension contacts and interconnects
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
TWI427704B (zh) * 2009-07-31 2014-02-21 Ulvac Inc 半導體裝置之製造裝置及半導體裝置之製造方法
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US8207062B2 (en) * 2009-09-09 2012-06-26 Novellus Systems, Inc. Method for improving adhesion of low resistivity tungsten/tungsten nitride layers
US10513772B2 (en) 2009-10-20 2019-12-24 Asm International N.V. Process for passivating dielectric films
US8709948B2 (en) 2010-03-12 2014-04-29 Novellus Systems, Inc. Tungsten barrier and seed for copper filled TSV
US8633109B2 (en) * 2010-08-04 2014-01-21 Taiwan Semiconductor Manufacturing Company, Ltd. Soft error rate (SER) reduction in advanced silicon processes
CA2839406C (en) 2011-06-16 2019-10-29 Zimmer, Inc. Chemical vapor infiltration apparatus and process
US8734514B2 (en) 2011-06-16 2014-05-27 Zimmer, Inc. Micro-alloyed porous metal having optimized chemical composition and method of manufacturing the same
US20130224948A1 (en) * 2012-02-28 2013-08-29 Globalfoundries Inc. Methods for deposition of tungsten in the fabrication of an integrated circuit
CN113862634A (zh) 2012-03-27 2021-12-31 诺发系统公司 钨特征填充
KR101435100B1 (ko) * 2012-06-20 2014-08-29 주식회사 엠티에스나노테크 원자층 증착 장치
US9034760B2 (en) 2012-06-29 2015-05-19 Novellus Systems, Inc. Methods of forming tensile tungsten films and compressive tungsten films
US8975184B2 (en) 2012-07-27 2015-03-10 Novellus Systems, Inc. Methods of improving tungsten contact resistance in small critical dimension features
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US9169556B2 (en) * 2012-10-11 2015-10-27 Applied Materials, Inc. Tungsten growth modulation by controlling surface composition
US9546419B2 (en) * 2012-11-26 2017-01-17 Applied Materials, Inc. Method of reducing tungsten film roughness and resistivity
KR101971547B1 (ko) * 2013-01-03 2019-04-24 주식회사 원익아이피에스 반도체 소자의 금속층 형성 방법
US9412602B2 (en) 2013-03-13 2016-08-09 Asm Ip Holding B.V. Deposition of smooth metal nitride films
US8841182B1 (en) 2013-03-14 2014-09-23 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
US9394609B2 (en) 2014-02-13 2016-07-19 Asm Ip Holding B.V. Atomic layer deposition of aluminum fluoride thin films
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
CN106471153B (zh) 2014-07-17 2019-11-08 应用材料公司 使用转盘式批沉积反应器沉积钴层的方法和设备
US10094023B2 (en) 2014-08-01 2018-10-09 Applied Materials, Inc. Methods and apparatus for chemical vapor deposition of a cobalt layer
WO2016046909A1 (ja) * 2014-09-24 2016-03-31 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、半導体装置およびプログラム
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
KR102216575B1 (ko) 2014-10-23 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 티타늄 알루미늄 및 탄탈륨 알루미늄 박막들
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
KR102397797B1 (ko) * 2015-05-27 2022-05-12 램 리써치 코포레이션 순차적인 cvd 프로세스에 의한 저 불소 텅스텐의 증착
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
WO2017070634A1 (en) * 2015-10-23 2017-04-27 Applied Materials, Inc. Methods for spatial metal atomic layer deposition
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9972968B2 (en) * 2016-04-20 2018-05-15 Trumpf Photonics, Inc. Passivation of laser facets and systems for performing the same
KR20170120443A (ko) * 2016-04-21 2017-10-31 삼성전자주식회사 텅스텐 박막의 형성 방법 및 이를 이용한 반도체 소자의 제조 방법
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
CN114875388A (zh) 2017-05-05 2022-08-09 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10199267B2 (en) 2017-06-30 2019-02-05 Lam Research Corporation Tungsten nitride barrier layer deposition
US11348795B2 (en) 2017-08-14 2022-05-31 Lam Research Corporation Metal fill process for three-dimensional vertical NAND wordline
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10991573B2 (en) 2017-12-04 2021-04-27 Asm Ip Holding B.V. Uniform deposition of SiOC on dielectric and metal surfaces
CN111936664A (zh) 2018-03-19 2020-11-13 应用材料公司 在航空航天部件上沉积涂层的方法
US11201227B2 (en) * 2018-04-27 2021-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure with barrier layer and method for forming the same
EP3784815A4 (en) 2018-04-27 2021-11-03 Applied Materials, Inc. PROTECTION OF COMPONENTS AGAINST CORROSION
US11549175B2 (en) 2018-05-03 2023-01-10 Lam Research Corporation Method of depositing tungsten and other metals in 3D NAND structures
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
US10636705B1 (en) 2018-11-29 2020-04-28 Applied Materials, Inc. High pressure annealing of metal gate structures
CN113424300A (zh) 2018-12-14 2021-09-21 朗姆研究公司 在3d nand结构上的原子层沉积
CN113366144B (zh) 2019-01-28 2023-07-07 朗姆研究公司 金属膜的沉积
KR20210127262A (ko) 2019-03-11 2021-10-21 램 리써치 코포레이션 몰리브덴-함유 막들의 증착을 위한 전구체들
WO2020210260A1 (en) * 2019-04-11 2020-10-15 Lam Research Corporation High step coverage tungsten deposition
WO2020219332A1 (en) 2019-04-26 2020-10-29 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
KR20220047333A (ko) * 2019-08-12 2022-04-15 램 리써치 코포레이션 텅스텐 증착
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
US11739429B2 (en) 2020-07-03 2023-08-29 Applied Materials, Inc. Methods for refurbishing aerospace components
CN112201748B (zh) * 2020-09-27 2024-04-16 昕原半导体(上海)有限公司 阻变存储器的钨薄膜制备方法
US11976002B2 (en) 2021-01-05 2024-05-07 Applied Materials, Inc. Methods for encapsulating silver mirrors on optical structures
US11939668B2 (en) * 2022-04-26 2024-03-26 Applied Materials, Inc. Gas delivery for tungsten-containing layer
CN115011947A (zh) * 2022-06-30 2022-09-06 厦门韫茂科技有限公司 一种沉积钨的金刚石粉以及复合铜粉的材料及其制备方法

Family Cites Families (122)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2002008A (en) * 1933-05-25 1935-05-21 Benjamin Howard Benson Apparatus for blood transfusion
FI117944B (fi) 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
US6482262B1 (en) 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
FI118158B (sv) 1999-10-15 2007-07-31 Asm Int Förfarande för modifiering av utgångsämneskemikalierna i en ALD-prosess
JPS6065004A (ja) * 1983-09-20 1985-04-13 Hiroaki Egawa キレ−ト樹脂及びその製造法
US5028565A (en) * 1989-08-25 1991-07-02 Applied Materials, Inc. Process for CVD deposition of tungsten layer on semiconductor wafer
JPH05206081A (ja) * 1992-01-28 1993-08-13 Sony Corp ドライエッチング方法
JP3415207B2 (ja) * 1992-07-24 2003-06-09 東京エレクトロン株式会社 化学気相成長による金属薄膜形成方法
US5306666A (en) 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
JPH0794727A (ja) * 1993-09-21 1995-04-07 Toshiba Corp 半導体装置の製造方法
JPH0794425A (ja) * 1993-09-24 1995-04-07 Toshiba Corp 金属薄膜の形成方法および金属薄膜の形成装置
JP3358328B2 (ja) * 1994-10-27 2002-12-16 ソニー株式会社 高融点金属膜の成膜方法
US5804488A (en) * 1995-08-24 1998-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a tungsten silicide capacitor having a high breakdown voltage
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US6335280B1 (en) * 1997-01-13 2002-01-01 Asm America, Inc. Tungsten silicide deposition process
TW417249B (en) * 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
US6156382A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US6162715A (en) * 1997-06-30 2000-12-19 Applied Materials, Inc. Method of forming gate electrode connection structure by in situ chemical vapor deposition of tungsten and tungsten nitride
KR100385946B1 (ko) 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
JP4097747B2 (ja) * 1997-08-07 2008-06-11 株式会社アルバック バリア膜形成方法
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
US6099904A (en) * 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
WO2000067936A1 (en) 1998-05-06 2000-11-16 H.C. Starck, Inc. Metal powders produced by the reduction of the oxides with gaseous magnesium
KR100319888B1 (ko) 1998-06-16 2002-01-10 윤종용 선택적 금속층 형성방법, 이를 이용한 커패시터 형성 및 콘택홀 매립방법
KR100278657B1 (ko) 1998-06-24 2001-02-01 윤종용 반도체장치의금속배선구조및그제조방법
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
KR100287180B1 (ko) 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
DE19847012A1 (de) 1998-10-13 2000-04-20 Starck H C Gmbh Co Kg Niobpulver und Verfahren zu dessen Herstellung
KR100327328B1 (ko) 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
JP3580159B2 (ja) * 1998-12-18 2004-10-20 東京エレクトロン株式会社 タングステン膜の成膜方法
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6540838B2 (en) 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
KR100347379B1 (ko) 1999-05-01 2002-08-07 주식회사 피케이엘 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치
FI118342B (fi) 1999-05-10 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
US6218298B1 (en) * 1999-05-19 2001-04-17 Infineon Technologies North America Corp. Tungsten-filled deep trenches
US6064847A (en) 1999-06-21 2000-05-16 Sharp Kabushiki Kaisha Developing device
US6524952B1 (en) * 1999-06-25 2003-02-25 Applied Materials, Inc. Method of forming a titanium silicide layer on a substrate
KR20010017820A (ko) 1999-08-14 2001-03-05 윤종용 반도체 소자 및 그 제조방법
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
EP1221178A1 (en) 1999-10-15 2002-07-10 ASM America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
DE60028394T2 (de) 1999-10-15 2007-03-29 Asm International N.V. Konforme auskleidungsschichten für damaszenmetallisierungen
AU1088401A (en) 1999-10-15 2001-04-30 Asm Microchemistry Oy Deposition of transition metal carbides
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6534404B1 (en) * 1999-11-24 2003-03-18 Novellus Systems, Inc. Method of depositing diffusion barrier for copper interconnect in integrated circuit
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
KR100330163B1 (ko) * 2000-01-06 2002-03-28 윤종용 반도체 장치의 텅스텐 콘택 플러그 형성 방법
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
US6601126B1 (en) * 2000-01-20 2003-07-29 Palmchip Corporation Chip-core framework for systems-on-a-chip
DE60125338T2 (de) * 2000-03-07 2007-07-05 Asm International N.V. Gradierte dünne schichten
US6274484B1 (en) * 2000-03-17 2001-08-14 Taiwan Semiconductor Manufacturing Company Fabrication process for low resistivity tungsten layer with good adhesion to insulator layers
KR100363088B1 (ko) 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6482733B2 (en) * 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6759325B2 (en) * 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US6921712B2 (en) 2000-05-15 2005-07-26 Asm International Nv Process for producing integrated circuits including reduction using gaseous organic compounds
KR100403611B1 (ko) 2000-06-07 2003-11-01 삼성전자주식회사 금속-절연체-금속 구조의 커패시터 및 그 제조방법
US7253076B1 (en) 2000-06-08 2007-08-07 Micron Technologies, Inc. Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers
WO2001099166A1 (en) * 2000-06-08 2001-12-27 Genitech Inc. Thin film forming method
KR100387255B1 (ko) 2000-06-20 2003-06-11 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성 방법
KR100332313B1 (ko) 2000-06-24 2002-04-12 서성기 Ald 박막증착장치 및 증착방법
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6936538B2 (en) * 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US6551929B1 (en) * 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
KR100444149B1 (ko) 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
US6368954B1 (en) 2000-07-28 2002-04-09 Advanced Micro Devices, Inc. Method of copper interconnect formation using atomic layer copper deposition
KR100630666B1 (ko) 2000-08-09 2006-10-02 삼성전자주식회사 금속 콘택 및 커패시터를 포함하는 반도체 소자 제조방법
US6903005B1 (en) 2000-08-30 2005-06-07 Micron Technology, Inc. Method for the formation of RuSixOy-containing barrier layers for high-k dielectrics
US6376346B1 (en) * 2000-09-28 2002-04-23 Fabtech, Inc. High voltage device and method for making the same
US6355561B1 (en) 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
JP4333900B2 (ja) 2000-11-30 2009-09-16 エーエスエム インターナショナル エヌ.ヴェー. 磁気メモリセル、磁気構造体及び磁気素子の製造方法、並びに磁気構造体用金属層の成長方法
US6416822B1 (en) * 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6949450B2 (en) * 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US20020104481A1 (en) * 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
KR100386034B1 (ko) 2000-12-06 2003-06-02 에이에스엠 마이크로케미스트리 리미티드 확산 방지막의 결정립계를 금속산화물로 충진한 구리 배선구조의 반도체 소자 제조 방법
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6428859B1 (en) * 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US20020073924A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Gas introduction system for a reactor
US20020076481A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Chamber pressure state-based control for a reactor
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
KR20020056260A (ko) 2000-12-29 2002-07-10 박종섭 반도체 소자의 금속 게이트 형성방법
US20020086111A1 (en) * 2001-01-03 2002-07-04 Byun Jeong Soo Method of forming refractory metal nitride layers using chemisorption techniques
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
KR100400033B1 (ko) 2001-02-08 2003-09-29 삼성전자주식회사 다층 배선 구조를 갖는 반도체 소자 및 그의 제조방법
KR100395766B1 (ko) 2001-02-12 2003-08-25 삼성전자주식회사 강유전체 기억 소자 및 그 형성 방법
US20020117399A1 (en) 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
US20020121241A1 (en) * 2001-03-02 2002-09-05 Nguyen Anh N. Processing chamber and method of distributing process fluids therein to facilitate sequential deposition of films
US6660126B2 (en) * 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
FI109770B (fi) 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
US6369430B1 (en) 2001-04-02 2002-04-09 Motorola, Inc. Method of preventing two neighboring contacts from a short-circuit caused by a void between them and device having the same
US6420189B1 (en) 2001-04-27 2002-07-16 Advanced Micro Devices, Inc. Superconducting damascene interconnected for integrated circuit
US6447933B1 (en) 2001-04-30 2002-09-10 Advanced Micro Devices, Inc. Formation of alloy material using alternating depositions of alloy doping element and bulk material
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
TW581822B (en) * 2001-07-16 2004-04-01 Applied Materials Inc Formation of composite tungsten films
US6548906B2 (en) * 2001-08-22 2003-04-15 Agere Systems Inc. Method for reducing a metal seam in an interconnect structure and a device manufactured thereby
US6806145B2 (en) 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
KR101013231B1 (ko) * 2001-09-14 2011-02-10 에이에스엠 인터내셔널 엔.브이. 환원펄스를 이용한 원자층증착에 의한 질화금속증착
US6718126B2 (en) * 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US20030049931A1 (en) * 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
KR20030025494A (ko) * 2001-09-21 2003-03-29 삼성전자주식회사 루테늄막과 금속층간의 콘택을 포함하는 반도체 장치 및그의 제조 방법
US6607976B2 (en) * 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6423619B1 (en) 2001-11-30 2002-07-23 Motorola, Inc. Transistor metal gate structure that minimizes non-planarity effects and method of formation
US20030116087A1 (en) * 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US20030123216A1 (en) * 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6827978B2 (en) * 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6833161B2 (en) * 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
KR100476926B1 (ko) * 2002-07-02 2005-03-17 삼성전자주식회사 반도체 소자의 듀얼 게이트 형성방법
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US6955211B2 (en) * 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US6772072B2 (en) * 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
WO2004009011A1 (en) * 2002-07-23 2004-01-29 Healthsouth Corporation Improved powered gait orthosis and method of utilizing same
US7300038B2 (en) * 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
JP4188033B2 (ja) * 2002-08-30 2008-11-26 本田技研工業株式会社 油圧緩衝機の取付構造

Also Published As

Publication number Publication date
KR20040050073A (ko) 2004-06-14
US20060040052A1 (en) 2006-02-23
TW589684B (en) 2004-06-01
US20030104126A1 (en) 2003-06-05
US20040247788A1 (en) 2004-12-09
WO2003031679A3 (en) 2003-08-21
US6797340B2 (en) 2004-09-28
JP2005505690A (ja) 2005-02-24
KR100978993B1 (ko) 2010-08-30
JP4174424B2 (ja) 2008-10-29
WO2003031679B1 (en) 2004-05-13
JP2008303466A (ja) 2008-12-18
WO2003031679A2 (en) 2003-04-17

Similar Documents

Publication Publication Date Title
JP5048602B2 (ja) 一連の堆積技術を用いる耐火性金属層を堆積する方法
KR102466639B1 (ko) 몰리브덴을 함유하는 저 저항률 막들
US6827978B2 (en) Deposition of tungsten films
US7964505B2 (en) Atomic layer deposition of tungsten materials
US7429516B2 (en) Tungsten nitride atomic layer deposition processes
US6939804B2 (en) Formation of composite tungsten films
US7405158B2 (en) Methods for depositing tungsten layers employing atomic layer deposition techniques
US7695563B2 (en) Pulsed deposition process for tungsten nucleation
US20030215570A1 (en) Deposition of silicon nitride
US20090081866A1 (en) Vapor deposition of tungsten materials
JP2009024252A (ja) タングステン材料の原子層堆積法
US20240006180A1 (en) Low resistance pulsed cvd tungsten

Legal Events

Date Code Title Description
RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101209

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101214

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A132

Effective date: 20110825

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111125

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111215

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120315

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120321

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120615

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120705

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120719

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150727

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 5048602

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term