TW587273B - Method of producing semiconductor device - Google Patents

Method of producing semiconductor device Download PDF

Info

Publication number
TW587273B
TW587273B TW090132522A TW90132522A TW587273B TW 587273 B TW587273 B TW 587273B TW 090132522 A TW090132522 A TW 090132522A TW 90132522 A TW90132522 A TW 90132522A TW 587273 B TW587273 B TW 587273B
Authority
TW
Taiwan
Prior art keywords
silicon
gas
semiconductor device
manufacturing
aforementioned
Prior art date
Application number
TW090132522A
Other languages
English (en)
Inventor
Tadahiro Ohmi
Shigetoshi Sugawa
Masaki Hirayama
Yasuyuki Shirai
Original Assignee
Tadahiro Ohmi
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tadahiro Ohmi filed Critical Tadahiro Ohmi
Application granted granted Critical
Publication of TW587273B publication Critical patent/TW587273B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02247Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by nitridation, e.g. nitridation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02307Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a liquid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3145Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers formed by deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31654Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself
    • H01L21/31658Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe
    • H01L21/31662Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe of silicon in uncombined form
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/105Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including field-effect components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4908Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET for thin film semiconductor, e.g. gate of TFT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/6675Amorphous silicon or polysilicon transistors
    • H01L29/66757Lateral single gate single channel transistors with non-inverted structure, i.e. the channel layer is formed before the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78603Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the insulating substrate or support
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • H10B41/42Simultaneous manufacture of periphery and memory cells
    • H10B41/49Simultaneous manufacture of periphery and memory cells comprising different types of peripheral transistor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B99/00Subject matter not provided for in other groups of this subclass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78645Thin film transistors, i.e. transistors with a channel being at least partly a thin film with multiple gate

Description

五、發明説明( 1 ) A7 B7 發明的技術锔域 本發明和梦半導體上《氧化膜、氮化膜、及氧氮化膜等 形成之半導體裝置及其形成方法相關。 先前技藝 Μ I S (金屬/絕緣材/矽)電晶體之閘極絕緣膜,要求低漏 電電流特性、低界面準位密度、高耐壓性、高熱載流子 耐性、以及均一臨限值電壓特性等各種高性能電氣特性 及高信賴度特性。 滿足這些要求之閘極絕緣膜形成技術方面,傳統上係採 用氧分子及氫分子之8〇〇。(:以上的熱氧化技術。 為氧化步驟係從其如步驟之有機物、金屬、微粒等表面 附著污染物之去除的洗淨步驟開始。此種傳統洗淨步 驟’最後都以稀氟酸或加氫水等進行洗淨,以氫來將石夕 表面之矽未結合鍵予以末端化,抑制矽表面之自然氧化 膜的形成,再陸續將具有清淨表面之矽基板導入熱氧化 步驟。熱氧化步驟係在氬(A r)等惰性氣體環境下使矽基 體昇溫之過程中,在大約600°c以上之溫度使此表面末端 氫脫離。矽表面之氧化,則是在其後之800°c以上時導入 氧分子或氫分子之環境下實施。 以往,使用此種熱氧化技術在矽表面形成矽氧化膜時, 只有表面採用(1 〇〇 )面方位配向之矽時,才能獲得良好氧 化膜/矽界面特性、氧化膜之耐壓特性、以及漏電電流特 性等。另外,以傳統熱氧化技術製成之矽氧化膜時,若 其膜厚在大約2 nm以下時,就會發生明顯漏電電流惡化 -4 - 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 587273 A7 B7 五、發明説明(2 ) * - , - · 的現象,而妨礙要求閘極絕緣膜薄膜化之高性能微細電 晶體的實現_。 此外,(100 )面以外之其他面方位配向之結晶矽、及絕 緣膜上主要為(111 )面配向之多結晶矽等時,-即始使用熱 氧化技術來形成矽氧化膜,和(100)面方位配向之矽的矽 氧化膜比較時,氧化膜/矽界面之界面準位密度會顯著增 高,故膜厚較薄之矽氧化膜時,耐壓特性及漏電電流特 性等之電氣特性會劣化,若要使用,則必須增加矽氧化 :膜之膜厚。 另一方面,近年來為了提升半導體裝置之生產效率,持 續採用石夕晶片基板或大面積玻璃基板。為了能以大型基 板、高生產量來生產整體具有均一特性之電晶體,必須 為昇溫降溫之溫度變化幅度較少的低溫、且溫度依賴性 較少之絕緣膜形成步驟。傳統之熱氧化步驟中,氧化反 應速度會對應溫度之變動而有較大的變化,故想要使用 大面積基板實施高生產量之半導體裝置的生產十分困 難。 為了解決此種傳統熱氧化步驟之問題,嚐試各種低溫成 膜處理。其中,日本專利特開平1 1-279773公報記載之技 術、Technical Digest of International Electron Devices Meeting 1999 pp. 249-252 1己載之技術 2 或 2000 Symposium on VLSI Technology Digest of Technical Pampers pp. 76-177 記載之技 術中,就是利用將惰性氣體及氧氣分子導入電漿内,有 效使具有較大準安定準位之惰性氣體中之氧分子的原子 -5- 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐)
裝 訂
線 587273 A7 B7 五、發明説明( 化,利用原子氧之矽表面的氧化可以獲得較佳之電氣特 性。 這些技術中,對惰性氣體之氪(Kr)及氧(02)混合氣體 照射微波,產生Kr及02混合電漿並大量產生原子氧0*, 在400°C左右之溫度進行矽之氧化,實現可以和傳統熱氧 化相比之低漏電電流特性、低界面準位密度、及高耐壓 性。另夕卜,利用此氧化技術,也可在具有(100 )面以外之 其他面方位的表面獲得高品質氧化膜。 然而,此種利用傳統微波激勵電漿之矽氧化膜形成技術 中,雖然使用原子氧0*來實施氧化,卻只能得到和傳統 使用氧分子或氫分子之熱氧化步驟相類似之電氣特性的 石夕氧化膜。尤其是碎基板表面中,具有約2 nm以下之氧 化膜厚的矽氧化膜即無法獲得良好之低漏電電流特性, 想要實現要求閘極絕緣膜更為薄膜化之高性能微細電晶 體,則和傳統之熱氧化膜技術同樣困難。 此外,對電晶體之氧化膜的熱載流子注入所造成的影響 導致電導劣化、及快閃記憶體等將電子以隧道傳導方式 傳送至矽氧化膜之元件中隨著漏電電流之增加等而產生 電氣特性之經時劣化等問題,比利用傳統熱步騾來形成 矽氧化膜時更為明顯。 發明之揭示 — 本發明係以提供新穎有用之半導體裝置及其製造方法來 解決前面所述之課題。 本發明之更具體的課題,係提供可以取代傳統熱氧化技 -6 - 本紙張尺度適用中國國家標準(CNS) A4規格(21〇x 297公釐) 裝 訂
587273 A7 B7 五、發明説明(4 ) 術之低溫電漿氧化技術。 本發明之其他課題,係提供全部面方位之矽面都可使用 之低溫高品質絕緣膜形成技術。 本發明之另外課題,係提供使用低溫高品賢絕緣膜形成 技術之高信賴度、高品質微細半導體裝置,尤其是電晶 體積體電路裝置、快閃記憶體裝置、以及具有複數電晶 體及各種機能元件之3次元積體電路裝置、及其製造方 法。 本發明之其他課題,係提供一半導體裝置,其係含有在 矽表面上形成矽化合物層之半導體裝置,其特徵為··前 述石夕化合物層至少含有特定惰性氣體且氫含量之面密度 換算為10n/cm2以下。 本發明之其他課題,是提供一半導體裝置,其係在共用 基板上具備具有介由第1矽化合物層在矽表面上形成之多 結晶碎膜的電晶體、以及在多結晶碎表面上形成之第2石夕 化合物層的電容器之半導體裝置,其特徵為:具有前述第 1及第2矽化合物層至少含有特定惰性氣體且氫含量之面 密度換算為lO^/cm2以下。 本發明之其他課題,是提供一半導體裝置,其係以基板 上形成之多結晶矽層或無結晶層為活性層之半導體裝 置,其特徵為:前述矽層之表面上形成至少含有特定惰 性氣體且氫含量之面密度換算為-1011/cm2以下之矽化合物 層, 且以前述半導體裝置驅動前述基板上形成之顯示元件。 -7- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)
裝 訂
587273 A7
本發明之其他課題,是提供一半導體裝置,其係前逑矽 基板上之半導體裝置的製造方法,其特徵為:具有使前述 矽表面曝露於第1惰性氣體之第1電漿,去除前述矽表面 上至少部份且預先存在之氫的步騾、及 以第2惰性氣體及一種或複數種氣體分子之混合氣體形 成:第2電漿,且在前述第2電漿狀態下,形成至少含有 在則述矽表面上構成前述氣體分子之部份元素的矽化合 物層之步驟。 本發明之其他課題,是提供一半導體裝置,其係在共 用基板上具備具有介由第1絕緣膜在矽表面上形成之多结 晶矽膜的電晶體、以及在多結晶矽表面上形成之含有第2 絕緣f的電容器之半導體裝置製造方法,其特徵為:具 有使前述矽表面曝露於第i惰性氣體之第丨電漿,去除前 述矽表面上至少部份且預先存在之氫的步驟、及 以第2惰性氣體及一種或複數種氣體分子之混合氣體形 成02電漿’ JL在前述第2 „狀態下’以前述第i絕緣 膜万式形成至少含有在前述⑦表面上構成前述氣體分子 足部份7C素的矽化合物層之步驟。 本發明之其他課題,是提供一半導體裝置,其係以活性 =為基板上之多結晶♦層或非晶質碎層的半導體裝置 法“f特徵為:具有-在前述基板上形成由多結晶 夕層或非晶質矽層構成之矽層的步驟, 使前述碎層表面曝露^第卜隋性氣體之電漿,去除前述 矽層表面上至少部份存在之氫的步驟、及
裝 訂
線 -8- 587273 A7 B7 五、發明説明(6 ) 以第2惰性氣體及一種或複數種氣體分子之混合氣體形 成之電漿,.以在前述矽層表面形成至少含有構成前述氣 體分子之部份元素的矽化合物層之步驟。 利用本發明,可以在不會使矽表面之平坦性·惡化且不會 破壞真空之連續步驟中,即始在400°C以下之低溫也可除 去表面末端氫,並在500°C以下之低溫於所有面方位之矽 上,形成具有比以傳統熱氧化步驟及微波電漿步驟成膜 之矽氧化膜更佳之特性及信賴度的矽氧化膜、矽氮化 膜、及矽氧氮化膜,實現高信賴度、高性能微細電晶體 積體電路。 另外,利用本發明,在具有淺溝分離等元件分離壁部 之角部或凹凸表面形狀之矽表面,也可形成漏電電流及 耐壓等特性良好之較薄、高品質矽氧化膜、矽氮化膜、 及矽氧氮化膜,也可達成具有元件分離寬度較窄之高密 度元件積體化及立體構造的高密度元件積體化。 使用本發明之閘極絕緣膜,可以實現可增加甚多重寫次 數之快閃記憶體元件等。 此外,利用本發明,也可以在絕緣膜上形成、以(1 11 ) 面配向為主之多結晶矽上,形成高品質矽閘極氧化膜及 矽閘極氮化膜,實現使用具有高驅動能力之多結晶矽電 晶體的顯示裝置、以及電晶體_及機能元件之複數積層的3 次元積體電路元件。 - 圖式之簡單說明 圖1係使用輻射狀線隙縫天線之電漿裝置概念圖; -9 - 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 587273 A7 B7
圖2係以紅外分光器測量之w面末端氯及石夕的社 Kr電漿曝露依賴度特性圖; °° 圖3係矽氧化膜厚之處理室氣壓依賴性特性圖; 圖4係矽氧化膜中之Kr密度的深度方向分布特性圖; 圖5係矽氧化膜之電流電壓特性圖; 圖6係矽氧化膜及矽氧氮化膜之漏電電流特性及膜厚關 係圖; 圖7係矽氮化膜厚之處理室氣壓依賴性特性圖; 圖8係矽氧氮化膜形成時之原子氧及原子氫的發光強度 特性圖; 圖9係矽氧氮化膜之元素分布特性圖; 圖1 0係矽氧氮化膜之電流電壓特性圖; 圖1 1 A至1 1 C係淺溝分離之概念剖面圖; 圖1 2係在凹凸之矽表面上形成的立體電晶體之剖面構 造圖, 圖1 3係快閃記憶體元件之剖面構造概念圖; 圖1 4係階段性說明本發明之快閃記憶體元件形成方法 的概略剖面圖, 圖1 5係階段性說明本發明之快閃記憶體元件形成方法 的概略剖面圖; 圖1 6係階段性說明本發明之快閃記憶體元件形成方法 的概略剖面圖; _ 圖1 7係階段性說明本發明之快閃記憶體元件形成万法 的概略剖面圖; -10- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)
裝 訂
587273 A7 B7 五、發明説明(8 ) 圖1 8係金屬基板SOI上之MOS電晶體的剖面構造概略 S3 · 圖, 圖19係適應玻璃基板及塑膠基板等之電漿裝置的概念圖; 圖2 0係絕緣膜將之多結晶碎電晶體的剖面構造概略圖; 圖2 1係3次元L S I之剖面構造概念圖。 發明之實施形態 下面以圖面說明使用本發明之最佳諸實施形態。 (第1實施形態) 首先,針對使用電漿之低溫氧化膜形成進行說明。 圖1為本發明所使用之輻射狀線隙縫天線電漿處理裝置 之實例的剖面圖。 在本實施形態中,為了去除矽表面之未結合鍵末端氫, 使用在下一氧化膜形成步驟中被當作電漿激勵氣體使用 之Kr在同一處理皇内連續實施表面末端氫除去處理及 氧化處理。 首先,使真2谷器(處理室)ι〇ι内成為真空,再從噴淋 板102先導入Ar氣體,然後再換成Kr氣體。同時,將前 逑處理室101内之壓力設定為133 Pa 〇 τ〇ΓΓ)。 其次,將矽基板1〇3置於具有加熱機構之試料台1〇4 上將試料溫度設定為400°C。前述矽基板103之溫度若 在200-550。(:之範圍内,則會獲得和下面所述大致相同的 結果。前述矽基板丨〇3在前處理言驟中實施稀氟酸洗淨, 結果,以氫將表面之矽未結合鍵予以末端化。 從同軸導波管10 5對輻射狀線隙縫天線1 0 ό供應頻率為 -11 - 587273 A7 B7 五、發明説明( 2.45 GHz之微波,前述微波會從前述輻射狀線隙缝天線 106,經由設於處理室1〇1之部份壁面上的謗電體板 107,導入至前述處理室ιοί内。導入之微波,會激勵從 前述喷淋板102導入前述處理室ιοί内之Kr氣體,結果, 在前述噴淋板102之正下方形成高密度Kr電漿。提供之 微波的頻率只要大約在900 MHz以上、1 〇 GHz以下之範圍 内,則可獲得如下所述之相似結果。 圖1之構成上,噴淋板1〇2及基板103之間隔,本實施 形態中設定為6 cm。此間隔愈狹窄即可愈快速成膜。在本 實施形態中,係以使用輻射狀線隙縫夭線之電漿裝置來 做為實例,但也可使用其他方法來將微波導入處理室内 並激勵電漿亦可。 將前述矽基板103曝露於以Kr氣體激勵之電漿中,使前 述石夕基板1 0 3之表面受到低能量之κ r離子的照射,去除 其表面的末端氫。 圖2係以紅外分光器分析前述碎基板1 〇 3表面之石夕-氫結 合的結果’係以133 Pa (1 Ton*)之壓力、1.2 W/cm2之能量 將微波導入前述處理室1〇1中,利用激勵之Kr電裝來去 除矽表面末端氫之效果。 參照圖2,可知僅1秒左右之κ Γ電漿照射即可幾乎完全 消除矽一氫結合之特徵波長2丨00 cm·1附近之光吸收,而大 約3 0秒之照射則可完全消除。亦即,大約3 〇秒之κ ^電漿 照射即可去除將矽表面予以末端化之氫。在本實施形钱 中’實施1分鐘之Κι*電漿照射來完全去除表面末端氯。
裝 訂
線 -12-
五、發明説明(1〇 ) 然後,從前述噴淋板102導入97/3分壓比
Pa(1 Torr);;:: 混合者Kr氣體及A氣體之高密度激勵電漿中,中間激勵 狀態之Kr*及A分子會衝擊而有效且大量產生原子氧〇*。 在本實施例中,利用此原子氧〇*來使前述矽基板1〇3之 表面氧化。傳統之矽表面的熱氧化法中,以〇2分子及Η" 分子進行氧化,需要極高之8〇〇它以上的處理溫度,而2利 用本發明之原子氧的氧化處理,則在極低之4〇〇Cc的溫度 即可實施氧化。為了擴大Kr*及〇 a之衝擊機會,最好能 有較高的處理室壓力,但壓力太高時,產生之〇*會互相 衝擊,而變回〇2分子。當然,存在最適氣體壓力。 圖3係使處理室内iKr/〇2壓力比保持97门、改變前述處 理罜101内之氣體壓力時,所形成之氧化膜厚度及處理室 内壓力的關係。圖3中,矽基板丨〇 3之溫度設定為400〇c, 實施10分鐘之氧化處理。 參照圖3 ’前述處理室1 0丨内之壓力約為133 Pa (丨τ〇ΓΓ) 時會有最快的氧化速度,可知此壓力或其附近之壓力條 件為最適。此最適壓力並不限於前述矽基板1〇3之面方位 為(100 )面時,任何面方位之矽表面都會有相同結果。 在膜厚達到期望值之矽氧化膜時,停止導入微波動力, 結束電漿激勵,並將Kr/〇2混會氣體換成Ar氣體,結束氧 化步驟。在本步驟之前後使用A Γ_氣體的目的,係以比κ r 較便宜之氣體做為清洗氣體。本步驟使用之Kr氣體可以 回收再利用。 -13- 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 587273 A7 B7
五、發明説明(Ή 在形成氧化膜後,實施電極形成步驟、保護膜形成步 驟及氲燒結處理步驟等,完成含有電晶體及電交分此 之半導體龍電路裝置。 ^ 利用昇溫放出測量以前述步驟形成之矽氧化膜中的氫含 有量時,3 nm膜厚之矽氧化膜的面密度換算為1〇12。以2以 下。尤其是漏電電流較小之氧化膜時,確認矽氧化膜内 之氫含有量的面密度換算為10 n/cm2以下。另一方面,形 成氧化膜前未實施Κι·電漿曝露之氧化膜,含有超過面密 度換算1012/ cm2以上的氫。 以原子間力顯微鏡測量剝離利用前述步驟形成之矽氧化 膜的碎表面粗細度、以及形成氧化膜前之矽表面的表面 粗細度並進行比較,確認矽表面之粗細並無變化。亦 即’去除末端氫並氧化後,矽表面也不會變粗糙。 圖4係以全反射螢光X線分光裝置,調查以前述步驟形 成之石夕氧化膜中Kr密度的深度方向分布。然而,圖4之結 果為針對石夕之(1〇〇)面,但(100)面以外之方位也會獲得 相同結果。 在圖4之實驗中,Kr中之氧的分壓設定為3%,處理室 内 < 壓力則設定為133 Pa (1 Torr),在基板溫度為400t:時 實施電漿氧化處理。 參照圖4 ’矽氧化膜中之κ Γ密度距離底層矽表面愈遠會 忽大 在石夕乳化膜表面的密度則_達到2 X 101 V cm2。由此 可知’以前述步驟得到之矽氧化膜,在距離底層之矽表 面4 nm以上的區域時,膜中之濃度會一定,另一方 -14- h 張尺度適财 ai^^^i^(2i()x297_ 587273 A7 B7 五、發明説明(12 ) 面,距離底層之矽表面4 nm以下的區域時,其濃度則會 隨著愈靠近矽/矽氧化膜之界面而減少。 圖5係以上述步騾獲得之矽氧化膜的漏電電流施加電界 依賴性。然而,圖5之結果為碎氧化膜之膜厚·4.4 nm時。 為了進行比較,圖5中也標示形成氧化膜前未實施Kr電漿 曝露時之同一膜厚氧化膜的漏電電流特性。 參照圖5,未實施K r電漿曝露時之矽氧化膜的漏電電流 特性,和傳統之熱氧化法的漏電電流特性相同,即使以 Kr/02微波電漿實施氧化處理,可知所得之氧化膜的漏電 電流特性並無太大改善。相對於此,利用K r電漿照射實 施末端氫去除後,導入Kr/02氣體進行氧化之本實施形態 方法所形成的矽氧化膜,其同一電界之漏電電流會為以 傳統微波電漿氧化所形成之矽氧化膜的數百分之一至數 千分之一,呈現非常良好之低漏電特性。同時可確認, 膜厚為1.7 nm左右之矽氧化膜也可獲得相同程度之漏電電 流特性的改善。 圖6係變更前述矽氧化膜之膜厚來測量本實施形態之矽 氧化膜漏電電流特性的結果。圖6中,△為傳統熱氧化膜 之漏電電流特性、〇為省略K r電漿曝露而以Kr/02電漿實 施氧化時之矽氧化膜的漏電電流特性、•為前述K r電漿 曝露後以前述Kr/02電漿實施氧化之本實施形態矽氧化膜 的漏電電流特性。此外,圖6中之·的資料,為後面將要 說明之氧氮化膜的漏電電流特性。 由圖6可知,如◦所示之省略Kr電漿曝露步驟而以電漿 -15- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 587273 A7 B7 五、發明説明(13 ) 氧化步驟形成石夕氧化膜的漏電電流特性、和如△所示之 熱氧化膜的漏電電流特性一致,相對於此,如·所示之 本實施形態矽氧化膜的漏電電流特性為〇所示之漏電電 流特性的數百分之一至數千分之一。雖然本·實施形態之 石夕氧化膜的膜厚約為1.5 nm,卻可實現相當於2 nm厚度之 熱氧化膜的1 X l〇_2A / cm2漏電電流。 此外,針對本實施形態之碎氧化膜,測量秒/碎氧化膜 界面準位密度之面方位依賴度,發現任何面方位之矽表 面,都可得到極低之約1 X 101GcnT2 eV·1的低界面準位密 度。 另外,耐壓特性、熱載流子耐性、流過應力電流時之石夕 氧化膜破壞電荷量QBD ( Charge-to-Breakdown)等電氣特 性、及信賴度特性方面,以本實施形態形成之氧化膜具 有和傳統熱氧化膜相同或更好的特性。 如上面所述,去除表面末端氫後以K i702高密度電漿實 施矽氧化步驟,即始在400°C之低溫下,所有面方位之矽 都可形成優良之矽氧化膜。可以獲得此效果之原因,係 因去除末端氫可以減少氧化膜中之氫含有量,且氧化膜 中含有K r。氧化膜中之氫較少,可以減少石夕氧化膜内之 元素的弱結合,且因為含有Kr,膜中及Si/Si02界面之應 力會較緩和,膜中電荷及界面準位密度會降低,所以矽 氧化膜之電氣特性可獲得大幅改>。 特別是當表面密度換算下的氫濃度為l〇12/cm2以下、較 佳1011 /cm2以下日争,及含有5x 1011 /cm2以下左右之K r時, -16- 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 五、發明説明(14 ) ♦氧化膜的電氣特性及可靠性可獲得改善。 為實現本發明之氧化膜,除了圖1之裝置以外,也可使 用其他使用電漿而可形成低溫氧化膜之電聚處理用裝 置。例如,可以使用2段噴淋板型電漿處理裝置,其具有 以利用微波來激勵電漿為目的之排放Kr氣體的第1氣體排 放構造、以及和前述第丨氣體排放構造不同而以排放氧氣 為目的之第2氣體排放構造。 此外’在本實施形態中,在膜厚達到期望值之矽氧化膜 時,停止導入微波動力,結束電漿激勵,並將Kr/〇2混合 氣體換成A r氣體,結束氧化步驟,但在停止前述微波動 力前,也可在保持133 Pa (1 Torr)壓力之狀態下,從喷淋 板102導入分壓比為98/2之Kr/NH3混合氣體,在矽氧化膜 之表面形成約0.7 nm的矽氮化膜再結束處理亦可。利用此 方法,可以得到在表面上形成矽氮化膜之矽氧氮化膜, 形成具有較高比誘電率之絕緣膜。 (第2實施形態) 其次,針對使用電漿之低溫氮化膜形成進行說明。氮化 膜之形成上’使用和圖1相同之裝置。 在本貫施形態中,在去除末端氫及形成氮化膜形成時以 A r或K r做為電漿激勵氣體,是為了形成良質的氣化膜。 以下說明使用Ar之一例。 首先,使真空容器(處理室-)丨〇 }内進行排氣成為真空, 再從喷淋板102導入Ar氣體,兩時,將處理室内之壓力 I 設定為 13.3 Pa ( 100 ηιΤοιτ)。 其次,將在前處理步驟中利用加氫水洗淨以氫將表面之
I 本纸張尺度適用中國國家標準(CNS) Α4規格(210X297^¾-----—
587273 A7 B7
五、發明説明( 發未結合鍵予以末端化的矽基板丨〇 3,置入處理室丄〇工 内,並置於具有加熱機構之試料台104上。將試料溫度設 走為500 C。溫度若在3〇〇-550°C之範圍内,則會獲得和下 面所述大致相同的結果。 通過輻射狀線隙缝天線i 〇 6及謗電體板1〇 7,從同轴導 波管1 0 5對處理室内供應2·45 GHz之微波,使處理室内產 生高密度Ar電漿。提供之微波的頻率只要大約在9〇〇 MHz 以上、10 GHz以下之範圍内,則可獲得如下所述之相似 結果。噴淋板1 〇 2及基板i 〇 3之間隔,本實施形態中設定 為6 cm。此間隔愈狹窄即可愈快速成膜。在本實施形態 中,係以使用輻射狀線隙縫天線之電漿裝置來做為實 例,但也可使用其他方法來將微波導入處理室内。 利用此方式,曝露於以A r氣體激勵之電漿中的石夕基 板’會受到低能量之Ar離子的照射,而去除其表面末端 氫。在本實施形態中,實施1分鐘之Ar電漿曝露。 然後’從削述噴淋板1 〇 2導入對A r氣體之分壓比為2 % 之NH3混合氣體。此時’處理室内之壓力維持丨33 (1〇〇 mToir)程度。在混合著Ar氣體及nh3氣體之高密度激勵電 漿中,中間激勵狀態之Ar*及NH3分子會衝擊而有效產生 NH*基。此NH*基可氮化矽基板表面。 在膜厚達到期望值之碎氧%膜時,停止導入微波動力, 結束電聚激勵’並將Ar/NH3混合氣體換成a r氣體,結束 氧化步驟。 ~ 在形成氮化膜後’實施電極形成、保護膜形成、及氫燒 -18- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公着) 装 訂
587273 A7 B7 五、發明説明(16 ) 結處理等,完成含有電晶體及電容元件之半導體積體裝 置。 在本實施形態中,以使用輻射狀線隙缝天線之電漿裝置 來形成氮化膜之實例,但也可使用其他方法·將微波導入 處理室内。此外,在本實施形態中之電漿激勵係使用 Ar,然而,使用Kr也可獲得相同的結果。另外,本實施 形態中之電漿處理氣體係使用ΝΗ3,但也可使用Ν 2及Η 2等 之混合氣體。 本發明之碎氮化膜形成上,即始在去除表面末端氫後, 電漿中存在氫仍是重要要件。電漿中存在氫,矽氮化膜 中及界面之懸空键會形成Si-H、Ν-Η結合而末端化,結 果,矽氮化膜及界面之電子陷阱應可消失。 本發明之氮化膜存在Si-H結合及N-H結合,分別以測量 紅外線吸收光譜及X線光電子分光光譜來獲得確認。氫的 存在,可消除C V特性之滯後現象,也可將矽/矽氮化膜界 面準位密度抑制於較低之2 X 1010 cm·2。使用稀有氣體(Ar 或K r)及N2/H2之混合氣體形成碎氮化膜時,使氫氣之分 壓達到0.5 %以上,即可明顯減少膜中之電子及正孔之陷 阱。 圖7係以上述步驟獲得之矽氮化膜厚的壓力依賴性。然 而,圖7之實驗中,Αγ/ΝΗ3之分壓比設定為98/2,成膜時 間為3 0分鐘。 參照圖7可知,降低處理室内壓力,增加稀有氣體(a γ 或κ〇提供給簡3(或N2/h2)之能量時,可加快氮化膜之成 -19- 587273 A7
長速P從氮化膜形成效率之觀點而言,氣壓最好在⑽ 至13·3 Pa (5 0至1〇〇 mT〇r〇範圍内,然而,如其他實施形 態中所述,在連續氧化及氮化之步騾中,統一以適合氧 化之壓力一例如133 Pa (1 Τ〇ΓΓ)來實施氮化,-從生產性觀 點而言,也屬於良好條件。此外,稀有氣體中iNH3(或 N2/H2)之分壓應在1至1 〇 〇/〇範圍内,最好則是2至6 %内。 利用本實施形態得到之矽氮化膜的比誘電率為7 9,此 數值相當於矽氧化膜之比誘電率的大約2倍。 測量利用本實施形態得到之矽氮化膜的電流電壓特性, 膜厚為3.0 nm(誘電率換算相當於丨5 nm氧化膜)時,若施 加1 V電壓,可以得到膜厚丨5 nm熱氧化膜之數萬分之一 至數十萬分之一的低漏電電流特性。故使用本實施例之 矽氮化膜,表示可能突破在閘極絕緣膜上使用矽氧化膜 之電晶體時之微細化限界的問題。 前述之氮化膜的成膜條件、物性、及電氣特性並不限於 (100)面方位之矽表面,含(111)面在内之所有面方位的 矽也同樣會成立。 利用本實施形態可以獲得好結果,不但是因為去除末端 氫而已,應該和氮化膜中含有Ar或Kr有關。亦即,本實 施形態之氮化膜時,氮化膜中及矽/氮化膜界面之應力會 因為氣化膜中含有之Ar或K r_而緩和,結果,會降低石夕氮 化膜中之固定電荷及界面準位宅度,因而大幅改善電氣 特性及信賴度特性。 尤其是,和石夕氧化膜時相同,表面密度中含有5xiQi i/cm2 -20 - 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 587273 A7 ________B7_ ___ 五、發明説明(18 ) 以下之A r或K r,應可改善矽氮化膜之電氣特性及信賴度 特性。 為了實現本發明之氮化膜,除了圖1之裝置以外,也可 使用其他使用電漿而可形成低溫氧化膜之電-漿處理用裝 置。例如’可以使用2段噴淋板型電漿處理裝置,其具有 以利用微波來激勵電漿為目的之排放A Γ或κ r氣體的第1 氣體排放構造、以及和前述第1氣體排放構造不同而以排 放NH3(或Ns/H2氣體)氧氣為目的之第2氣體排放構造。 (第3實施形態) 其次’針對在閘極絕緣膜上使用電漿之低溫氮化膜及氮 化膜之2層構造的實施形態進行說明。 本實施形態所用之氧化膜及氮化膜之形成裝置,和圖1 相同。在本實施形態中,氧化膜及氮化膜之形成上,使 用K r做為電漿激勵氣體。 首先’使真空谷器(處理室)1〇1内進行排氣成為真空, 再從喷淋板1 0 2導入A r氣體。然後,將導入之氣體從最 先的Ar換成Kr氣體’將處理室ιοί内之壓力設定為133 Pa (1 Torr) 〇 其次’將在前處理步驟中利用加氫水洗淨以氫將表面之 矽未結合鍵予以末端化的矽基板丨〇 3,置入處理室i 〇 i 内’並置於具有加熱機構之琴料台上。將試料溫度設 定為400°C。 接著,從同軸導波管1 〇 5對輻射狀線隙缝天線i 〇 6供應 2.45 GHz之微波約1分鐘,前述微波會通過前述誘電體板 -21 · 本紙張尺度適财@@家標準(CNS) A4規格(210X297公釐) ------ B7 五、發明説明(19 ) 107而被導入前述處理室1〇ι内。使前述矽基板1〇3之表 面曝露於前述處理室101内產生之高密度Kr電漿中,去 除表面末端氫。 然後’使前述處理室101内之壓力維持133·ρ& 〇 Τ〇Γ〇 程度’從噴淋板丨〇 2導入分壓比為97/3之Kr/〇2混合氣 體,使前述矽基板103之表面上形成厚度丨5 nmi矽氧化 膜。 然後’暫時停止供應微波,暫停導入〇2氣體。以Κγ清 理真空容器(處理室)101内後,從噴淋板1〇2導入分壓比 為98/2之Kr/NH3混合氣體,使前述處理室内之壓力維 持133 Pa (1 Torr)程度,再度供應頻率為2兄GHz之微 波’前述處理室1〇1内產生高密度電漿,在前述矽氧化膜 之表面形成1 nm之矽氮化膜。 在膜厚達到期望值之矽氮化膜時,停止導入微波動力, 結束電漿激勵,並將Kr/NH3混合氣體換成Ar氣體,結束 氧化氮化步驟。 在形成氧化氮化膜後,實施電極形成、保護膜形成、及 氫燒結處理等,完成含有電晶體及電容元件之半導體積 體電路裝置。 測量得到以此方式形成之積層閘極絕緣膜的實效誘電率 大約為6的數值。此外,漏電電流特性、耐壓特性、及熱 載流子财性等之電氣特性及信賴二度特性也和前面之實施 形態1相同’具有十分優良的特性。所得到之閘極絕緣膜 上並未發現對矽基板103之面方位的依賴性,(1〇〇)面方 •22- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 587273 A7 _____B7 五、發明説明(20 ) 位以外之所有面方位的矽也同樣可以形成具有優良特性 之閘極絕緣膜。 本實施形態說明在矽化物形成氧化膜時之氧化膜及氮 化膜的2層構成,也可配合目的更換氧化膜及·氮化膜之順 序、或以氧化膜/氮化膜/氧化膜或氮化膜/氧化膜/氮化膜 等方式形成複數之積層膜。 (第4實施形態) 其次,針對在閘極絕緣膜上使用電漿之低溫氧氮化膜的 實施形態進行說明。 本實施形態所用之氧氮化膜形成裝置,和圖1相同。使 用K r做為電漿激勵氣體乂 首先,使真空容器(處理室)1〇1内進行排氣成為真空, 再從噴淋板102導入Ar氣體。然後,將導入之氣體從最 先的Ar換成Kr氣體’將處理室内之壓力設定為i33 pa(i Ton*) 〇 其次’將在刖處理步驟中利用加氫水洗淨以氫將表面之 矽未結合鍵予以末端化的矽基板丨0 3,置入處理室1 〇 i 内,並置於具有加熱機構之試料台1〇4上。將試料溫度設 定為400°C。 接著,從同軸導波管1 〇 5對輻射狀線隙縫天線丨〇 6供應 2.45 GHz之微波約1分鐘,前述微波會從輻射狀線隙縫天 線106通過前述誘電體板107而-被導入前述處理室1〇1 内,前述處理室101内會產生高密度Kr電漿。使前述砂 基板103之表面曝露於以κΓ氣體激勵之電漿中,去除表 -23- 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公爱) 587273 A7 B7 五、發明説明(21 ) 面末端氫。 然後,使前述處理室1 0 1内之壓力維持133 Pa (1 Torr) 程度,從噴淋板102導入分壓比為96.5/3/0.5之Kr/02/NH3 混合氣體,使矽基板之表面上形成厚度3.5 nm·之矽氧氮化 膜。在膜厚達到期望值之碎氧氮化膜時,停止導入微波 動力,結束電漿激勵,並將Ki702/NH3混合氣體換成Ar氣 體,結束氧化氧氮化步騾。 在形成以上之氧化膜後,實施電極形成、保護膜形成、 及氫燒結處理等,完成含有電晶體及電容元件之半導體 積體電路裝置。 如圖8所示,利用發光分析測得之原子氧0*的發生密度 方面,在Ki702/NH3氣體之混合比為97/3/0至95/3/2之範圍 内不會有實質之變化,但NH3之比率增大時,原子氧之發 生量會減少,而原子氫的量則會增加。尤其是Κγ/02/ΝΗ3 氣體之混合比為96.5/3/0.5時,漏電電流會減少最多,絕 緣耐壓及電荷注入耐壓也都提高。 圖9為以2次離子質量分析器測量所得之本實施形態氧 氮化膜内的矽、氧、及氮之濃度分布。圖9中,橫軸為從 氧氮化膜之表面的深度。圖9中,矽、氧、及氮之分布呈 現平緩變化,其原因並非氧氮化膜之膜厚不均一,而是 蚀刻均一性較差。 _ 參照圖9可知,前述氧氮化膜中:之氮濃度在矽/矽氧氮化 膜界面及矽氧氮化膜表面較高,而在氧氮化膜中央部則 會減少。此氧氮化膜中含有之氮量只有矽及氧之數成以 -24- 本紙張尺度適用中國國家標準(CNS) Α4規格(210 X 297公釐) 587273 A7
下。 圖10為本實靶形態之氧氮化膜的漏電電流施加電依 ”性。圖10中為了進行比較’也同時標出,以微波衆 形成氧化膜前未實施Kr電漿曝露處理之同膜 =電電流特性、及利用熱氧化形成氧化膜之漏;電: 參照圖10,利用Kr電漿照射去除末端氫後導入
Kr/02/NH3氣體實施氧氣化之本實施形態的氧氮化膜時, 和傳統方法形成之氧化膜在同-電界下進行比較,立漏 電電流值減少為數十分之—至數千分之一,可知可獲得 良好低漏電特性。 :面說月之圖6中’利用此方式形成之氧氮化膜的漏電 電流特性及膜厚的關係以_來表示。 "再度參照圖6,利用本實施形態在經K r照射後所形成之 軋氮化膜,具有和以同樣步驟形成之氧化膜相同的漏電 電流特性,尤其是,膜厚雖然為大約1.6 nm時,其漏電電 流> 的值也僅為1 X 1 〇-2 A / cm2。 本實施形態之氧氮化膜也較前面之實施形態丨氧化膜, 具有較優良之耐壓特性及熱載流子耐性等電氣特性、及 信賴度特性等其他特性。且與矽基板之面方位無關,不 ”在矽的(1 〇 〇 )面,在任何一面方位之矽表面上均可形成 特性優異之閘極絕緣膜。 如上面所述,去除表面末端氫後以Κγ/〇2/ΝΗ3高密度電 I只訑矽氧氮化步驟,即始在400〇C之低溫下,所有面方 裝 訂
線 •25-
587273 A7 B7 23 ) 五、發明説明( 位之矽表面都可形成具有優良特性及膜厚之矽氧氮化 膜。 本男施形態可以獲得此效果之原因,不但是因為去除末 端氫來減少氧氮化膜中之氫含有量而已,還使氧氮化膜 中含有之氮降至數成以下《本實施形態之氧氮化膜的Kr 含量約為實施形態1之氧化膜的1/10以下,κ r被氮取代, 而含有較有之氮。亦即,因為氧氮化膜中之氫較少,可 以減少石夕氧氮化膜内之弱結合的比率,且因為含有氮, 膜中及Si/Si〇2界面之應力會較緩和,結果,膜中電荷及界 面準位之密度會降低,所以前述矽氧氮化膜之電氣特性 可獲得大幅改善。前述氧氮化膜中之氫濃度的表面密度 換算為1012 cm·2以下,最好能減少至1〇11 cm·2以下,同 時’膜中之氮濃度若能為石夕或氧之數成以下,則應可改 善矽氧氮化膜之電氣特性及信賴度特性。 此外,在本實施形態中,在膜厚達到期望值之矽氧氮化 膜時,停止導入微波動力,結束電漿激勵,並將Κγ/〇2/ΝΗ3 混合氣體換成Ar氣體,結束氧氮化步驟,但在停止前述 微波動力前,也可在保持133 Pa (1 Tori*)壓力之狀態下, 從噴淋板102導入分壓比為98/2之Kr/NHAl合氣體,在石夕 氧氮化膜之表面形成約0.7 nm的矽氮化膜再結束氧氣化步 驟亦可。利用此方法,可以在矽氧氮化膜之表面形成矽 氮化膜之,形成具有較高誘電率之絕緣膜。 (第5實施形態) ~ 其次,係在具有淺溝分離等元件分離側壁部之角部、及 -26- 本紙張尺度適用中國國家標準(CNS) A4规格(210 X 297公爱) " -------
裝 訂
587273 A7 B7 五、發明説明(24 ) 凹凸表面形狀之矽表面上形成高品質矽氧化膜之本發明 第5實施形態的半導體裝置形成方法。 圖1 1 A係淺溝分離之概念圖。 參照圖1 1 A,圖示之淺溝分離之形成上,·係在矽基板 1003表面以電漿蝕刻形成絕緣溝,再以C V D法形成之石夕 氧化膜1002充填形成之溝,再利用如C Μ P法等使前述石夕 氧化膜1002平坦化。 在本實施形態中,利用C Μ Ρ法之前述矽氧化膜1 〇〇2的 研磨步驟後,使矽基板曝露於800至900°C之氧化性環境 下進行犧牲氧化,將以犧牲氧化形成之矽氧化膜浸入含 氟酸之藥液中進行蝕刻,得到以氫末端化之表面。本實 施形態中,以和實施形態1相同之步驟,利用K r電漿去除 表面末端氫,然後導入Kr/02氣體形成約2.5 nm之矽氧化 依本實施形態,會如圖11C所示,淺溝分離之角部亦可 形成一樣厚度之矽氧化膜,不會發生矽氧化膜之膜厚減 少的情形。含有利用此K r電漿之電漿氧化法形成之淺溝 分離部份的整體矽氧化膜之QBD ( Charge t0 Breakdown )特 性非常良好’注入電荷量102c / cm2時亦不會使漏電電流上 昇,大幅改善裝置之信賴性。 利用傳統熱氧化法形成前ijL矽氧化膜時,則如圖1 1 B所 不,淺溝分離角部之薄膜化會隨著淺溝分離之錐角的變 大而更為顯著,而本發明之電漿氧化時,雖然錐角變 大’淺溝分離角部亦不會發生矽氧化膜之薄膜化。因 -27- 本紙張尺度適用中國國家標準(CNS) Α4規格(210 X ----- 587273 A7 B7 此,在本實施例中,可以使淺溝分離之溝的錐角接近直 角來減少元件分離區域。可以使半導體元件具有更高之 積體度。傳統之熱氧化等技術時,會受到圖1 1 B所示之溝 角部熱氧化膜薄膜化的限制,而在元件分離部採用約7 〇 度之錐角’但本發明則可使用90度之角度。 圖1 2係在對矽基板實施大約9 〇度之蝕刻、且在具有凹 凸表面形狀之碎基板上,依據實施形態1之步驟,形成3 nm厚度之矽氧化膜的剖面。 參照圖1 2,確認任何面上皆可形成均一膜厚之矽氧化 膜。 利用此方式形成之氧化膜,具有良好漏電電流及耐壓等 電氣特性’故利用本發明,可實現具有縱型構造等複數 面方位之石夕JL體構造的高密度半導體積體化裝置。 (第6實施形態) 其次’針對使用前述電漿低溫氧化膜及氮化膜、或氧氮 化膜形成技術之本發明第6實施形態的快閃記憶體元件進 行說明。下面的說明係以快閃記憶體元件為一實例,然 而,本發明也可使用於具有相同積層構造之EPROM及 EEPR0M 等。 圖1 3係本實施形態快閃記憶體元件之概略剖面構造圖。 參照圖1 3,前述快閃記憶寧元件係在矽基板1201上形 成,含有前述碎基板1201上形成之隧道氧化膜1202、前述 隧道氧化膜1202上形成而為浮動閘極之第1多結晶矽閘極 1203、前述多結晶矽閘極1203上依序形成之矽氧化膜 -28 - 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公爱)
裝 訂
線 587273 A7 B7 五、發明説明(26 ) 1204及矽氮化膜1205、以及前述矽氮化膜12〇5上形成並 構成控制閘極之第2多結晶石夕閘極1206。此外,圖1 3中省 略源極區域、沒極區域、傳導孔、及配線圖案等之圖 示。前述石夕氧化膜1202之形成係利用第1實施.形態說明之 矽氧化膜形成方法,而矽氧化膜1204及氮化膜12〇5之積 層構造的形成,則係利用實施形態3說明之碎氮化膜形成 方法。 圖1 4至圖1 7係以階段式說明本實施形態之快閃記憶體 元件製造方法為目的的概略剖面圖。 參照圖1 4,矽基板1301上,係利用場氧化膜13〇2來區 劃快閃記憶體胞區域A、高電壓用電晶體區域b、及低電 壓用電晶體區域C,前述碎基板301之表面上的前述各區 域A〜C内形成碎氧化膜13 03。前述場氧化膜η 〇2以選擇 氧化法(LOCOS法)或淺溝分離法等形可即可。 在本實施形述中’係使用K r做為以去除表面末端氮、 氧化膜及氮化膜形成為目的之電漿激勵氣體。氧化膜及 氮化膜形成裝置和圖1相同。 在圖15之步驟中,從記憶體胞區域A去除前述矽氧化 膜1303,利用稀氟酸洗淨實施矽表面之氫末端化。然後, 以和前面實施形態1相同之方法來形成隧道氧化膜1304。 亦即,和前面之實施形態1 一樣,對前述真空容器(處理 室)101内進行排氣成為真空,化從喷淋板102將Ar氣體 導入前述處理室101内。然後,將前述Ar氣體換成Kr氣 體,並將處理室内之壓力設定為1 Toir。 -29- 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐) B7 五、發明説明(27 ) 其次,去除前述矽氧化膜1303,將矽表面經過稀氟酸處 理之前述矽基板1301當做圖i之矽基板1〇3,置入處理室 101内’並置於具有加熱機構之試料台104上。將試料溫 度設定為400°c。 . 接著,從同軸導波管1 〇 5對輻射狀線隙縫天線i 〇 6供應 2.45 GHz之微波約1分鐘,前述微波會通過前述謗電體板 107而被導入前述處理室1〇ι内。使前述矽基板13〇1之表 面曝露於前述處理室101内產生之高密度ΚΓ電裝中,去 除前述基板1301之矽表面的末端氫。 然後,從噴淋板102導入Κι*氣體及〇2氣體,使前述區域 Α上形成當做前述隧道絕緣膜之厚度3.5 nm的矽氧化膜 1304,接著,以覆蓋前述矽氧化膜1304方式堆積第i多結 晶矽層1305。 使高電壓用及低電壓用電晶體形成區域B及C,利用前 述第1多結晶矽層1305之圖案化實施去除,而只在記憶體 胞區域A之隧道氧化膜1304上留下第1多結晶矽圖案 1305。 蝕刻後進行洗淨,並實施多結晶矽圖案1305之表面的氫 末端化。 圖16之步騾中,和前面之第3實施形態相同,以覆蓋前 述多結晶矽圖案13〇5之表面的方式來形成具有下部氧化 膜1306A及上部氮化膜1306B之ON(開)構造的絕緣膜 1306。 此Ο N膜以下列方式形成。 -30- 本紙張尺度適用中國國家標準(CNS) A4規格(2忉x 297公釐) 587273 A7 B7 五、發明説明( 使真空容器(處理室)1〇1内進行排氣成為真空,再將從 喷淋板102導入Ar氣體改變。氣體。將處理室内之壓力 汉疋為133 pa (1 Torr)。其次,將前述經過氫末端化且且 有多結晶矽圖案1305之矽基板13〇1,置入前述處理室 内,並置於具有加熱機構之試料台⑽上。將試料溫度設 定為400°C。 接者,從同軸導波管105對輻射狀線隙縫天線ι〇6供應 2.45 GHz之微波約}分鐘,削述微波會從輻射狀線隙缝天 線丨〇6通過前述謗電體板1〇7而被導入前述處理室ι〇ι 内’並產生之高密度Kr電漿。、结果,前述多結晶秒圖案 1305之表面曝露於Kr氣體中,去除表面末端氫。 然後’使前述處理室1G1内之壓力維持133 pa (1 丁叫 程度,從噴淋板1 〇 2將Kr/〇2混合氣體導入前述處理室1〇1 内,使多結晶矽之表面上形成厚度3 nm之矽氧化膜。 然後,暫時停止供應微波,停止導入κ ^氣體及〇 2氣 體·,實施真空容器(處理室)1〇1之排氣後,從噴淋板1〇2 導入Kr氣體及ΝΗ3氣體。將前述處理室ι〇ι内之壓力設定 為13.3 Pa (1〇0 mTorr)程度,再度從輻射狀線隙縫天線 1 0 6對刖述處理罜1 〇 !内供應頻率為2 45 之微波,處 理皇内產生南舍度電漿,在矽氧化膜表面形成6 之矽 氮化膜。 利用此方式形成9 nm之0N膜,,所得到之〇N膜的膜 厚會一樣,也未發現對多結晶矽之面方位有依賴性,故 知道可得到極為均一之膜。 -31 - 本紙張尺度適财s S家標準(CNS) A4規‘(21G X 297公羡) 587273 A7 ______ B7 五、發明説明(29 ) 利用此方式形成前述ON膜後,在圖17之步驟中,以圖 案化方式從南電壓用及低電壓用電晶體區域B及c去除絕 緣膜1306,然後,對高電壓用及低電壓用電晶體區域b及 C上實施臨限值電壓控制用之離子注入。再去.除前述區域 B及C上形成之氧化膜13〇3 ,在前述區域B上形成5 nm厚 度之閘極氧化膜1307,然後在前述區域c上形成3 nm厚度 之閘極氧化膜1308。 其後,在包括場氧化膜1302之整體構造上,依序形成第 2多結晶矽層1309及矽化物層ΠΙΟ,再對前述第2多結晶 矽層1309及矽化物層13 10實施圖案化,分別在前述高電 壓用電晶體區域B及低電壓用電晶體區域c形成閘極 1311B及1311C。而且,對應前述記憶體胞區域八形成閘極 1311A。 圖1 7之步驟後,依據標準半導體步驟,形成源極區域 及汲極區域,並實施層間絕緣膜及傳導孔之形成及配線 圖案之形成等,完成元件。 本發明之絕緣膜1306A及1306B的膜厚即使減少為傳統 之乳化膜或氮化膜的一半左右’也可維持良好之電氣特 性。亦即,此矽氧化膜1306A及矽氮化膜1306B即使薄膜 化,亦可擁有良好之電氣特性,並有精密之高品質。此 外’本發明之則述碎乳化膜13 0 6 A及石夕氮化膜13 〇 6 B因為 係低溫形成’故閘極多結晶石夕瓦氧化膜之界面不會發生 熱預算(thermal budget ),而獲得良好界面。 本發明之快閃記憶體元件可以低電壓來執行情報之寫入 -32- I紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) ' ' '— 587273
及消除動作,並抑制基板電流之發生,而抑止隧道絕緣 膜之劣化。因此,以二次元配列本發明快閃記憶體元件 所形成之非揮發性半導體記憶裝置,可以在較佳之廢料 率下實施製造並具有安定之特性。 本發明之快閃圮憶體元件,對應前述絕緣膜及 1306B具有優良膜質而有較小之漏電電流,且因為可以在 不增加漏電電流之情形下減少膜厚,故寫入或消除動作 只需要5 V程度之動作電壓.結果,快閃記憶體元件之記 憶儲存時間比傳統時間增加數十倍以上,故窝入次數也 會增加為數十倍以上。 同時,絕緣膜1306之膜構成並不限於前述〇N構造,亦 可為由和實施形態1相同之氧化膜所構成之〇構造、由和 實施形態2相同之氮化膜所構成構造、以及和實施形 怨4相同之氧氮化膜β另外,前述絕緣膜13〇6亦可以為由 氮化膜及氧化膜所構成之Ν0構造、由氧化膜、氮化膜、 及氧化膜依序積層而成之ΟΝΟ構造、以及由氮化膜、氧 化膜、氮化膜、及氧化膜依序積層而成之Νονο構造等。 前述絕緣膜1306應選擇何種構造,需考慮周邊電路之高 電壓電晶體及低電壓電晶體之閘極氧化膜的整合性及共 用可能性等,可配合目的來實施選擇。 (第7實施形態) 利用圖1裝置之Kr/〇2微波激勵,密度電漿的閘極氧化膜 形成、或Αι*(或Kr)/NH3(或Ν2/Η2)微波激勵高密度電漿的 閘極氮化膜形成,亦可以使用底層矽内含有傳統上無法 -33· 本紙張尺度適用中國國家標準(CNS) Α4規格(210 X 297公釐) 587273
使用高溫步驟之金屬層的金屬基板s〇i( —η 〇n別 SChr〇tte〇晶片上之半導體積體電路裝置形成。尤其是, 碎之膜厚較薄且實施完全空乏化動作之s〇i構造時,本發 明之末端氫的去除效果會更為顯著。 圖18係具有金屬基板_構造之MGS電晶體的剖面圖。 參照圖18,丨701An+型或p +型之低抵抗半導體層、 1702為·等之石夕化物層、⑽為TaN及TiN等之毕電性 氮化物層、1704為Cu等之金屬層、⑽為⑽及谓等之 導電性氮化物層、17G64n +型或p +型之低抵抗半導體 層、1707為A1N及Si3N4等之氮化物絕緣層、17〇8為·抓 膜、⑽為Si02層、抑阳層、或由此組合而成之絕緣膜 層、1710為n +型汲極區域、17u為n +型源極區域、m2 為p +型汲極區域、1713為p +型源極區域、1714及丨715為 <1 1 1>方向配向之矽半導體層、丨716為依本發明實施形 悲1步驟以Κ Γ %漿照射去除表面末端氫後再以Kr/〇2微波 激勵高密度電衆所形成之Si〇2膜、1717及1718為分別由 Ta、Ti、TaN/Ta、及TiN/Ti等所形成之nMOS電晶體及 pMOS電晶體的閘極、1719為nMOS電晶體之源極電極、 以及1720為nMOS電晶體及pM〇S電晶體的汲極電極。 1721則為pMOS電晶體的源極電極。1722為基板表面電 極。 — 此種含有以TaN或TiN保護之C U層的基板,為了壓抑 C u之擴散,熱處理溫度必須為約7〇〇°C以下。n +型或p+型 之源極區域或沒_極區域,則在注入As+、AsF2+、或BF2 +之 -34- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)
裝 訂
587273 A7 B7
五、發明説明( 離子後,以550°C之熱處理來形成。 具有圖1 8之裝置構造的半導體裝置中,若閘極絕緣膜 採用熱氧化膜時、以及採用在以K r電槳:照射來去除表面 末端氫後再以Kr/〇2微波激勵高密度電漿處理形成閘極絕 緣膜時,進行電晶體之次閾特性(sub-thresh〇ld特性)比 較,利用熱氧化形成閘極絕緣膜時,次閾特性可以觀察 到扭結及漏電,而以本發明方式形成閘極絕緣膜時,則 次閾特性極為良好。 另外,若採用台面型元件分離構造,台面型元件分離構 造之側壁部,在矽平面部以外會出現其他面方位之矽表 面,然而,因是使用Kr之電漿氧化來形成閘極絕緣膜, 台面元件分離側壁部之氧化也可以和平面部一樣相當均 一,故可得到良好之電氣特性及高信賴性。 而依第2實施形態之步驟,使用以Ar/NH3氣體形成之矽 氮化膜來做為閘極絕緣膜時,亦可作成具有極佳電氣特 性及高信賴性之金屬基板s〇I積體電路裝置。 在本實施形態中,碎氮化膜之厚度只有3nm(碎氧化膜 厚誘電率換算L5 nm)時,亦可得到良好電氣特性,比使 用3謂之秒氧化膜時,電晶體之驅動能約提高為2倍。 (第8實施形態) 圖1 9係針對形成液晶顯示孚件及有機電致發光元件等 《玻璃基板及塑膠基板等大型長方形基板上形成之多結 晶碎及非晶質砂層實施氧化處理、氮化處理或氧氣化 處理為㈣,為本發明第8實施形態之一製造裝置實例的 裝 訂
-35-
587273 概念圖。 參照圖19,使真空容器(處理室)18〇7内處於減壓狀態 下,然後從設置於前述處理室18〇7内之喷淋板18〇1導入 Kr/〇2混合氣體,再以螺絲溝泵18〇2實施前述處理室18〇7 内之排氣,將前述處理室18〇7内之壓力設定為133 pa 〇 Ton*)。再將玻璃基板18〇3置於具有加熱機構之試料台 1804上,將玻璃基板之溫度設定為3〇〇。〇。 前述處理室1807設有多數方形導波管18〇5,從前述多數 方形導波管1805之各隙縫部通過誘電體板18〇6將微波導 入前述處理室1807内,前述處理室18〇7内產生高密度激 勵電漿。此時’設於前述處理室18〇7内之噴淋板會 將導波管放射出來之微波視為表面波,而發揮將其傳播 至左右之導波路機能。 圖2 0係使用圖1 9之裝置製作本發明之閘極氧化膜或閘 極氮化膜,並形成液晶顯示元件及有機EL發光元件等之 驅動、或處理電路用多結晶矽薄膜電晶體(T F τ )之實例。 首先,說明形成矽氧化膜而使用之例。 參照圖20,1901為玻璃基板、19〇2為Si3N4膜、1903為 以(111)面為主配向之多結晶矽nMOS之通道層、1905及 1906分別為多結晶矽nMOS之源極區域及汲極區域、 1904為以(111 )面為主配向之^結晶矽pM〇s之通道層、 1907及1908分別為多結晶矽pM〇S之源極區域及汲極區 域。1910為多結晶矽nMOS之閘極、191 1為多結晶矽pMOS 之閘極、1912為Si〇2、BSG、及BPSG等之絕緣膜、1913及 -36- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 587273 A7 B7 五、發明説明(34 ) 1^08之汲極電極)、1915為多結晶矽?-河05之源極電極。 絕緣膜上形成之多結晶矽,(111)面方位對絕緣膜呈垂 直方向時十分安定,同時為十分緻密且結晶性佳之高品 質者。本實施形態中,1909係使用圖19之裝·置,依實施 形態1相同步驟,做成厚度0.2 // m之本發明矽氧化膜層, 400°C時,面對(111)面之多結晶矽上形成3 nm厚度。 依據本實施形態,可以確認電晶體間之元件分離區域 銳角部的氧化膜亦不會變薄,不論是平坦部或邊緣部, 多結晶矽上會形成均一膜厚之矽氧化膜。以形成源極及 汲極區域為目的之離子注入,並未通過閘極氧化膜,而 以400°C之電氣活性化來形成。結果,全部步驟都可在400 r以下之溫度執行,玻璃基板上也可形成電晶體。此電 晶體之移動度方面,電子約為300 cm2/Vsec以上、正孔約 為150 cm2/ Vsec以上、以及源極及沒極耐壓和閘門耐壓為 12V以上。通道長度1.5-2.0 nm程度之電晶體時,可以達 到超過100 MHz之高速動作。矽氧化膜之漏電特性、多結 晶矽/氧化膜之界面準位特性也都十分良好。 使用本實施形態之電晶體的話,液晶顯示元件及有機E L 發光元件可維持大畫面、低價格、高速動作及高信賴性。 本實施形態之本發明閘極氧化膜或閘極氮化膜係配合多 結晶矽,然而,亦可使用於液晶顯示元件等使用之非晶 質矽薄膜電晶體(TFT )-尤其i參差型薄膜電晶體(TFT )之 閘極氧化膜或閘極氮化膜。 — (第9實施形態) 其次,說明實施具有金屬層之S 0 I元件、多結晶矽元 -37- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)
裝 訂
線 587273 A7 B7 五、發明説明(35 ) 件、及非晶質矽元件之積層的3次元積層L S I實施形態。 圖2 1係本發明之3次元L S I剖面構造的概念圖。 圖2 1中,2001為第1 SOI及配線層、2002為第2 SOI及配 線層、2003為第1多結晶矽元件及配線層、2004為第2多 結晶矽元件及配線層、2005為無結晶半導體元件、機能 材料元件、及配線層。 前述第1 SOI及配線層2001、以及前述第2 SOI及配線層 2002中,含有使用如實施形態7說明之S Ο I電晶體的數位 演算處理部、高精度高度類比部、同步DRAM部、電源 部、及界面電路部等。 前述第1多結晶矽元件及配線層2003中,含有使用如前 面實施形態6及8說明之多結晶矽電晶體及快閃記憶體的 並聯數位演算部、機能方塊間轉發部、及記憶元件部 等。 另一方面,前述第2多結晶矽元件及配線層2004中,含 有使用如前面實施形態8說明之多結晶矽電晶體的放大器 及A D變換器等並聯類比演算部。無結晶半導體元件、機 能材料元件、及配線層2005中,含有光感應器、聲音感 應器、觸覺感應器、及電波傳送接收部等。 設於無結晶半導體元件、機能材料元件、及配線層2005 中之光感應器、聲音感應器觸覺感應器、及電波傳送 接收部的信號,先經過設於前述i第2多結晶矽元件及配線 層2004中使用多結晶矽電晶體之放大器及A D變換器等並 聯類比演算部處理,再經過設於前述第1多結晶矽元件及 -38- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)
裝 訂
587273 A7 B7 五、發明説明(36 ) 配線層2003、或前述第2多結晶矽元件及配線層2004中使 用多結晶矽電晶體及快閃記憶體的並聯數位演算部及記 憶元件部處理,然後才由設於前述第1 SOI及配線層 2001、及前述第2 SOI及配線層2002中使用S 01電晶體之 數位演算處理部、高精度高度類比部、及同步DRAM部實 施處理。 此外,設於前述第1多結晶矽元件及配線層2003中之機 能方塊間轉發部,雖然採複數設置亦不會佔據太大晶片 面積,卻可調整LSI整體之信號同步。 可明顯看出,唯有利用前述實施形態中詳細說明之本發 明技術才能做成上述3次元L S I。 以上係以適當實施例說明本發明,本發明並不限於這些 特定實施例者,而可以在本發明之要旨内實施各種變形 及變更。 發明之功效 利用本發明,可以在不導致矽表面平坦性惡化、及不會 破壞真空之連續步驟中,縱然只是40(TC程度以下之低溫 亦可完全去除表面末端氫,且在約500°C以下之低溫,在 全部面方位之矽上,形成比以傳統熱氧化步驟或微波電 漿步驟成膜之矽氧化膜具有更優良特性及信賴性之矽氧 化膜、矽氮化膜、及矽氧氮化膜,實現高信賴性、高性 能之微細電晶體積體電路。 此外,利用本發明,可以在淺溝分離等元件分離側壁部 之角部、或具有凹凸之表面形狀的矽表面上,形成漏電 -39- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 587273 A7 B7 五、發明説明(37 ) 電流及耐壓等特性良好之厚度較薄且高品質之矽氧化 膜、矽氮化膜、及矽氧氮化膜,達成元件分離寬度較窄 之高密度元件積體化、以及具有立體構造之高密度元件 積體化。. 同時,使用本發明之閘極絕緣膜,實現可以大幅增加取 代次數之快閃記憶體元件。 其次,利用本發明,亦可在絕緣膜上形成、以(1 1 1 )面 為主之配向的多結晶矽上,形成高品質矽閘極氧化膜及 矽閘極氮化膜,實現使用具有高驅動能力之多結晶矽電 晶體的顯示裝置、以及電晶體及機能元件之複數積層的3 次元積體電路元件,故此技術之效果的影響甚大。 -40- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)

Claims (1)

  1. 587273 A8 B8 第〇9〇132522號專丨利申請案 ⑽ 中文_請專利範圍替換本(π牟|丨月丨:眾 申清專利範園 1· 一種半導體裝置的製造方法,其特徵為··其係在矽表面 上者,且含有 使前述碎表面曝露於第!惰性氣體之第1電漿中,去除 至少已存在於前述部份矽表面上之氫的步驟、以及 以第2惰性氣體、及含有一種或數種氣體分子之混合 氣體來形成第2電漿,在前述第2電漿下,在前述矽表面 上形成至少含有部份構成前述氣體分子之元素的矽化合 物層之步驟。 2.如申请專利範圍第1項之半導體裝置的製造方法,其中 前述氫除去步驟之前,含有以含有氫之媒體處理前述 石夕表面之步驟。 3·如申請專利範圍第2項之半導體裝置的製造方法,其中, 前述媒體為加氫水。 4·如申請專利範圍第2項之半導體裝置的製造方法,其中 前述媒體為稀氟酸。 5·如申凊專利範圍第1項之半導體裝置的製造方法’其中, 如述矽表面為單結晶矽表面。 6·如申請專利範圍第5項之半導體裝置的製造方法’其中, 前述矽表面由(1〇〇)面構成。 7.如申请專利範圍第5項之半導體裝置的製造方法’其中, 前述矽表面由(111)面構成。 8·如申έ青專利範圍第5項之半導體裝置的製造方法,其中, 前述碎表面具有複數不同結晶面。 9.如申請專利範圍第8項之半導體裝置的製造方法,其中, 本紙張尺度適用中國8豕標準(Cns) Α4規格(210X297公釐) 岫述複數之不同結晶面以元件分離溝區隔。 10·如申請專利範圍第1項之半導體裝置的製造方法,其中, 前述矽表面為多結晶矽表面。 11·如申叫專利範圍第i項之半導體裝置的製造方法,其中, 前述矽表面為非晶質矽表面。 12·如申凊專利範圍第丨項之半導體裝置的製造方法,其中, 前述第1惰性氣體及前述第2惰性氣體,至少為從氬 (Ar)氣體、氪(Kr)氣體、及氣(X幻氣體之群組當中選 取之至少一種的氣體。 13·如申清專利範圍第丨2項之半導體裝置的製造方法,其中, 則述第1惰性氣體及前述第2惰性氣體為同一氣體。 14·如申請專利範圍第1項之半導體裝置的製造方法,其中, 則述第2惰性氣體由氪(Kr)氣體構成,前述氣體分子 由氧(〇 2)分子構成,且形成矽氧化膜做為前述矽化合物 層。 15. 如申請專利範圍第1項之半導體裝置的製造方法,其中, 前述第2惰性氣體由氬(Ar)氣體、氪(Kr)、或氬及氪 之混合氣體構成,前述氣體分子由氨(ΝΗ3)分子、或氮 (NO分子及氫(HJ分子構成,且形成矽氮化膜做為前述 石夕化合物層。 16. 如申請專利範圍第1項之半導體裝置的製造方法,其中, 前述第2惰性氣體由氬(Ar)氣體、氪(Kr)、或氬及氪 之混合氣體構成,前述氣體分子由氧(〇2)分子及氨(ΝΗ3) 分子、或氧(02)分子、氮(Ν2)分子及氫(Η2)分子構成’
    本紙張尺度適用中國國家標準(CNS) Α4規格(210 X 297公釐)
    且形成矽氧氮化膜做為前述矽化合物層。 17.如:T專利範圍第1項之半導體裝置的i造方法,其中, 則迷第i電漿及前述第2電㈣以微波激勵。、 裝置的製造方法’其特徵為:其係在 板含有具有在硬表面上隔著第!絕緣: ::晶體、及具有在多結…面上形成第2二: 電容元件者,且含有 上·,象膜2 使前述矽表面曝露於第丨惰性氣體之第丨電 至少已存在於前述部份珍表面上之氫的步驟、以及,, 以第2惰性氣體、及含有-種或數種氣體分予… 軋體來形成第2電漿,在前述第2電聚下,在前述碎二 做:述W絕緣層、至少含有部份構成前述 氣祖刀子之7C素的碎化合物層之步驟。 19.如申請專利範圍第18項之半導體裝置的製造方法, 含有 / ,其中 使前述多結晶矽表面曝露於第3惰性 中’去除至少已存在於前述部份♦表面 以及 以第4惰性氣體、及含有一種或數種氣體分子之混人 氣體來形成第4電漿,在前述第4電漿下, 此" 仕則述矽表面 上形成被當做前述第2絕緣層、至少含有郜八&丄 /那份構成前述 氣體分子之元素的矽化合物層之步驟。 20.如申請專利範圍第1 9項之半導體裝置的製造方法,其中 前述第1惰性氣體及前述第3惰性氣體,? } 上y為從Ar、
    裝 氣體之第3電漿 上之氫的步驟、
    ^7273 A8 B8 C8 D8 申請專利範圍 Kr、及X e之群組當中選取之至少一種的氣體。 21·如申請專利範圍第1 9項之半導體裝置的製造方法,其中, 前述第2及前述第4惰性氣體由Kr·構成,前述第丨及第 2絕緣膜係由矽氧化膜構成。 22·如申請專利範圍第1 9項之半導體裝置的製造方法,其中, 前述第2及前述第4惰性氣體由Ar或Kr構成,前述第i 及第2絕緣膜係由氮化膜或氧氮化膜構成。 23·如申請專利範圍第1 8至2 2項其中之一的半導體裝置製 造方法,其中, 前述第1及第2電漿係利用微波激勵。 24. —種半導體裝置的製造方法,其特徵為··其係以多結晶 碎層或非晶質碎層做為基板上之活性層者,且含有 在前述基板上形成由多結晶矽層或非晶質矽層構成之 矽層的步驟、 使前述矽層表面曝露於第1惰性氣體之電漿中,去除 至少已存在於前述部份矽層表面上之氫的步驟、以及 以第2惰性氣體、及含有一種或數種氣體分子之混合 氣體產生電漿,在前述矽層表面上形成至少含有部份構 成前述氣體分子之元素的矽化合物層之步驟。 25·如申請專利範圍第2 4項之半導體裝置的製造方法,其中, 前述第1惰性氣體至少為從Ar、Kr、及X e之群組當中 選取之至少一種的氣體。 26·如申請專利範圍第2 4項之半導體裝置的製造方法,其中, 前述第2惰性氣體由Kr構成,前述矽化合物層係由今 本紙張尺度適用中國國家標準(CMS) A4規格(210X 297公董) 587273 A8 B8 C8 D8 、申請專利範圍 氧化膜構成。 27. 如申請專利範圍第2 4項之半導體裝置的製造方法,其中, 前述第2惰性氣體由A r或K r構成,前述矽化合物層係 由氮化膜或氧氮化膜構成。 28. 如申請專利範圍第2 4項之半導體裝置的製造方法,其中, 前述第1及第2電漿係利用微波激勵。 本紙張足度適用中國國家標準(CNS) A4規格(210 X 297公釐)
TW090132522A 2000-12-28 2001-12-27 Method of producing semiconductor device TW587273B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2000402834 2000-12-28
JP2001094245A JP4713752B2 (ja) 2000-12-28 2001-03-28 半導体装置およびその製造方法

Publications (1)

Publication Number Publication Date
TW587273B true TW587273B (en) 2004-05-11

Family

ID=26607204

Family Applications (2)

Application Number Title Priority Date Filing Date
TW092125032A TWI249182B (en) 2000-12-28 2001-12-27 Semiconductor device
TW090132522A TW587273B (en) 2000-12-28 2001-12-27 Method of producing semiconductor device

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW092125032A TWI249182B (en) 2000-12-28 2001-12-27 Semiconductor device

Country Status (10)

Country Link
US (2) US6975018B2 (zh)
EP (1) EP1347506A4 (zh)
JP (1) JP4713752B2 (zh)
KR (2) KR100797432B1 (zh)
CN (1) CN100352016C (zh)
AU (1) AU2002217545B2 (zh)
CA (1) CA2433565C (zh)
IL (2) IL156619A0 (zh)
TW (2) TWI249182B (zh)
WO (1) WO2002054473A1 (zh)

Families Citing this family (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3384795B2 (ja) * 1999-05-26 2003-03-10 忠弘 大見 プラズマプロセス装置
JP4713752B2 (ja) * 2000-12-28 2011-06-29 財団法人国際科学振興財団 半導体装置およびその製造方法
US7517751B2 (en) 2001-12-18 2009-04-14 Tokyo Electron Limited Substrate treating method
JP4048048B2 (ja) * 2001-12-18 2008-02-13 東京エレクトロン株式会社 基板処理方法
JP4164324B2 (ja) * 2002-09-19 2008-10-15 スパンション エルエルシー 半導体装置の製造方法
JP4320167B2 (ja) 2002-12-12 2009-08-26 忠弘 大見 半導体素子及びシリコン酸化窒化膜の製造方法
JP2004265916A (ja) * 2003-02-06 2004-09-24 Tokyo Electron Ltd 基板のプラズマ酸化処理方法
CN100429753C (zh) 2003-02-06 2008-10-29 东京毅力科创株式会社 等离子体处理方法、半导体基板以及等离子体处理装置
JP2004319907A (ja) * 2003-04-18 2004-11-11 Tadahiro Omi 半導体装置の製造方法および製造装置
JP5014566B2 (ja) * 2003-06-04 2012-08-29 国立大学法人東北大学 半導体装置およびその製造方法
JP4723797B2 (ja) * 2003-06-13 2011-07-13 財団法人国際科学振興財団 Cmosトランジスタ
JP2005005620A (ja) * 2003-06-13 2005-01-06 Toyota Industries Corp スイッチトキャパシタ回路及びその半導体集積回路
US7887385B2 (en) * 2004-09-24 2011-02-15 Canon Kabushiki Kaisha Organic EL light emitting element, manufacturing method thereof, and display device
US6992370B1 (en) * 2003-09-04 2006-01-31 Advanced Micro Devices, Inc. Memory cell structure having nitride layer with reduced charge loss and method for fabricating same
CN100485885C (zh) * 2003-12-18 2009-05-06 东京毅力科创株式会社 成膜方法
US7466590B2 (en) * 2004-02-06 2008-12-16 Sandisk Corporation Self-boosting method for flash memory cells
US7161833B2 (en) * 2004-02-06 2007-01-09 Sandisk Corporation Self-boosting system for flash memory cells
JP2005285942A (ja) * 2004-03-29 2005-10-13 Tadahiro Omi プラズマ処理方法及びプラズマ処理装置
US7091089B2 (en) * 2004-06-25 2006-08-15 Freescale Semiconductor, Inc. Method of forming a nanocluster charge storage device
US7361543B2 (en) 2004-11-12 2008-04-22 Freescale Semiconductor, Inc. Method of forming a nanocluster charge storage device
KR100673205B1 (ko) * 2004-11-24 2007-01-22 주식회사 하이닉스반도체 플래쉬 메모리소자의 제조방법
US20060270066A1 (en) * 2005-04-25 2006-11-30 Semiconductor Energy Laboratory Co., Ltd. Organic transistor, manufacturing method of semiconductor device and organic transistor
JP4734019B2 (ja) 2005-04-26 2011-07-27 株式会社東芝 半導体記憶装置及びその製造方法
US7785947B2 (en) 2005-04-28 2010-08-31 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device comprising the step of forming nitride/oxide by high-density plasma
US7410839B2 (en) 2005-04-28 2008-08-12 Semiconductor Energy Laboratory Co., Ltd. Thin film transistor and manufacturing method thereof
JP2006310601A (ja) * 2005-04-28 2006-11-09 Toshiba Corp 半導体装置およびその製造方法
US7364954B2 (en) 2005-04-28 2008-04-29 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
TWI408734B (zh) * 2005-04-28 2013-09-11 Semiconductor Energy Lab 半導體裝置及其製造方法
US8318554B2 (en) 2005-04-28 2012-11-27 Semiconductor Energy Laboratory Co., Ltd. Method of forming gate insulating film for thin film transistors using plasma oxidation
JP4993607B2 (ja) 2005-06-20 2012-08-08 国立大学法人東北大学 層間絶縁膜および配線構造と、それらの製造方法
US7820495B2 (en) * 2005-06-30 2010-10-26 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
US8198195B2 (en) 2005-09-26 2012-06-12 Tadahiro Ohmi Plasma processing method and plasma processing apparatus
JP5222478B2 (ja) * 2006-02-10 2013-06-26 株式会社半導体エネルギー研究所 不揮発性半導体記憶装置の作製方法
EP1818989A3 (en) 2006-02-10 2010-12-01 Semiconductor Energy Laboratory Co., Ltd. Nonvolatile semiconductor storage device and manufacturing method thereof
US7511995B2 (en) * 2006-03-30 2009-03-31 Sandisk Corporation Self-boosting system with suppression of high lateral electric fields
US7428165B2 (en) 2006-03-30 2008-09-23 Sandisk Corporation Self-boosting method with suppression of high lateral electric fields
WO2007138937A1 (en) 2006-05-26 2007-12-06 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
JP5235333B2 (ja) * 2006-05-26 2013-07-10 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP2007324185A (ja) * 2006-05-30 2007-12-13 Canon Inc プラズマ処理方法
US8895388B2 (en) * 2006-07-21 2014-11-25 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device and a non-volatile semiconductor storage device including the formation of an insulating layer using a plasma treatment
JP5010222B2 (ja) 2006-09-21 2012-08-29 株式会社東芝 不揮発性半導体記憶装置
TW200834730A (en) 2006-09-29 2008-08-16 Tokyo Electron Ltd Method for forming silicon oxide film, plasma processing apparatus and storage medium
US8581260B2 (en) * 2007-02-22 2013-11-12 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device including a memory
CN102033361B (zh) * 2008-03-21 2013-03-06 北京京东方光电科技有限公司 液晶取向层的制作方法
CN102239571B (zh) 2008-12-04 2014-03-19 三菱电机株式会社 薄膜光电变换装置的制造方法
KR101096909B1 (ko) 2009-12-04 2011-12-22 주식회사 하이닉스반도체 반도체 소자의 트랜지스터 및 그 형성방법
CN103451620A (zh) * 2013-09-02 2013-12-18 上海华力微电子有限公司 金属硅化物阻挡层的表面处理方法
US9947585B2 (en) * 2014-06-27 2018-04-17 Intel Corporation Multi-gate transistor with variably sized fin
CN108807165B (zh) * 2018-06-14 2021-04-13 上海华力集成电路制造有限公司 氧化层的制造方法
US10666353B1 (en) * 2018-11-20 2020-05-26 Juniper Networks, Inc. Normal incidence photodetector with self-test functionality
DE112018008193T5 (de) * 2018-12-05 2021-10-14 Mitsubishi Electric Corporation Halbleitereinheit und verfahren zur herstellung einer halbleitereinheit

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3447238A (en) * 1965-08-09 1969-06-03 Raytheon Co Method of making a field effect transistor by diffusion,coating with an oxide and placing a metal layer on the oxide
US4089992A (en) * 1965-10-11 1978-05-16 International Business Machines Corporation Method for depositing continuous pinhole free silicon nitride films and products produced thereby
US3650042A (en) * 1969-05-19 1972-03-21 Ibm Gas barrier for interconnecting and isolating two atmospheres
US3765935A (en) * 1971-08-10 1973-10-16 Bell Telephone Labor Inc Radiation resistant coatings for semiconductor devices
DE3280026D1 (en) * 1981-05-29 1989-12-21 Kanegafuchi Chemical Ind Process for preparing amorphous silicon semiconductor
FR2519770B1 (fr) * 1982-01-08 1985-10-04 Thomson Csf Systeme d'antenne a pouvoir separateur eleve
EP0115204B1 (en) * 1982-12-27 1989-03-29 Mitsubishi Kasei Polytec Company Epitaxial wafer for use in the production of an infrared led
DE3689735T2 (de) * 1985-08-02 1994-06-30 Semiconductor Energy Lab Verfahren und Gerät zur Herstellung von Halbleitervorrichtungen.
US4895734A (en) * 1987-03-31 1990-01-23 Hitachi Chemical Company, Ltd. Process for forming insulating film used in thin film electroluminescent device
FR2614317B1 (fr) * 1987-04-22 1989-07-13 Air Liquide Procede de protection de substrat polymerique par depot par plasma de composes du type oxynitrure de silicium et dispositif pour sa mise en oeuvre.
US4854263B1 (en) * 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
US5164040A (en) * 1989-08-21 1992-11-17 Martin Marietta Energy Systems, Inc. Method and apparatus for rapidly growing films on substrates using pulsed supersonic jets
JPH0740569B2 (ja) * 1990-02-27 1995-05-01 エイ・ティ・アンド・ティ・コーポレーション Ecrプラズマ堆積方法
US5225366A (en) * 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
JP2880322B2 (ja) * 1991-05-24 1999-04-05 キヤノン株式会社 堆積膜の形成方法
JPH0563172A (ja) * 1991-09-02 1993-03-12 Hitachi Ltd 半導体装置とその製造方法
US5340754A (en) * 1992-09-02 1994-08-23 Motorla, Inc. Method for forming a transistor having a dynamic connection between a substrate and a channel region
JPH06120152A (ja) * 1992-10-06 1994-04-28 Nippondenso Co Ltd 水素ドープ非晶質半導体膜の製造方法
JP3190745B2 (ja) * 1992-10-27 2001-07-23 株式会社東芝 気相成長方法
DE4340590A1 (de) * 1992-12-03 1994-06-09 Hewlett Packard Co Grabenisolation unter Verwendung dotierter Seitenwände
US5543356A (en) * 1993-11-10 1996-08-06 Hitachi, Ltd. Method of impurity doping into semiconductor
US5716709A (en) * 1994-07-14 1998-02-10 Competitive Technologies, Inc. Multilayered nanostructures comprising alternating organic and inorganic ionic layers
JP3146113B2 (ja) * 1994-08-30 2001-03-12 シャープ株式会社 薄膜トランジスタの製造方法および液晶表示装置
US5656834A (en) * 1994-09-19 1997-08-12 Philips Electronics North America Corporation IC standard cell designed with embedded capacitors
JP3016701B2 (ja) * 1995-02-07 2000-03-06 三洋電機株式会社 水素化非晶質シリコンの製造方法
US5601656A (en) * 1995-09-20 1997-02-11 Micron Technology, Inc. Methods for cleaning silicon wafers with an aqueous solution of hydrofluoric acid and hydriodic acid
US5763327A (en) * 1995-11-08 1998-06-09 Advanced Micro Devices, Inc. Integrated arc and polysilicon etching process
US6106678A (en) * 1996-03-29 2000-08-22 Lam Research Corporation Method of high density plasma CVD gap-filling
US5702869A (en) * 1996-06-07 1997-12-30 Vanguard International Semiconductor Corporation Soft ashing method for removing fluorinated photoresists layers from semiconductor substrates
JP3220645B2 (ja) * 1996-09-06 2001-10-22 富士通株式会社 半導体装置の製造方法
JPH10275913A (ja) * 1997-03-28 1998-10-13 Sanyo Electric Co Ltd 半導体装置、半導体装置の製造方法及び薄膜トランジスタの製造方法
JP3222404B2 (ja) * 1997-06-20 2001-10-29 科学技術振興事業団 半導体基板表面の絶縁膜の形成方法及びその形成装置
JP2000022185A (ja) * 1998-07-03 2000-01-21 Sharp Corp 太陽電池セル及びその製造方法
US20010052323A1 (en) * 1999-02-17 2001-12-20 Ellie Yieh Method and apparatus for forming material layers from atomic gasses
JP4119029B2 (ja) * 1999-03-10 2008-07-16 東京エレクトロン株式会社 半導体装置の製造方法
KR100745495B1 (ko) * 1999-03-10 2007-08-03 동경 엘렉트론 주식회사 반도체 제조방법 및 반도체 제조장치
US6461909B1 (en) * 2000-08-30 2002-10-08 Micron Technology, Inc. Process for fabricating RuSixOy-containing adhesion layers
JP4713752B2 (ja) * 2000-12-28 2011-06-29 財団法人国際科学振興財団 半導体装置およびその製造方法
US6586792B2 (en) * 2001-03-15 2003-07-01 Micron Technology, Inc. Structures, methods, and systems for ferroelectric memory transistors

Also Published As

Publication number Publication date
CA2433565C (en) 2008-04-08
CN100352016C (zh) 2007-11-28
KR100797432B1 (ko) 2008-01-23
TW200404332A (en) 2004-03-16
EP1347506A4 (en) 2005-04-20
CA2433565A1 (en) 2002-07-11
WO2002054473A1 (fr) 2002-07-11
JP2002261091A (ja) 2002-09-13
KR20060083232A (ko) 2006-07-20
KR100662310B1 (ko) 2006-12-28
KR20030068570A (ko) 2003-08-21
TWI249182B (en) 2006-02-11
IL181060A (en) 2011-03-31
US20050272266A1 (en) 2005-12-08
US20040102052A1 (en) 2004-05-27
EP1347506A1 (en) 2003-09-24
CN1592957A (zh) 2005-03-09
IL156619A0 (en) 2004-01-04
US6975018B2 (en) 2005-12-13
IL181060A0 (en) 2007-07-04
JP4713752B2 (ja) 2011-06-29
AU2002217545B2 (en) 2005-03-17

Similar Documents

Publication Publication Date Title
TW587273B (en) Method of producing semiconductor device
TW525217B (en) Dielectric film and method of producing the same, semiconductor device nonvolatile semiconductor memory device, and method producing semiconductor device
JP4397491B2 (ja) 111面方位を表面に有するシリコンを用いた半導体装置およびその形成方法
US6551948B2 (en) Flash memory device and a fabrication process thereof, method of forming a dielectric film
KR100887330B1 (ko) 절연막의 개질 방법 및 반도체 장치의 제조 방법
JPWO2008117798A1 (ja) 窒化珪素膜の形成方法、不揮発性半導体メモリ装置の製造方法、不揮発性半導体メモリ装置およびプラズマ処理装置
KR20060118620A (ko) 기판 처리 방법 및 반도체 장치의 제조 방법
TWI243424B (en) Substrate processing method

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees