TW488012B - Method of depositing k thick titanium nitride film - Google Patents

Method of depositing k thick titanium nitride film Download PDF

Info

Publication number
TW488012B
TW488012B TW089111453A TW89111453A TW488012B TW 488012 B TW488012 B TW 488012B TW 089111453 A TW089111453 A TW 089111453A TW 89111453 A TW89111453 A TW 89111453A TW 488012 B TW488012 B TW 488012B
Authority
TW
Taiwan
Prior art keywords
ammonia
titanium
nitride layer
titanium nitride
ratio
Prior art date
Application number
TW089111453A
Other languages
English (en)
Inventor
Shulin Wang
Ming Xi
Frederick Wu
Ramanujapuram A Srinivas
Yehuda Demayo
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of TW488012B publication Critical patent/TW488012B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

488012 A7 _____^_ B7_____ 五、發明說明() 菸明領域: 本發明係有關於氮化飲之沉積方法,特別是一種形成 厚且無裂缝之氮化鈦膜層的方法。 發明背景= 在積體電路的製造中,氮化鈦膜層通常是用來作為— 阻障層以阻絕金屬擴散至阻障層下方之一底層區域。這些 底層區域包含電晶體閘極、電容介電層、半導體基板、金 屬導線以及其他許多積體電路上的結構。 例如,當形成一電極以作為電晶體之閘極時,通常會 形成一擴散阻障層於閘極材料與作為電極接觸部份之金 屬間。該擴散阻障層可阻絕金屬擴散至閘極材料(其通常 是由多晶矽所構成的)^這種金屬擴散的現象是吾人不願 見到的,因為電晶體的特性將會因此而改變,或是變得無 法使用。欽/鼠化欽(Ti/TiN)之結合膜層通常是作為一擴散 阻障層。 此鈥/氪化鈥堆疊結構亦作為電晶體之源極與没極的 接點。例如,以鎢(w)插塞製程.形成一接點時,沉積一鈦 層於矽(Si)基板上,接著將鈦層轉換為鈦矽化物(TiSix)層 以提供矽一低電阻接點。然後在形成鎢插塞之前形成一氮 化鈦層於該鈦矽化物層之上。除作為阻障層之外,該氮化 鈦層還有兩種額外功能:1)避免鎢沉積時六氟化鶴(WF6) 對鈦矽化物之化學侵蝕2)作為黏著層以增加鶏插塞之附 著。 第2頁 ------rL!· (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 訂---------線-·-------^---I------------- 488012 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明() 鈥及氮化鈥可以物理或化學氣相沉積法形成之。一鈥 /氮化鈦結合之阻障層可以一多重反應室(群組工具)形成 之,先形成鈦層於一反應室中接著再形成氮化鈦層於另一 反應室中。當以化學氣相沉積法(CVD)沉積鈦及氮化鈦層 時,例如可均以四氯化鈦(TiCU)與不同反應氣體(例如電 漿狀·?I)反應來形成欽及氮化欽層。當四氯化欽與氫氣反 應時會形成鈦;當四氯化鈦與氮氣反應時會形成氮化鈦。 通常,可在電漿狀態或加熱狀態下以四氯化鈥與含氮化合 物反應形成氮化鈦,端視特定之含氮化合物而定。因此, 氮化鈦層可以四氯化鈦與氨反應之高溫化學氣相沉積法 形成之。然而,此種氮化鈦層本質上容易產生拉伸應力, 例如當膜厚為2 0 0埃時達2 X 1 0 10達因/平方公分。因為拉 伸應力會隨著膜厚的增加而增加,所以當膜厚超過4〇〇埃 將會產生裂缝。事實上裂縫的密度及大小均會隨著膜厚的 增加而增加,直到膜層最終剥落。 因此巫需一種形成特性(例如階梯覆蓋性及低應力)改 善且可靠之厚氮化鈦層的技藝。 發明目的及概述: 本發明係一種藉由氨與四氯化鈦反應來形成氮化鈦 (T i N)層之方法。在本發明之一貫施例中,以約低於攝氏 550度之溫度及約為10-50 torr之壓力形成一氮化鈦層。 更佳的疋以約為攝氏500度4溫度、約為20 torr之壓力 及約為8.5之氨對四氯化鈦比率形成一氮化鈦層。 卜!嗾 (請先閱讀背面之注意事項再填寫本頁) I ϋ ϋ n n l I i I 1 I n I l n n I I I n I ϋ I I n ϋ 第3頁
488012 A7 經濟部智慧財產局員工消費合作社印製 五、發明說明( 在另一實施例φ 、 r 精由不同氨對四氯化鈦比率之製程 步驟X錯地沉積不同厘片、# ^ 子度<氮化欽層以形成交錯氮化鈥 曰最好Λ兩步驟之溫度均為攝& 5〇〇度。該交錯氮化 鈥層〈薄膜特徵不同,例如應力、階梯覆蓋性及結晶結 構。相較於以習知芻藉ν接、产 灰f /儿積 < 氮化欽層,包含該交錯氮化 欽^層所成之複合物t 物的取終氮化鈦層其全面階梯覆蓋性及 應力均有改善。在—眘说&丄 在貫施例中,以40至250之氨對四氯 化鈥比率沉積一苣—名& 弟 亂化鈦層至一第一厚度,例如約低於 20埃此第-氮化欽層傾向於具有較低之應力。之後,以 2·5至17之氨對四氯化鈦比率(較佳為8· 5)沉積一第二氮 化鈦層至一第—厚度,例如約介於ΐ5〇·3〇〇埃,此第二氮 化鈦層具有良好足階梯覆蓋性但較高的薄膜應力^重複形 成此兩交錯氮化鈦層以形成一最終之複合氮化鈦層(例如 厚度大於1000埃),該複合氮化鈦層之階梯覆蓋性及薄膜 應力具有全面性之改善。通常,用於形成初始複合氮化鈦 層之特定步驟是不重要的,並且該交錯氮化鈦層可沉積適 當二不同厚度。本發明形成之氮化鈦層非常適用於〇18 械米或更低之小幾何尺寸的插塞填充應用。 圖式簡單說明: 伴隨下列詳細說明及圖示閱讀將可更輕易地了解本 發明之教導,其中: 第1圖係一適用於實施本發明之設備的示意圖; 第2a-2c圖係在本發明之一實施例中處於一積體電路製程 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) — — — — — — Γ — — ! — ·1111111 ·11111111 — — — — — — — — — — — — — — — — — — — — — — (請先閱讀背面之注意事項再填寫本頁) 488012 A7
五、發明說明() 之不同階段之基板結構的示意剖面; 第3a-3d圖係在本發明之另一實施例中處於一積體電路製 程之不同階段之基板結構的示意剖面; 第4a圖係一有微裂縫之氮化鈦薄膜的示意剖面; 第4b圖係描述第4a圖之氮化鈦薄膜的應力及阻值; 第5 a圖係一無裂缝之氮化鈦薄膜的示意剖面; 第5b圖係描述第5a圖之氮化鈦薄膜的應力及阻值;及 第6圖係描述一沉積於攝氏5〇〇度之氮化鈦薄膜的應力及 阻值。 為便於了解故使用相同之圖號標示相同之元件。 圖號對照說明: 經濟部智慧財產局員工消費合作社印製 10 系統 100 反應室 102 真空幫浦 104 淨化氣體源 106 交流電源 120 噴氣頭 112 中央處理單元 114 支援電路 116 控制軟體 118 訊號匯流排 110 控制單元 130 氣體控制板 150 支撐座 170 加熱器 172 溫度感應器 200 基板 200T 上表面 201 鈦矽化物層 202 材料層 2 02H 接觸窗 202B 底部 202S 側壁 204 氮化鈥層 202S 側壁 n ϋ I n ^ u— ϋ ϋ ϋ n ^ · n ϋ I n 1 1· n · I I I ϋ ^ I -1 I I I n I I I i . (請先閱讀背面之注意事項再填寫本頁)
-n I n H 第5頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公釐) I I I I - 488012 A7 B7 2 5 0 基板結構 304 第二氮化鈦層 310 複合氮化鈦層 402 圖案層 404c 裂缝 502 圖案材料層 經濟部智慧財產局員工消費合作社印數 五、發明說明( 210 插塞 302 第一氮化鈦層 306 第三氮化鈦層 400 基板 404 氮化鈦層 500 基板 504 氮化鈦層 發明詳細說明: 本發明可解決厚氮化鈥膜層中因應力所導致之裂縫 的問題。一厚氮化鈦膜層,例如可用於次〇 ·丨8微米技術之 插塞中。薄膜中的任何裂縫均會導致薄膜電阻值(其會影 響接觸孔中的接觸電阻)的增加。本發明可以四氯化鈦與 氨反應來形成相對較厚應力卻較低之氮化鈥膜層。在一實 施例中,以氨比四氯化鈦為2·5至17之比率來沉積一氮化 鈦層,其更佳的比率為8·5,且反應溫度約為攝氏5〇〇度。 在另一實施例中,於攝氏5〇〇度之較佳溫度下,以兩種氨 與四氯化鈦之比率來沉積兩種不同厚度之氮化鈦層。特別 的是,其中一製程步驟與形成低應力之薄氮化鈦層有關, 同時另一製程步驟係用以沉積階梯覆蓋性改善之較厚的 氮化鈦層。重複這兩個製程步驟直到氮化鈦層得到最終想 要之厚度。本發明可得階梯覆蓋性良好且應力降低之氮化 欽層。這兩個步驟彳吏製程容忍度較大,並且相較於單—步 驟法,所得之複合氮化鈦層具有改善之特性,例如應力降 第6頁 — — — — — — till· — — — « — — — — — I— « — — — — 111 — I — — — III —'f — — III — — — — — — — — . f請先閱讀背面之注意事項再填寫本頁> 488012 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明() 低。 系統10 第1圖係一晶圓處理系統10之示意圖,該系統可實 現本發明之實施例。該系統1 0典型包含一反應室1 00、一 氣體控制板1 3 0、一控制單元1 1 0。其他控制硬體元件例 如電源供應器及真空幫浦。反應室1 〇〇之一範例係氮化鈦 反應室,其已揭露於一 1998年12月14日申請之美國專 利第09/21 1,998號專利案中,其名稱為"High Temperature Chemical Vapor Deposition Chamber ”,本案在此將其引入 以作為參考。系統1 0的某些關鍵特徵簡述如下。 反應室100 該反應室100通常包含一支撐座150,以支撐反應室 100中之基板,例如一半導體晶圓190。該支撐座150典 型可藉一移動機構沿一反應室100中之垂直方向(未顯示) 移動之。端視特定製程而定,在製程之前該晶圓基板190 必須加熱至某些想要的溫度。在本發明中,該晶圓支撐座 1 5 0係以一嵌入之加熱器1 7 0加熱之。例如,該支撐座1 5 0 可以一交流電源1 〇 6所施加至加熱器1 7 0之電流以熱阻方 式加熱之。然後,該晶圓190被支撐座150所加熱並維持 於一攝氏400度至750度之溫度範圍中。一溫度感應器 1 7 2 (例如一熱電偶),亦嵌於該支撐座1 5 0之中以以傳統方 式監測該支撐座1 5 0之溫度。例如,所量得之溫度可用於 第7頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) n n ϋ n ϋ n L· ϋ · ·1 I 1 n ϋ I n 一so- ( 1 —i I I n I I I a— ϋ an d I ϋ n n ϋ n I ϋ ϋ ·ϋ ϋ ϋ ·1 ·ϋ H (請先閱讀背面之注意事項再填寫本頁) 488012 A7
五、發明說明() -回授迴路中以控制加熱器17G之交流電源iQ6,以便將 晶圓溫度維持或是控制於一適用於特定製程之相要的溫 度。 〜 以流量控制器(未顯示)及一控制單元丨1〇(例如一電腦) 控制流經氣體控制板130之氣體流量。一噴氣頭12〇使來 自氣體控制板130之氣體均句地分佈及導入反應室1〇〇 中。舉例來說,該控制單元110包含一中央處理單元 (CPU)l 12、支援電路Π4以及含伴隨之控制軟體i 16的記 憶體。該控制單元i 10因應於所需晶圓製程中的自動控控 制,例如晶圓移轉、氣體流量控制、溫度控制、反應室之 抽真控等。控制單元1 1 〇與系統1 〇之不同元件間的雙向 溝·通由數條通稱為訊號匯流排1 1 g (部份如第1圖所示)之 同軸境線負貴之。 以一真S幫浦102對反應室100抽真空及維持反應室 1 0 0中適當的氣體流量與壓力。一噴氣頭1 2 〇 (製程氣體經 由其導入反應室100中),係位於該晶圓支撐座15〇之上。 本發明所用之”雙氣體,•喷氣頭120具有兩個分離之通道, 使氣體可分離地引入而反應室L0 0中不會被預先混合。該 噴氣頭1 20之細節已揭露於一同申請之美國專利申請案 中,名稱為”Dual Gas Faceplate for a Showerhead in a Semiconductor Wafer Processing System”,申請序號為 0 9/09 8,969,申請日為1998年6月16日。在此將其引入 以作為參考。此噴k頭120連結於一氣體控制板130,該 氣體控制板1 3 0經由流量控制器(未顯示)控制及施加不同 第8頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ——!_· (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 Γ 良 J ,· —9 ·1 «1 I I 1· n I 1· I fli fl— n ^mmmt n I ·ϋ iBi an ϋ ϋ I in βϋ n n ·ϋ ϋ ϋ ϋ < 488012 A7 —__B7 五、發明說明() 製程順序中所使用的不同氣體。在晶圓處理過程中,一淨 化氣體源104亦提供一環繞支撐座150底部之淨化氣體 (例如惰性氣體),以使形成於支撐座1 50之不想要的沈積 物減至最少。 沉積氮化鈥薄膜 理想的氮化鈦薄膜應該具有低應力及良好之階梯覆 蓋性,特別是當應用於低於〇· 1 8微米之小尺寸的插塞時。 沉積製程必須適當的調整以形成最佳且想要之特性的薄 膜。在以氨與四氯化鈦反應沉積氮化鈦的過程中,當氨與 四氯化鈥的比率為5時支撐座之溫度通常為攝氏650度。 如此’厚度200埃之氮化鈦薄膜的應力可為2χ1〇10達因/ 平方公分。厚約400埃之薄膜會產生裂缝。通常,可藉由 降低沉積溫度及/或增加氨對四氯化鈥之比率來減少應 力。然而,氨對四氯化鈦之比率的增加亦會導致階梯覆蓋 性變差。 本發明提供兩種形成厚、無裂缝且階梯覆蓋良好之氮 化鈥的選擇性實施例。第一實施例使用表1所示之製程步 驟。在第二實施例中,藉由改變具不同之氨/四氯化鈦比率 之製程步驟(a)及(b)來形成”複合”氮化鈦層。步驟(a)及(b) 之製程參數顯示於表1。 第9頁 本紙張尺度適用中國國家標準(CNS)A4規格⑵G χ 297公爱) ---------id (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 a n I ϋ n n n I a β— I el n -I ϋ I -I ϋ I -ϋ 1 ϋ n ϋ I I n · 經濟部智慧財產局員工消費合作社印製 488012 A7 ___B7_ 五、發明說明() 表1 步驟(a) 步驟(b) 範圍 較佳範圍 範圍 較佳範圍 氨(seem) 30-200 100 100-500 150 氮(seem) 1000-5000 2000 1000-5000 2000 四氯化欽(seem) 3-25 12 1-6 1.8 氮(seem) 500-2500 1000 500-2500 1000 氦(seem) 500-2500 1000 500-2500 1000 氨:四氯化鈦 2.5-17 8.5 40-250 85 支撐座溫度CC) 400-600 500 400-600 500 壓力(torr) 5-50 20 5-50 20 氬淨化氣體(seem) 1000-5000 2000 1000-5000 2000 第2a-c圖係顯示一基板200在積體電路製程中不同 階段的示意剖面。通常,基板係指任何於其上進行薄膜製 程之工件,並且基板結構250係共指基板200及形成於基 板上之其他材質膜層。端視特定的製程階段而定,基板200 可為一矽半導體晶圓,或是已形成於該晶圓上之其他材質 的膜層。例如,第2a圖顯示一基板結構250之剖面,其 具有一以傳統方式形成並圖案化(例如微影及蝕刻)形成於 基板上之材料層2 02。該材料層2 02可為一已圖案化及形 成接觸窗202H延至基板200上表面200T之氧化層(例如 二氧化矽)。通常,該基板2 00可為矽、矽化物或是其他 材料。第2a圖係顯示一基板200為矽之實施例,並且一 第10頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) :.__卜!&訂 線—舞 -------------- (請先閱讀背面之注意事項再填寫本頁) 488012 A7 B7 五、發明說明() 梦化物層201(例如鈦矽化物TiSix)已形成於該接觸窗 2 0 2 Η之底部2 0 2 B。例如該欽♦化物層2 0 1可以沉積鈥(例 如以物理氣相沉積法或是化學氣相沉積法)於基板200及 氧化層202圖案上之方式形成。接著進行一高溫熱處理以 形成該鈦矽化物1^8卜層201。未反應之鈦層203仍位於 氧化層202之上表面200Τ上(因為沉積之鈦具有非均勻 性’並無鈦形成於接觸窗202Η之側壁202S上)。第2b圖 係顯示一沉積於第2 a圖之基板結構2 5 0上的氮化欽層 204 〇 在本發明之一實施例中,該氮化鈥層2 0 4係形成於一 類似第1圖之反應室的化學氣相沉積反應室中,並根據表 1之步驟(a)使氨與四氯化鈦反應。在具有基板結構250之 晶圓190載入晶圓支撐座150之後,將氨及四氯化鈥伴隨 其他乱體導入至該反應室100以沉積氮化欽薄膜。四氯化 鈦係伴隨氦氣並藉由雙氣體喷氣頭120之氣體管線(未顯 示)導入。例如,室溫為液體之四氯化鈦係以液體注入系 統(未顯示)輸送至該氣體管線。通常,使用下列之氣體流 速-四氯化鈥:3-25sccm(以液體流速計算之);氦:500-2500sccm;氮:500-2500sccm。氦及氮通常是作為’’稀釋,, 氣體。使用氦及氮僅是示範而已,亦可使用其他氣體(例 如氬氣及氫氣)作為稀釋氣體。藉由該雙氣體噴氣頭12〇 之第二氣體管線,將流速30-200sccm之氨伴隨一稀釋氣 體(例如流速1000-5000sccm之氮)引入至該反應室10Q 中。兩氣體管線之一中的稀釋氣體可為單一氣體,或不同 第11頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ------€ (請先閲讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 ^ ·1111111« ^ I — I I ---— — — .1 — !--- 488012 經濟部智慧財產局員工消費合作社印製 A7 ______B7__ 五、發明說明() 組合及比率之一種以上的氣體,例如混合氣氣體。當正確 比率或組合對本發明之實際時並非關鍵時,第一及第二氣 體管線之個別氣體流速最好維持平衡。藉由維持氣體管線 中大致相等的氣體流速可以避免潛在的"逆流"問題。最 好’以第一氣體管線中流速l〇〇sccm之氨及流速2000sccm 的氮’以及第二氣體管線中流速I2sccm的氨及流速 lOOOsccm之氦來沉積該氮化鈦。總壓力約高於5 t〇rr(例如 在10-5 0 torr的範圍中,且約20 torr為較佳)。支撐座溫 度的範圍係攝氏400至600度,例如低於攝氏550度,並 且攝氏500度為較佳。端視特定的製程情況而定,該基板 溫度低於支撐座溫度且約為攝氏30至40度。並且,藉由 一分離之氣體管線及淨化氣體源丨〇4建立一流速約為 2OOOsccm之底部惰性淨化氣體流(例如氬氣或是其他惰性 氣體)。該淨化氣體流有助於使晶圓支撐座丨5〇背面不要 之沉積物的累積減至最少。在這些製程情況下,可以形成 無裂縫’薄膜應力低於5xl09達因/平方公分(約為ΐχΐ〇9 達因/平方公分)之氮化鈦層204,其在側壁幾乎垂直之接 觸窗上具有一約為95%之階梯覆蓋性及約為7之深寬比。 深寬比之定義係接觸窗202H之深度d對寬度w的比率。 該氮化鈦層204具有主要結晶方向(220)及(200)。第2c圖 係顯示形成於接觸窗202H中之插塞210。可藉適當之平 坦化技術由第2b圖之結構形成該插塞210,例如毯覆狀或 是化學機械研磨。· 在另一實施例中,一"複合”氮化鈦層係以上述所指之 第12頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ---------螓--------tr---------ft-------!1..----------- (請先閱讀背面之注意事項再填寫本頁) A7 五、發明說明() 製程步驟(a)結合製程步驟(b) ^ 成 < 如前所述,氨對四 虱化鈦之比率會影響氮化鈥薄 曰往M a & 冲胰乏特性,例如應力或是結 言“ 「 瓦之虱化鈦潯膜具有良好階梯覆 ::時,厂旱的薄膜會有最佳的薄膜應力。然而,藉由增加 四乳化致之比率可得應力減少之氮化欽薄膜。在此實 施例中,以步驟⑷及步驟⑻之製程情況沉積不同厚度之 氮化鈦薄膜於第2a圖之基板結構25〇之上❶ 第3a-3d圖係顯示此實施例處理後之基板2〇〇的示意 剖面。例如,第3a圖係顯示一與第2a圖戶斤示完全相同之 基板、、’cr構3 5 0。第3 b圖係顯示一沉積於該基板結構3 5 〇 上且厚度為^之第一氮化鈦層3〇2 ^通常,步驟(a)或步驟 (b)均可用以形成初始之氮化鈦層3〇2於該基板結構35〇 上。然而,若矽化物層20 1主要為鈦矽化物,則以步驟(b) 來形成初始之氮化鈥層302是較佳的。以步驟(b)形成之氮 化鈥層302具有沿著(m)晶向表面之(200)的結晶結構。 然後,如第3c圖所示以步驟(a)形成厚度t2之第二氮化鈦 層304。因為膜層304相較於膜層302具有較佳之階梯覆 蓋性,所以h大於t!是較佳的·。其後,如第3d圖所示重 複步驟(b)以形成一厚度t3之第三氮化鈦層306於膜層304 之上。通常當需要時可重複以步驟(a)或步驟(b)沉積之選 擇性膜層以產生一最終或複合IL化欽層31 〇。複合氮化鈥 層 3 10之厚度係個別膜層 302、304、306…之總和 (t〖+12 + 13 + .··”通常該選擇性膜層(係指複合層)302、304、 306可沉積成不同厚度,例如膜層3 02及膜層3 04並不需 第13頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 χ 297公釐) ---------------% (請先閱讀背面之注意事項再填寫本頁) ·11111 經濟部智慧財產局員工消費合作社印製 ' H I 1 1« n I n ϋ I < 488012 A7 B7 經濟部智慧財產局員工消費合作社印制衣 五、發明說明( 要彼此相同之厚度。然而,階梯覆蓋性較佳之複合層最好 是較其他應力較低但階梯覆蓋性較差之複合層具有較厚 的厚度。因此,該複合氮化鈦層3 1 〇結合有製程步驟(a) 之良好階梯覆蓋性及製程步騾(b)之低應力的優點。 例如,沉積應力低於1 X 1 〇9達因/平方公分之氮化欽 層3 02至t!的厚度(通常約低於20埃)。沉積應力低於5x 1〇9達因/平方公分且階梯覆蓋性約為95%之膜層304至t2 的厚度(典型約介於150至300埃,且200埃為較佳)。當 需要時重複這些過程(步驟(a)及步驟(b)交替)直到得到最 終所要之氮化鈦層的厚度。例如,藉由反覆5次循環之沉 積可得一厚度1000埃、無裂縫且之階梯覆蓋性約為90〇/〇 之氮化欽層。 特別的是,製程步驟(b)中氨對四氯化鈦需要之比率為 40-2 50,且85為較佳。氨之流速可為1〇〇至5〇〇sccm且 约150為較佳。同時,四氯化鈦之流速可為1至6sccin且 約1 · 8為較佳。其他稀釋氣體流量、溫度及壓力範圍仍與 步驟(a)相同。 …在本發明中降低製程溫度及增加氨對四氯化鈦之比 率具有兩項重要觀點。這兩項參數對氮化鈦薄膜特性之效 應說明於第4-6圖。第4a圖係顯示一氮化鈇層4〇4之示意 剖面’該氮化鈥層404係於攝氏約為68〇度之支撐座溫度 下以標準四氯化鈥/氣製程(氨對四氣化欽比率約& 5)沉積 於一基板彻及圖案層402之上。本質上存於膜層404之 高薄膜應力會形成許多裂縫4〇4c。第外圖係顯示薄膜應 第14頁 本紙張尺石ϋν國國家標準規格咖χ 297公楚丁 —¾tr---------ft-------------------- (請先閲讀背面之注意事項再填寫本頁) %δυΐ2 '發明說明() 力及阻值對之膜層404厚度之函數㈣。當氛化欽層4〇4 之厚度由200埃增加至超過1〇〇〇埃時,薄膜應力會由2χ 101。達因,平方公分減至lxl〇1。達因/平方公分。此明顯的 矛盾可以,,微裂缝"解釋之,例如,膜層4〇4中之裂縫4〇讣 可釋放應力許多的薄膜應力。沿著微裂縫,該薄膜阻值亦 由140增加至260微歐姆-公分。 第5a圖係顯示一無裂缝之氮化鈦層5〇4,形成於一圖 案材料層502及-基板刚之上^增加氣對四氣化欽之比 率至約為60並維持製程溫度約為攝氏68〇度。相對於第 4a圖之氮化鈥層402,該氮化欽層5()4並無微裂縫。該氣 化鈦層504隨著膜厚的增加相對地維持恆定於ΐ 5χι〇ι〇 達因/平方公分。同時薄膜阻值由12〇微歐姆-公分減至低 於1 0 0微歐姆-公分。 亦可以藉由將溫度降至約為攝氏500度同時保持氨對 四氯化鈦之比率約為5之方式形成無裂縫之氮化鈦層。第 6圖係顯7F膜厚1 000埃之膜層的應力維持在低於i χ ίο9 達因/平方公分且阻值約為500微歐姆—公分。 藉由降低標準氮化鈥製程.中之製程溫度及增加氨對 四氯化鈦的比率’本發明可提供-形成具低應力及階梯覆 蓋性良好之厚氮化欽膜層^法。雖然本發明特別適用於 例如插塞填充之應用,但是積體電路製程中不同階段的其 他终多基板結構亦可使用。 雖然結纟本發明之教:導的數個實施体〗己經冑細地說 明’但是熟悉該項技藝者仍可輕易地推得仍在本發明之教 第15頁 參紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) ·· 經濟部智慧財產局員工消費合作社印製 0 m ·_ -Jl? — — — — — — — I· — — — — — — — — — — — — — — — — — — — — — I· 488012 A7 B7 五、發明說明( 導範圍中的許多其他變化實施例 經濟部智慧財產局員工消費合作社印製 第16頁 H 11 I n ϋ_· β·^— ϋ 1 il· ί n n I 0 i t ϋ n n immf i w ^ 麵 I aav I I I I I I I I I amw > wa I * I I I I I I mbm mib I I I言 矣 (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)

Claims (1)

  1. 488012 A8 B8 C8 - 丨 D8 六、申請專利範圍 1 · 種’’儿積氮化鈥(TiN)層於一基板上之方法,該方法至少 包含下列步驟: (請先閱讀背面之注意事項再填寫本頁) U)在10-5 Otorr之壓力範圍及約低於攝氏550度之溫 度範圍藉由氨與四氯化鈦反應形成一第一氮化鈦層。 2 ·如申請專利範圍第1項所述之方法,其中上述步騾(a)係 以一氨對四氯化鈥約大於5之第一比率進行。 3.如申請專利範圍第1項所述之方法,其中上述步驟(幻之 進行溫度約為攝氏500度。 4 ·如申請專利範圍第1項所述之方法,其中上述步驟(昀之 進行壓力約為20ton^ 5. 如申請專利範圍第1項所述之方法,其中上述步驟(&)中 氨之流速約為30-200sccm,四氯化鈦之流速約為3_25 seem ° 經濟部智慧財產局員工消費合作社印製 6. 如申請專利範圍第1項所述之方法,其中上述步驟(㈡中 氨對四氯化鈦之第一比率約為8.5,製程溫度約為攝氏 500度,並且總壓力約為20torr。 7 ·如申請專利範圍糸1項所述之方法,更包含下列步驟: (b)以一氨對四氣化钦之弟一比率,藉由氨與四氣化 第17頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 488012 A8 B8 C8 D8 經濟部智慧財產局員工消費合作社印製 六、申請專利範圍 鈦反應形成一第二氮化鈦層; 其中上述.氨對四氯化鈦之第一比率不同於該氨對四 氣化飲弟二比率。 8 ·如申請專利範圍第7項所述之方法,更包含下列步驟: (c)重複上述步驟(a)及上述步驟(b)以形成一複合氮 化鈦層,該複合氮化鈦層至少包含交錯之上述第一氮化 鈦層及上述第二氮化鈦層。 9 ·如申請專利範圍第7項所述之方法,其中上述氨對四氯 化鈦第二比率約大於40。 1 0.如申請專利範圍第7項所述之方法,其中上述氨對四氯 化鈦第一比率約為8.5。 1 1.如申請專利範圍第1 〇項所述之方法,其中上述氨對四 氯化鈦第二比率約為8 5。 12.如申請專利範圍第7項所述之方法,其中上述步騾(b) 在約低於攝氏5 5 0度下進行° 1 3 ·如申請專利範圍第7項所述之方法,其中上述第一氮化 •鈦層之厚度約介^150呈300埃’上述第二氮化鈦層之 厚度約小於20埃。 第18肓 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公髮) (請先閱讀背面之注意事項再填寫本頁) • T • mmmee n l n ·ϋ eat an f β -ϋ emmmm I —me aammm n t 488012 經濟部智慧財產局員工消費合作社印製 A8 B8 C8 ------ D8六、申請專利範圍 1 4·如申請專利範圍第1 3項所述之方法,更包含重複上述 步驟(a)及上述步驟(b)以形成一複合氮化鈦層之步驟, 孩複合I化鈦層至少包含交錯之該第一氮化鈦層及該 第二氮化鈦層。 15·一種沉積複合氮化鈦(TiN)層於一基板上之方法,該方 法至少包含下列步驟: (a)以氨對四氣化鈦約大於40之第一比率,藉由氨與 四氯化鈦反應形成一第一氮化鈦層; (h)以一氨對四氣化鈦第二比率,藉由氨與四氯化鈦反 應形成一第二氮化鈦層於該第一氮化鈦層上,該氨對四 氯化欽第二比率不同於該氨對四氣化鈦第一比率; 其中該步驟(a)及該步騾(b)之進行溫度於約低於攝 氏 550 〇 1 6.如申請專利範圍第1 5項所述之方法,其中上述氨對四 氯化鈥第一比率大於上述氨對四氯化鈥第二比率,且上 述第一氮化鈦層具有一第一厚.度,上述第二氮化鈦層具 有一弟一厚度’該第一厚度小於該第二厚度。 1 7 ·如申請專利範圍第1 5項所述之方法,更包含以下步 驟: • (c)重複上述士驟(a)及上述步驟(b)以形成該複合氮 化鈦層,該複合氮化鈦層至少包含交錯之該第一氮化鈦 第19頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) ·! 訂--- 丨·線,·-------·1 ——----------- 488012 經濟部智慧財產局員工消費合作社印製 申請專利範圍 層及該第二氮化鈦層。 18·如申請專利範圍第15 丨、心万/7Γ,其中上述氨對四 氯化鈦第一比率約為8 5。 19·如中請專利範圍第15 雄 丨 <心万去,其中上述氨對四 氯化鈦第二比率約為8 · 5。 20·如申請專利範圍第15項 万/2Γ,其中上述步驟(a) 及上述步驟⑻所進行之製程溫度约低為攝氏別度。 •如_請專利範圍第15項所述之方法,其中上述步驟⑷ 及上述步驟(b)所進行之壓力範圍約介於1〇_5〇 “η。 22·—種沉積複合氮化鈦(TiN)層於一基板上之方法,該 法至少包含下列步驟: (a) 以一氨對四氯化鈦第一比率,藉由氨與四氯化 反應形成一第一氮化鈦層;. (b) 以一氨對四氯化鈦第二比率,藉由氨與四氯化 反應形成一第二氮化鈦層於該第一氮化鈦層上; (c) 以交錯之方式重複上述步騾(a)及上述步驟(b) 形成一複合氮化鈦層之步驟,該複合氮化鈦層至少包 .交錯之該第一氮也鈦層及該第二氮化鈥層; 其中該氨對四氣化鈦第一比率不同於該氨對四氯 方 鈦 以 含 第20頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公聲) 488012 經濟部智慧財產局員工消費合作社印製 A8 B8 C8 D8 申請專利範圍 飲第二比率。 23·如申請專利範圍第22項所述之方法,其中上述步驟U) 及上述步驟(b)之進行溫度於約低於攝氏550。 24.如申請專利範圍第23項所述之方法’其中上述步驟U) 及上述步驟(b)之進行壓力約於高於於5 torr。 25·如申請專利範圍第23項所述之方法,其中上述氨對四 氯化鈦第一比率及上述氨對四氯化飲第二比率約大於 5 〇 2 6.如申請專利範圍第2 5項所述之方法,其中上述氨對四 氯化欽第一比率約大於40。 27.如申請專利範圍第21項所述之方法,其中上述步騾(a) 中氨之流速範圍約為30-200sccm,上述四氯化鈦之氣態 流速範圍約為3-25sccm,且上述步驟(b)中氨之流速範 圍約為100-500SCCm,上述四氯化鈥之氣態流速範圍約 為 1 - 6seem ° 28·—種含軟體程式之電腦儲存媒體,當執行時可使一通用 •電腦控制一沉積‘反應室進行一沉積薄膜之方法,該方法 至少包含下列步驟: 第21頁 (請先閱讀背面之注意事項再填寫本頁) .·-----r---tr--------------------------------
    /、申凊專利範圍 (a) 在10-50 torr之壓力範圍及約低於攝氏550度之 狐度範圍藉由氨與四氯化鈦反應形成一第一氮化鈦層。 29·如申請專利範圍第28項所述之電腦儲存媒體,其中上 迷步驟(a)係以氨對四氯化鈦約大於5之一第一比率進 行。 3 〇·如申請專利範圍第28項所述之電腦儲存媒體,其中上 述方法更包含下列步驟: (b) 以一氨對四氣化鈦第二比率,藉由氨與四氯化鈦 反應形成一第二氮化鈦層於該第一氮化鈦層上,該氨對 四氯化鈥第二比率不同於上述氨對四氯化鈦第一比 率 〇 3 1.如申請專利範圍第3 〇項所述之電腦儲存媒體,其中上 述方法更包含下列步驟: (c) 重複上述步驟(a)及上述步騾(b)以形成一複合氮 化鈥層,該複合氮化鈦層至少.包含交錯之該第一氮化鈦 層及該第二氮化鈦層。 32·—種含軟體程式之電腦儲存媒體,當執行時可使一通用 電腦控制一沉積反應室進行一沉積薄膜之方法,該方法 •至少包含下列步驟: (a)以一氨對四氯化鈦第一比率,藉由氨與四氯化鈇 第22貫 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) f請先閱讀背面之注意事項再填寫本頁} 經濟部智慧財產局員工消費合作社印製 -------訂--------------------- 488012 A8 B8 C8 D8 六、申請專利範圍 反應形成一第一氮化鈦層,該第一氮化鈦層具有一第一 厚度; (b) 以一氨對四氯化鈦第二比率,藉由氨與四氯化鈦 反應形成一第二氮化鈦層,該第二氮化鈦層具有一第二 厚度大於該第一氮化鈦層之第一厚度,該氨對四氯化鈦 第二比率小於該氨對四氯化鈦第一比率; (c) 重複上述步驟(a)及上述步驟(b)以形成一複合氮 化鈦層之步驟,該複合氮化鈦層至少包含交錯之該第一 氮化鈦層及該第二氮化鈦層; 其中該步驟(a)及該步驟(b)之進行溫度約低於攝氏 550度,該氨對四氯化鈦第一比率約大於40。 (請先閱讀背面之注意事項再填寫本頁) ·_ 經濟部智慧財產局員工消費合作社印製 第23頁 一-0, · ϋ n n ϋ I n H ί ί I H ί n I I n n d I n n n ϋ ϋ n n ϋ I 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)
TW089111453A 1999-06-11 2000-06-12 Method of depositing k thick titanium nitride film TW488012B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/330,696 US6548402B2 (en) 1999-06-11 1999-06-11 Method of depositing a thick titanium nitride film

Publications (1)

Publication Number Publication Date
TW488012B true TW488012B (en) 2002-05-21

Family

ID=23290915

Family Applications (1)

Application Number Title Priority Date Filing Date
TW089111453A TW488012B (en) 1999-06-11 2000-06-12 Method of depositing k thick titanium nitride film

Country Status (4)

Country Link
US (1) US6548402B2 (zh)
JP (1) JP2001040477A (zh)
KR (1) KR100696143B1 (zh)
TW (1) TW488012B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI464790B (zh) * 2006-10-30 2014-12-11 Tokyo Electron Ltd Film forming method and substrate processing device
TWI690613B (zh) * 2016-05-16 2020-04-11 日商愛發科股份有限公司 內部應力控制膜之形成方法

Families Citing this family (312)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003152165A (ja) 2001-11-15 2003-05-23 Fujitsu Ltd 半導体装置およびその製造方法
KR100439028B1 (ko) * 2001-12-27 2004-07-03 삼성전자주식회사 2단계 증착방식을 이용한 반도체 장치의 제조방법
KR100445069B1 (ko) * 2001-12-31 2004-08-21 주식회사 하이닉스반도체 반도체 메모리 소자의 캐패시터 제조방법
US6823257B2 (en) * 2002-01-04 2004-11-23 Intel Corporation Non-GPS navigation
US7311946B2 (en) * 2003-05-02 2007-12-25 Air Products And Chemicals, Inc. Methods for depositing metal films on diffusion barrier layers by CVD or ALD processes
JP4545433B2 (ja) * 2003-12-26 2010-09-15 東京エレクトロン株式会社 成膜方法
TW200526806A (en) * 2004-01-15 2005-08-16 Tokyo Electron Ltd Film-forming method
US8083853B2 (en) * 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
KR100614801B1 (ko) 2004-07-05 2006-08-22 삼성전자주식회사 반도체 장치의 막 형성방법
KR100587686B1 (ko) * 2004-07-15 2006-06-08 삼성전자주식회사 질화 티타늄막 형성방법 및 이를 이용한 커패시터 제조방법
KR100615602B1 (ko) * 2004-09-15 2006-08-25 삼성전자주식회사 매끄러운 표면을 갖는 타이타늄 나이트라이드 막의 형성방법들 및 이를 이용한 반도체 장치의 형성방법들
US20060128127A1 (en) * 2004-12-13 2006-06-15 Jung-Hun Seo Method of depositing a metal compound layer and apparatus for depositing a metal compound layer
KR100763506B1 (ko) * 2005-06-27 2007-10-05 삼성전자주식회사 커패시터 제조 방법
KR100886989B1 (ko) * 2006-04-21 2009-03-04 도쿄엘렉트론가부시키가이샤 Ti 막의 성막 방법 및 컴퓨터 판독 가능 기억 매체
KR20070104253A (ko) * 2006-04-21 2007-10-25 동경 엘렉트론 주식회사 Ti 막의 성막 방법 및 컴퓨터 판독 가능 기억 매체
JPWO2007125837A1 (ja) * 2006-04-24 2009-09-10 東京エレクトロン株式会社 Ti膜の成膜方法
KR20080007496A (ko) * 2006-04-24 2008-01-21 동경 엘렉트론 주식회사 Ti막의 성막 방법
US20090130466A1 (en) * 2007-11-16 2009-05-21 Air Products And Chemicals, Inc. Deposition Of Metal Films On Diffusion Layers By Atomic Layer Deposition And Organometallic Precursor Complexes Therefor
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9177826B2 (en) * 2012-02-02 2015-11-03 Globalfoundries Inc. Methods of forming metal nitride materials
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) * 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
EP3072147A4 (en) * 2013-11-20 2017-09-13 Intel Corporation Microelectronic transistor contacts and methods of fabricating the same
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6416031B2 (ja) * 2015-03-30 2018-10-31 株式会社Kokusai Electric 半導体デバイスの製造方法、基板処理装置およびプログラム
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
KR102560283B1 (ko) * 2018-01-24 2023-07-26 삼성전자주식회사 샤워 헤드를 설계하고 제조하는 장치 및 방법
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4524718A (en) 1982-11-22 1985-06-25 Gordon Roy G Reactor for continuous coating of glass
JP2776826B2 (ja) 1988-04-15 1998-07-16 株式会社日立製作所 半導体装置およびその製造方法
US5462895A (en) * 1991-09-04 1995-10-31 Oki Electric Industry Co., Ltd. Method of making semiconductor device comprising a titanium nitride film
JPH06196482A (ja) * 1992-12-24 1994-07-15 Kawasaki Steel Corp 半導体装置およびその製造方法
US5416045A (en) 1993-02-18 1995-05-16 Micron Technology, Inc. Method for chemical vapor depositing a titanium nitride layer on a semiconductor wafer and method of annealing tin films
US5378501A (en) 1993-10-05 1995-01-03 Foster; Robert F. Method for chemical vapor deposition of titanium nitride films at low temperatures
US5420072A (en) 1994-02-04 1995-05-30 Motorola, Inc. Method for forming a conductive interconnect in an integrated circuit
WO1995034092A1 (en) 1994-06-03 1995-12-14 Materials Research Corporation A method of nitridization of titanium thin films
US5975912A (en) 1994-06-03 1999-11-02 Materials Research Corporation Low temperature plasma-enhanced formation of integrated circuits
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
JPH088212A (ja) * 1994-06-22 1996-01-12 Sony Corp プラズマcvd方法
US5610106A (en) 1995-03-10 1997-03-11 Sony Corporation Plasma enhanced chemical vapor deposition of titanium nitride using ammonia
JP3027946B2 (ja) 1997-01-24 2000-04-04 日本電気株式会社 半導体装置およびその製造方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI464790B (zh) * 2006-10-30 2014-12-11 Tokyo Electron Ltd Film forming method and substrate processing device
TWI690613B (zh) * 2016-05-16 2020-04-11 日商愛發科股份有限公司 內部應力控制膜之形成方法
US10975465B2 (en) 2016-05-16 2021-04-13 Ulvac, Inc. Method of forming internal stress control film

Also Published As

Publication number Publication date
KR20010007344A (ko) 2001-01-26
US20020064598A1 (en) 2002-05-30
US6548402B2 (en) 2003-04-15
JP2001040477A (ja) 2001-02-13
KR100696143B1 (ko) 2007-03-20

Similar Documents

Publication Publication Date Title
TW488012B (en) Method of depositing k thick titanium nitride film
Leskelä et al. Atomic layer deposition (ALD): from precursors to thin film structures
US9558937B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and non-transitory computer-readable recording medium
US6399490B1 (en) Highly conformal titanium nitride deposition process for high aspect ratio structures
JP2001291682A (ja) 化学気相堆積により形成された窒化チタン膜のプラズマ処理
US6174809B1 (en) Method for forming metal layer using atomic layer deposition
US7501343B2 (en) Formation of boride barrier layers using chemisorption techniques
US8053365B2 (en) Methods for forming all tungsten contacts and lines
US20050238808A1 (en) Methods for producing ruthenium film and ruthenium oxide film
Musher et al. Atmospheric pressure chemical vapor deposition of titanium nitride from tetrakis (diethylamido) titanium and ammonia
US4504521A (en) LPCVD Deposition of tantalum silicide
US10453744B2 (en) Low temperature molybdenum film deposition utilizing boron nucleation layers
US20180142345A1 (en) Low temperature molybdenum film deposition utilizing boron nucleation layers
CN105839068B (zh) 钨膜的成膜方法
US6177305B1 (en) Fabrication of metal-insulator-metal capacitive structures
TW202115270A (zh) 第六族金屬沈積方法
JP2599560B2 (ja) ケイ化タングステン膜形成方法
KR20180054476A (ko) TiN계막 및 그 형성 방법
TW202208664A (zh) 導電氮化物膜的成核方法
JP2004197227A (ja) Mocvd銅インターコネクトのためのバリア層としてタングステンナイトライド薄膜を堆積するソースオールタネイトmocvdプロセス
Maury et al. Selective titanium silicide for industrial applications
TW202237882A (zh) 用於形成包含釩及氧的層之方法及系統
TW202248445A (zh) 鉬或鎢材料之沉積方法
Papadatos et al. Chemical Vapor Deposition of Ru and RuO 2 for Gate Electrode Applications
KR20200135547A (ko) 붕소 핵생성 층을 이용하는 저온 몰리브데넘 막 증착