KR20010007344A - 두꺼운 질화 티타늄막을 증착하는 방법 - Google Patents

두꺼운 질화 티타늄막을 증착하는 방법 Download PDF

Info

Publication number
KR20010007344A
KR20010007344A KR1020000032130A KR20000032130A KR20010007344A KR 20010007344 A KR20010007344 A KR 20010007344A KR 1020000032130 A KR1020000032130 A KR 1020000032130A KR 20000032130 A KR20000032130 A KR 20000032130A KR 20010007344 A KR20010007344 A KR 20010007344A
Authority
KR
South Korea
Prior art keywords
ticl
ratio
tin
tin layer
layer
Prior art date
Application number
KR1020000032130A
Other languages
English (en)
Other versions
KR100696143B1 (ko
Inventor
왕슐린
시밍
우프레드
아스리니바스라마누야푸람
데마요예후다
란도비피
창메이
엘왕어러셀
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR20010007344A publication Critical patent/KR20010007344A/ko
Application granted granted Critical
Publication of KR100696143B1 publication Critical patent/KR100696143B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

암모니아 (NH3) 및 4염화 티타늄 (TiCl4) 간의 반응을 이용하여 질화 티타늄 (TiN) 층을 형성하는 방법에 관한 것이다. 일실시예에서, 약 20토르의 압력으로 약 500℃ 의 온도에서 TiN 층을 증착하도록 약 8.5 의 NH3: TiCl4비율이 이용된다. 다른 실시예에서, 상이한 NH3: TiCl4비율을 갖는 공정 조건을 이용하여 상이한 두께를 갖는 TiN 층을 교대로 증착함으로써 복합 TiN 층이 형성된다. 바람직한 일실시예에서, 약 20Å 보다 작은 두께의 TiN 층은 약 85 의 NH3: TiCl4비율로 형성되고, 이후에 약 8.5 의 NH3: TiCl4비율로 보다 두꺼운 TiN 층이 증착된다. 2개의 상이한 공정 조건을 이용하여 막 증착을 교대로 반복함으로써, 복합 TiN 층이 형성된다. 이러한 복합 TiN 층은, 표준 TiN 공정에 비교하여 향상된 전체 단계 커버리지 및 감소된 스트레스를 나타내며, 작은 기하학적 플러그 필 응용에 적합하다.

Description

두꺼운 질화 티타늄막을 증착하는 방법{METHOD OF DEPOSITING A THICK TITANIUM NITRIDE FILM}
본 발명은 질화 티타늄막을 증착하는 방법에 관한 것으로서, 보다 상세하게는, 균열이 없고 두꺼운 질화 티타늄막을 형성하는 방법에 관한 것이다.
집적회로의 제조시, 금속이 배리어층밑의 기저영역으로 확산되는 것을 막기위해 금속 배리어막으로서 질화 티타늄막이 흔히 사용된다. 이러한 기저영역은 트랜지스터 게이트, 커패시터 절연체, 반도체 기판, 금속 라인, 및 집적회로에서 나타내는 다른 많은 구조를 포함한다.
예를 들어, 트랜지스터의 게이트용으로 전극이 형성되고 있을 때, 게이트 물질과 금속 간에 전극의 접촉부 역할을 하는 확산 배리어가 흔히 형성된다. 확산 배리어는 폴리실리콘으로 구성될 수도 있는 게이트 물질로 금속이 확산되는 것을 방지한다. 이러한 금속 확산은 트랜지스터의 특성을 변경시키고, 또는 동작되지 않게 만들기에 바람직하지 못한다. 예를 들어, 티타늄/질화 티타늄 (Ti/TiN) 의 조합이 확산 배리어로서 흔히 사용된다.
Ti/TiN 스택은 트랜지스터의 소스 및 드레인에 대한 접촉부를 제공하도록 또한 사용되어왔다. 예를 들어, 텅스텐 (W) 플러그 공정을 이용하여 접촉부를 형성하는데 있어서, Ti 층은 실리콘 (Si) 기판위에 증착되고, Ti 층이 티타늄 실리사이드 (TiSiX) 로 변환되며, 이것은 Si 와 접촉하는 보다 낮은 저항을 제공한다. 이후 Tin 층은 텅스텐 플러그를 형성하기에 앞서 TiSiX위에 형성된다. 배리어 층이 존재하는 것에 더하여, TiN 층은 2개의 추가 기능을 나타낸다. 즉, 1) W 증착동안 6플루오르화 텅스텐 (WF6) 에 의해 TiSiX의 화학적 공격을 방지하고 2) 글루층 (glue layer) 이 W 플러그의 부착을 향상시키는 기능을 나타낸다.
Ti 및 TiN 막은 물리적 또는 화학적 기상 증착에 의해 형성될 수 있다. Ti/TiN 조합 배리어층은 한 체임버에서 Ti 막을 증착하고 이후에 다른 체임버에서 TiN 막을 증착함으로써 다중 체임버 클러스터 툴에서 형성될 수도 있다. 화학 기상 증착 (CVD) 을 이용하여 Ti 및 TiN 을 증착할 때, 예를 들어, 상이한 반응성 가스로 반응할 수 있을 때 즉, 플라즈마 상태일 때 Ti 및 TiN 막을 형성하기 위해 TiCl4가 사용될 수도 있고, TiN 막은 TiCl4이 질소와 반응할 때 형성된다. 일반적으로, TiN 은, 화합물을 함유하는 특정한 질소에 의존하는 플라즈마 또는 열적 상태에서 화합물을 함유하는 질소가 TiCl4와 반응함으로써 형성된다. 따라서, TiN 막은 TiCl4와 암모니아 (NH3) 간의 반응을 이용하여 고온 CVD 에 의해 형성될 수도 있다. 그러나, 이러한 TiN 막은 200Å 의 막 두께용으로 2x1010dyne/cm2차수로 높은 신장성 스트레스를 내재적으로 갖는 경향이 있다. 신장력은 막 두께가 증가함에 따라 증가되고, 두께가 400Å을 초과할 때 균열이 발생하기 시작한다. 사실, 균열의 밀도 및 크기는 막이 결국 박리될 때까지 막 두께와 함께 증가한다.
따라서, 뛰어난 단계 커버리지 및 낮은 스트레스와 같은 향상된 성질을 갖는 신뢰성있고 두꺼운 TiN 막을 형성하는 방법이 당해 기술에 필요하다.
본 발명은 NH3와 TiCl4간의 반응을 이용하여 질화 티타늄 (TiN) 층을 형성하는 방법에 관한 것이다. 본 발명의 일실시예에서, TiN 층은 550℃ 보다 낮은 온도 및 약 10 ~ 50 토르 압력에서 형성된다. 보다 바람직하게, TiN 층은 약 500℃ 온도, 약 20 토르 압력, 및 약 8.5 의 NH3: TiCl4비율에서 형성된다.
또다른 실시예에서, TiN 층은 상이한 NH3: TiCl4비율을 갖는 2개의 공정 단계를 이용하여 TiN 층을 교대로 증착함으로써 형성된다. 바람직하게, 2개의 공정 단계는 약 500℃ 에서 수행된다. 교대로 된 TiN 층은 스트레스, 단계 커버리지 및 결정 구조와 같은 막 특성에 있어서 상이하다. 교대로 된 복합층을 포함하는 최종 TiN 층은 종래 기술의 공정을 이용하여 증착된 TiN 층에 비교하여 향상된 전체 단계 커버리지 및 스테리스 성질을 갖는다. 바람직한 일실시예에서, 제 1 TiN 층은 예를 들어 약 20Å 보다 작은 제 1 두께, 및 40 ~ 250 의 NH3: TiCl4비율로 증착된다. 이러한 제 1 TiN 층은 보다 낮은 막 스트레스를 갖는 경향이 있다. 이후에 예를 들어 2.5 ~ 17, 바람직하게는 약 8.5 의 NH3: TiCl4비율을 이용하여 150 ~ 300Å 의 제 2 두께를 갖는 제 2 TiN 층이 증착된다. 제 2 TiN 층은 뛰어난 단계 커버리지를 갖지만 막 스트레스는 더 높아진다. 이러한 2개의 층을 교대로 반복하여 형성함으로써, 두께 1000Å 를 넘는 최종 복합 TiN 층이 단계 커버리지 및 막 스트레스가 전체적으로 향상되어 형성될 수 있다. 일반적으로, 초기 TiN 층을 형성하기 위해 사용되는 특정한 공정 단계는 중요하지 않으며, 교대층이 상이한 두께로 적절히 증착될 수 있다. 본 발명을 이용하여 형성된 복합 TiN 층은 0.18㎛ 이하의 기하학의 플러그-필 (plug-fill) 응용에 잘 적용된다.
이해하기 쉽도록, 도면에서 공통인 동일한 요소를 지정하도록, 가능한 동일한 참조 번호가 사용되었다.
도 1 은 본 발명에서 실행되어 사용될 수 있는 장치를 개략적으로 도시한 도.
도 2a 내지 2c 는 본 발명의 일실시예에 따른 집적회로 제조의 상이한 단계에서 기판 구조를 나타내는 개략적인 단면도.
도 3a 내지 3d 는 본 발명의 다른 실시예에 따른 집적회로 제조의 상이한 단계에서 기판 구조를 나타내는 개략적인 단면도.
도 4a 는 미소 균열을 나타내는 TiN 막의 개략적인 단면도.
도 4b 는 도 4a 의 TiN 막의 스트레스 및 비저항을 나타내는 그래프.
도 5a 는 균열이 없는 TiN 막을 도시하는 개략적인 단면도.
도 5b 는 도 5a 의 TiN 막의 스트레스 및 비저항을 도시하는 그래프.
도 6 은 500℃ 에서 증착된 TiN 막의 스트레스 및 비저항을 도시하는 그래프.
도면의 주요부분에 대한 부호설명
10 시스템 100 공정 체임버
110 제어 유닛 120 샤우어헤드
130 가스 패널 150 페디스털
170 히터 190 웨이퍼
200 실리콘 기판 202 산화층
본 발명은 두꺼운 질화 티타늄 (TiN) 막의 스트레스에 의해 유도된 균열 문제를 다룬다. 예를 들어, 두꺼운 TiN 막은 서브-0.18㎛ 기술에서의 플러그 응용을 위해 사용될 수도 있다. 막에서 발생하는 균열은 막 비저항을 증가시키게 되며, 이것은 접촉 홀에서의 접촉 저항에 직접적으로 영향을 끼친다. 본 발명은 비교적 두껍고, 낮은 스트레스의 TiN 막이 NH3와 TiCl4간의 반응을 이용하여 형성될 수 있게 한다. 일실시예에서, TiN 층은 2.5 ~ 17, 보다 바람직하게는 약 8.5 의 NH3: TiCl4비율, 및 약 500℃ 의 공정 온도에서 증착된다. 다른 실시예에서, TiN 층은 바람직하게 약 500℃ 의 온도에서 2개의 상이한 NH3: TiCl4비율을 이용하여 상이한 두께를 갖는 Tin 막을 교대로 증착함으로써 형성된다. 특히, 한 공정 단계에는 낮은 스트레스를 갖는 얇은 TiN 막을 형성하는 단계가 관련되며, 다른 공정 단계는 향상된 단계 커버리지를 갖지만 보다 높은 막 스트레스를 갖는 보다 두꺼운 TiN 막을 증착하는데 이용된다. 이러한 2개의 공정 단계는 원하는 최종 TiN 층 두께가 얻어질 때까지 반복된다. 본 발명은 감소된 스트레스 및 뛰어난 전체 단계 커버리지를 갖는 두꺼운 TiN 막을 제공한다. 2개 단계 접근법으로 인해 보다 큰 공정 마진이 가능해지며, 단일 단계 접근법을 이용하여 얻어지는 특성에 비해 향상된 특성 (즉, 감소된 스트레스) 을 갖는 복합 TiN 층이 발생한다.
시스템 (10)
도 1 은 본 발명의 실시예를 실행하는데 이용될 수 있는 웨이퍼 처리 시스템 (10) 의 개략적인 도이다. 시스템 (10) 은 전형적으로 전원 및 진공 펌프와 같은 다른 하드웨어 구성요소와 함께 공정 체임버 (100), 가스 패널 (130), 제어 유닛 (110) 을 포함한다. 공정 체임버 (100) 의 한 예로 TiN 체임버가 있으며, 참고로 "High Temperature Chemical Vapor Deposition Chamber" 라는 명칭으로 1998년 12월 14일 출원한 미국특허 출원번호 제 09/211,998 호에서 이미 설명되어 있다. 시스템 (10) 의 일부 주요 특성은 아래에 간략히 설명된다.
체임버 (100)
공정 체임버 (100) 는 일반적으로 지지 페디스털 (150) 을 포함하며, 이것은 공정 체임버 (100) 내의 반도체 웨이퍼 (190) 와 같은 기판을 지지하는데 사용된다. 페디스털 (150) 은 (도시되지 않은) 배치 메카니즘을 이용하여 체임버 (100) 내에서 특히 수직 방향으로 이동될 수 있다. 특정한 공정에 의존하여, 웨이퍼 기판 (190) 은 처리되기에 앞서 어떤 원하는 온도에서 가열되어야 한다. 본 발명에서, 웨이퍼 지지 페디스털 (150) 은 매입된 히터 (170) 에 의해 가열된다. 예를 들어, 페디스털 (150) 은 AC 전원 (106) 으로부터의 전류를 히터 소자 (170) 에 인가함으로써 저항하여 가열된다. 이제, 웨이퍼 (190) 는 페디스털 (150) 에 의해 가열되고, 예를 들어, 750℃ 의 공정 온도에서 유지될 수 있다. 열전대와 같은 온도 센서 (172) 는 종래의 방식에서 페디스털 (150) 의 온도를 감시하도록 웨이퍼 지지 페디스털 (150) 내에 또한 매입된다. 예를 들어, 측정된 온도는, 웨이퍼 온도가 특정한 공정 응용을 위해 소망하는 적절한 온도로 유지되거나 제어될 수 있는 것처럼 가열 소자 (107) 용 전원 (106) 을 제어하도록 피드백 루프에서 이용될 수도 있다.
가스 패널 (130) 을 통한 가스 흐름의 적절한 제어 및 조절은 (도시되지 않은) 대량 가스 제어기 및 컴퓨터와 같은 제어기 유닛 (110) 에 의해 수행된다. 샤우어헤드 (showerhead; 120) 로 인해 가스 패널 (130) 로부터의 공정 가스가 체임버 (100) 내로 균일하게 분산 및 도입될 수 있다. 예로, 제어 유닛 (110) 은 중앙 처리 유닛 (CPU; 112), 지지 회로 (114), 및 관련된 제어 소프트웨어를 포함하는 메모리 (116) 를 포함한다. 제어 유닛 (110) 은 웨이퍼 전송, 유량 제어, 온도 제어, 체임버 배출, 등과 같은 웨이퍼 처리에 필요한 수많은 단계의 자동 제어를 담당한다. 제어 유닛 (110) 과 시스템 (10) 의 다양한 구성요소 간의 양방향 통신은 신호 버스 (118) 로 언급되는 수많은 집약된 단일 케이블을 통하여 이루어지며, 그 일부가 도 1 에 도시되어 있다.
진공 펌프 (102) 는 공정 체임버 (100) 를 배출하고 체임버 (100) 내에서 적절한 유량 및 압력을 유지하는데 사용된다. 체임버 (100) 내로 공정 가스가 도입되게 하는 샤우어헤드 (120) 는 웨이퍼 지지 페디스털 (150) 위에 위치한다. 본 발명에서 사용되는 듀얼 가스 샤우어헤드 (120) 는 2개의 개별적인 경로를 갖고, 이것은 2개의 가스가 미리 혼합되지 않고 체임버 (100) 내로 개별적으로 도입되게 한다. 샤우어헤드 (120) 의 상세한 사항은 참고로 "Dual Gas Faceplate for a Showerhead in a Semiconductor Wafer Processing System" 이라는 명칭으로 1998년 6월 16일 출원한 미국특허 출원번호 제 09/098,969 호에서 설명되어 있다. 샤우어헤드 (120) 는, (도시되지 않은) 대량 흐름 제어기를 통해 공정 시퀀스의 상이한 단계에서 사용되는 다양한 가스를 제어 및 공급하는 가스 패널 (130) 에 연결된다. 웨이퍼 처리동안, 퍼지 가스 공급기 (104) 는 예를 들어, 페디스털 (150) 위에 불필요한 증착이 형성되는 것을 최소화하기 위해 페디스털 (150) 의 밑면 주위에 퍼지 가스, 비활성 가스를 또한 제공한다.
TiN 막 증착
이상적인 TiN 막은 특히 0.18㎛ 이하의 작은 기하학과 관련된 플러그 필 응용에서 낮은 스트레스 및 뛰어난 단계 커버리지를 갖추어야 한다. 최적의 바람직한 특성을 갖는 막을 제공하기위해 증착 공정 조건의 적절한 조절이 요구된다. NH3과 TiCl4간의 반응을 이용하여 TiN 을 증착시에, 예를 들어, NH3: TiCl4비율은 다양한 단계 커버리지 및 스트레스 특성을 갖는 TiN 막을 제공하도록 조절될 수 있다.
표준 TiN 증착 공정에서, 650℃ 초과의 페디스털 온도는 약 5 의 NH3: TiCl4비율에서 흔히 사용된다. 이에따라 200Å 두께의 막용으로 2 x 1010dyne/cm2차수로 신장력을 갖는 TiN 막이 발생한다. 균열은 약 400Å 두께인 막에서 발생하기 시작한다. 일반적으로, TiN 막 스트레스는 증착 온도를 낮춤으로써 그리고/또는 NH3: TiCl4비율을 증가함으로써 감소될 수 있다. 그러나, 증가된 NH3: TiCl4비율은 단계 커버리지를 또한 감소되게 한다.
본 발명은 뛰어난 단계 커버리지를 갖고 두꺼우며 균일이 없는 TiN 층을 형성하는 2개의 대체 실시예를 제공한다. 일실시예는 표 1 에 도시된 공정 단계 (a) 를 이용한다. 제 2 실시예에서, 복합 TiN 층은 상이한 NH3: TiCl4비율을 갖는 2개의 공정 단계 (a 및 b) 를 교대로 수행함으로써 형성된다. 이러한 2개의 단계 (a, b) 를 위한 공정 파라미터는 표 1 에 도시된다.
단계 (a) 단계 (b)
범위 바람직한 값 범위 바람직한 값
NH3(sccm) 30 - 200 100 100 - 500 150
N2(sccm) 1000 - 5000 2000 1000 - 5000 2000
TiCl4(sccm) 3 - 25 12 1 - 6 1.8
N2(sccm) 500 - 2500 1000 500 - 2500 1000
He (sccm) 500 - 2500 1000 500 - 2500 1000
NH3:TiCl4 2.5 - 17 8.5 40 - 250 85
페디스털 온도(℃) 400 - 600 500 400 - 600 500
압력 (torr) 5 - 50 20 5 - 50 20
Ar (sccm) 퍼지 1000 - 5000 2000 1000 - 5000 2000
도 2a - 2c 는 집적회로 제조 시퀀스의 상이한 스테이지에서 기판 (200) 의 개략적인 단면도를 도시한다. 일반적으로, 기판 (200) 은 막 처리가 수행되는 소재이며, 기판 구조 (250) 는 기판 (200) 위에 형성된 다른 물질 층과 함께 기판 (200) 을 일반적으로 나타내는데 사용된다. 처리의 특정 스테이지에 의존하여, 기판 (200) 은 실리콘 반도체 웨이퍼, 또는 웨이퍼 위에 형성된 다른 물질층에 상응할 수도 있다. 예를 들어, 도 2a 는 기판 구조 (250) 의 단면도를 도시하며, 종래에 기판 (200) 위에 (예를 들어, 리소그래피 및 에칭에 의해) 형성되어 패터닝된 물질층 (202) 을 갖는다. 물질층 (202) 은 기판 (200) 의 최상위면으로 연장되는 접촉 홀 (202H) 을 형성하도록 패터닝된 산화물 (즉, SiO2) 일 수도 있다. 도 2a 는 기판 (200) 이 실리콘인 일실시예를 도시하며, 실리사이드 층 (201), 즉 다른 것들 중에서 티타늄 실리사이드 (TiSiX) 는 접촉 홀 (202H) 의 밑면 (202B) 에 형성된다. TiSiX층 (201) 은, 예를 들어, 실리콘 기판 (200) 및 패터닝된 산화물 (202) 위에 Ti 를 증착 (즉, 물리적 기상 증착 또는 CVD) 함으로써 형성될 수도 있고, 이후에 고온의 어닐링이 뒤따르며, 이에따라 TiSiX층 (201) 이 형성된다. 반응하지 않은 Ti 층 (203) 은 산화층 (202) 의 최상면 (202T) 에 존재한다 (비등각 Ti 증착때문에 접촉홀 (202H) 의 측면 (202S) 에는 Ti 가 형성되지 않는다). 도 2b 는 도 2a 의 기판 구조위에 증착된 TiN 층 (204) 을 도시한다.
본 발명의 일실시예에서, TiN 막 (204) 은, 표 1 의 공정 단계 (a) 에 따라 NH3와 TiCl4간의 반응을 이용하여 도 1 의 체임버 (100) 와 유사한 CVD 체임버에서 형성된다. 기판 구조 (250) 를 갖는 웨이퍼 (190) 가 웨이퍼 지지 페디스털 (150) 위로 로드된 후, 다른 가스와 함께 NH3및 TiCl4는 TiN 막 증착을 위해 체임버 (100) 내로 도입된다. TiCl4는 헬륨 (He) 및 질소 (N2) 와 함께, 듀얼 가스 샤우어헤드 (120) 의 (도시되지 않은) 한 개의 가스 라인을 통해 도입된다. TiCl4는, 상온에서 액체이기에, 예를 들어 (도시되지 않은) 액체 주입 시스템을 이용하여 가스 라인으로 전달된다. 일반적으로, 다음에 따르는 유량 범위가 사용될 수 있다. 즉, (액체 유량으로부터 미세 조정된) TiCl4는 3 ~ 25 sccm , He 는 500 ~ 2500 sccm, 및 N2는 500 ~2500 sccm 에서 사용될 수 있다. He 및 N2는 일반적으로 희석 가스로 언급된다. He 및 N2는 단지 예로서 언급된 것이며, 아르곤 (Ar) 및 수소 (H2) 와 같은 다른 가스가 희석 가스로서 또한 사용될 수 있다. 30 ~ 200 sccm 범우의 NH3는 1000 ~ 5000 sccm 범위의 N2와 같은 희석 가스와 함께 듀얼 가스 샤우어헤드 (120) 의 제 2 가스 라인을 통해 체임버 (100) 내로 도입된다. 어떠한 가스 라인에서의 희석 가스는 단일 가스, 또는 상이한 가스 혼합물의 조합 비율로 된 한 개 이상의 가스를 포함할 수 있다. 희석 가스의 정확한 비율 또는 조합은 본 발명의 실행에 대하여 결정적인 것이 아니며, 제 1 및 제 2 가스 라인에서 각 유량 간에 일부 균형이 유지되는 것이 바람직하다. 2개의 가스 라인에서 동일한 유량을 적절히 유지함으로써, 잠재적인 역류 문제가 회피될 수 있다. 보다 바람직하게, TiN 증착은 제 1 가스 라인에서 약 2000sccm 의 N2흐름 및 약 100sccm 의 NH3흐름에서, 그리고 제 2 가스 라인에서 약 1000sccm 의 He 흐름 및 약 1000sccm 의 N2흐름에서 수행된다. 10 ~ 50 토르 범위, 바람직하게는 약 20토르에서 약 5 토르보다 큰 전체 압력, 및 약 400 ~ 600 ℃의 온도 범위에서, 즉, 550℃보다 작고, 보다 바람직하게는 약 500℃ 인 페디스털 온도가 사용될 수 있다. 특정한 공정 조건에 의존하여, 기판 온도는 페디스털 온도보다 낮은 약 30 ~ 40℃ 일 수도 있다. 게다가, 약 2000sccm, 또는 보다 일반적으로 1000 ~ 5000 sccm 의 밑면 비활성 가스 퍼지 흐름 (즉, Ar 또는 다른 비활성 가스) 은 체임버 (100) 의 밑면에 제공되는 퍼지 가스 공급기 (104) 및 개별적인 가스 라인을 통해 확립된다. 이러한 가스 퍼지 흐름은 웨이퍼 지지 페디스털 (150) 의 뒷면에 바람직하지 못한 증착 누적을 최소화하는데 도움을 준다. 이러한 공정 조건에서, 약 5x109dynes/cm2을 갖고 1x109dynes/cm2만큼 낮은 막 스트레스를 가지며 균열이 없는 TiN 층 (204) 은 약 7 인 종횡비 및 수직에 가까운 측벽을 갖는 접촉 홀에 걸쳐 약 95% 의 단계 커버리지를 갖고 형성될 수 있다. (종횡비는 깊이 (d) 대 접촉홀 (202H) 의 폭 (w) 의 비율로 정의된다) TiN 층 (204) 은 주요 결정 배향 (220, 200) 을 갖는다. 도 2c 는 접촉 홀 (202H) 내부에 TiN 플러그 (210) 의 형성을 도시한다. 플러그 (210) 는 도 2b 의 구조로부터 적절한 평탄화 기술, 즉, 블랭킷 에치백 또는 화학적 기계적 연마에 의해 형성될 수 있다.
또다른 실시예에서, 복합 TiN 층은, 또다른 공정 단계 (b) 와 함께 상기한 단계 (a) 로 언급되는 공정 단계를 이용함으로써 형성된다. 상기한 바와 같이, NH3및 TiCl4비율은 스트레스, 단계 커버리지 및 결정 구조와 같은 증착된 TiN 막 성질에 영향을 준다. 공정 단계 (a) 에 따라 증착된 TiN 막은 뛰어난 단계 커버리지를 나타내며, 막 스트레스는 두꺼운 막 응용에 있어서 최적이 되지 못할 수도 있다. 그러나, NH3및 TiCl4비율을 증가함으로써, 감소된 스트레스를 갖는 TiN 막이 형성될 수 있다. 이 실시예에서, 상이한 두께를 갖는 TiN 막은 단계 (a, b) 의 공정 조건을 교대로 이용하여 도 2a 의 기판 구조 (250) 위에 증착된다.
도 3a ~ 도 3d 는 대체 실시예에 따라 처리를 실행하는 기판 (200) 의 개략적인 단면도를 도시한다. 예를 들어, 도 3a 는 도 2a 의 구조와 동일한 기판 구조 (350) 를 도시한다. 도 3b 는 t1의 두께로 기판 (350) 위에 증착되는 제 1 TiN 층 (302) 을 도시한다. 일반적으로, 기판 구조 (350) 위에 초기 TiN 층 (302) 을 형성하기 위해 공정 단계 (a 또는 b) 가 이용될 수도 있다. 그러나, 실리사이드 층 (201) 이 주로 TiSiX이라면, 초기 TiN 층 (302) 을 형성하기 위해서는 공정 단계 (b) 가 이용되는 것이 바람직하다. 공정 단계 (b) 를 이용하여 형성된 TiN 층 (302) 은 (111) 배향과 함께 (200) 결정 구조를 나타낸다. 이후 t2두께의 제 2 TiN 층 (304) 은 도 3c 에 도시된 바와 같이 공정 단계 (a) 를 이용하여 층 (302) 위에 형성된다. 층 (304) 은 층 (302) 에 비교하여 나은 단계 커버리지를 갖기에, t2가 t1보다 큰 것이 바람직하다. 따라서, 공정 단계 (b) 는 층 (304) 위에 t3두께의 제 3 TiN 층 (306) 을 형성하도록 반복될 수 있다. 단계 (a 및 b) 를 이용하는 대체 층 증착은 최종, 즉 복합 TiN 층 (310) 을 생성하기 위해 필요한 만큼 자주 반복될 수도 있다. 복합 TiN 층 (310) 의 두께 (tc) 는 각 층 (302, 304, 306...) 의 두께 (t1+ t2+ t3 +...) 합으로 주어진다. 일반적으로, (구성요소 층으로 언급될 수도 있는) 대체 층 (302, 304, 306) 은 상이한 두께로 증착될 수도 있다. 즉, 층 (302, 306) 은 서로 동일한 두께를 가질 필요가 없다. 그러나, 보다 나은 단계 커버리지를 갖는 구성요소 층이 보다 낮은 스트레스를 갖지만 더 열화된 단계 커버리지를 갖는 다른 구성요소 층보다 두껍게 증착되는 것이 바람직하다. 따라서, 형성된 복합 TiN 층 (310) 은 공정 단계 (a) 에 의해 제공되는 뛰어난 단계 커버리지 및 공정 단계 (b) 로부터 감소된 막 스트레스라는 장점을 갖는다.
예를 들어, 약 1x109dynes/cm2미만의 막 스트레스를 갖는 TiN 층 (302) 은 전형적으로 약 20Å 미만의 두께로 증착된다. 5x109dynes/cm2미만의 막 스트레스 및 약 95% 의 단계 커버리지를 갖는 층 (304) 은, 150 ~ 300Å, 보다 바람직하게는 약 200Å 의 두께 (t2) 로 증착된다. 프로시저는 원하는 최종 TiN 층 두께가 얻어질 때까지 필요한 만큼 단계 (a 및 b) 를 교대로 반복한다. 예를 들어, 5사이클의 증착 반복 공정을 이용하여, 1000Å 두께의 균열이 없는 TiN 층이 약 90% 의 전체 단계 커버리지로 얻어진다.
특히, 공정 단계 (b) 는 40 ~ 250 dml NH3: TiCl4, 바람직하게는 약 85 의 비율 사용을 필요로 한다. NH3흐름은 100 ~ 500sccm, 보다 바람직하게는 약 150sccm 일 수도 있고, 한편 TiCl4은 1 ~ 6 sccm, 보다 바람직하게는 약 1.8sccm 일 수도 있다. 다른 희석 유량, 온도 및 압력 범위는 단계 (a) 에서와 동일하다.
공정 온도의 감소 및 NH3: TiCl4유량의 증가는 본 발명의 2가지 중요한 점이다. TiN 막에 대한 2개 파라미터의 영향은 도 4 ~ 6 에 도시된다. 도 4a 는, 약 680℃ 의 페디스털 온도에서 약 5 의 NH3: TiCl4비율을 갖는 표준 TiCl4/NH3증착 공정을 이용하여 기판 (400) 및 패터닝 층 (402) 위에 형성되는 TiN 층 (404) 의 개략적인 단면도를 도시한다. 층 (404) 에서의 내재적으로 높은 막 스트레스로 인해 수많은 균열 (404C) 이 형성된다. 도 4b 는 TiN 층 (404) 의 두께 함수로서 막 스트레스 및 비저항을 도시하는 그래프이다. TiN 층 (404) 의 두께가 약 200Å 로부터 1000Å 넘을 때까지 증가함에 따라, 막 스트레스는 약 2x1010dynes/cm2으로부터 1x1010dynes/cm2로 감소된다. 이러한 막 두께를 증가시킴에 따라 막 스트레스가 감소되는 명백한 역설은 미세 균열로서 설명된다. 즉, 층 (404) 내의 균열 (404C) 외양은 상당한 막 스트레스를 경감한다. 미세 균열과 함께, 막 비저항은 약 140 으로부터 260 μohm-cm 을 넘을 때까지 또한 증가한다.
도 5a 는 패터닝 물질층 (502) 및 기판 (500) 위에 형성된 균열이 없는 TiN 층 (504) 을 도시한다. 층 (504) 은 NH3: TiCl4비율을 약 60 으로 증가시키고 공정 온도를 약 680℃ 로 유지함으로써 증착된다. 도 4a 의 TiN 층 (402) 과는 대조적으로, TiN 층 (504) 은 미세 균열로부터 영향을 받지 않는다. 막 스트레스는 막 두께가 증가되면서 1.5x1010dynes/cm2의 차수로 비교적 일정하게 유지되는 한편, 막 비저항은 약 120 μohm-cm 으로부터 약 100 μohm-cm 으로 감소한다.
균열이 없는 TiN 층은, NH3: TiCl4비율을 약 5 로 유지하는 한편 공정 온도를 약 500℃ 로 감소시킴으로써 또한 형성될 수 있다. 도 6 은, 약 500μohm-cm 의 비저항과 함께, 1x109dynes/cm2미만으로 유지되는 1000Å 두께의 층의 막 스트레스를 도시한다.
표준 TiN 증착 공정에 대하여 NH3: TiCl4비율을 증가시키고 공정 온도를 감소시킴으로써, 본 발명은 낮은 스트레스 및 뛰어난 단계 커버리지를 갖는 두꺼운 TiN 층을 형성하는 방법을 제공한다. 본 발명이 플러그 필과 같은 응용에 특히 적절하지만, 집적회로 제로의 다양한 스테이지에서 발생하는 다른 많은 기판 구조에 일반적으로 적용가능하다.
본 발명의 교시를 포함하는 여러 바람직한 실시예가 도시 및 상세히 설명되었지만, 당해 기술에 숙련된 당업자는 이러한 교시를 여전히 포함하는 다양한 다른 실시예를 만들 수 있다.
형성된 복합 TiN 층 (310) 은 공정 단계 (a) 에 의해 제공되는 뛰어난 단계 커버리지 및 공정 단계 (b) 로부터 감소된 막 스트레스라는 장점을 갖는다.

Claims (32)

  1. 기판위에 질화 티타늄 (TiN) 층을 증착하는 방법으로서,
    (a) 약 10 ~ 50 토르의 압력 및 약 550℃ 미만의 온도 범위에서 암모니아 (NH3) 와 4염화 티타늄 (TiCl4) 간의 반응을 이용하여 제 1 TiN 층을 형성하는 단계를 포함하는 것을 특징으로 하는 방법.
  2. 제 1 항에 있어서, 상기 (a) 단계는 약 5 를 초과하는 제 1 NH3: TiCl4비율에서 수행되는 것을 특징으로 하는 방법.
  3. 제 1 항에 있어서, 상기 (a) 단계는 약 500℃ 의 온도에서 수행되는 것을 특징으로 하는 방법.
  4. 제 1 항에 있어서, 상기 (a) 단계는 약 20 토르의 압력에서 수행되는 것을 특징으로 하는 방법.
  5. 제 1 항에 있어서, 상기 (a) 단계는 약 30 ~ 200 sccm 범위의 NH3유량 및 약 3 ~ 25 sccm 범위의 TiCl4기체 유량으로 수행되는 것을 특징으로 하는 방법.
  6. 제 1 항에 있어서, 상기 (a) 단계는 약 8.5 의 제 1 NH3: TiCl4비율. 약 500℃ 의 공정 온도, 및 약 20 토르의 전체 압력에서 수행되는 것을 특징으로 하는 방법.
  7. 제 1 항에 있어서, (b) 제 2 NH3: TiCl4비율에서 NH3와 TiCl4간의 반응으로부터 제 2 TiN 층을 형성하는 단계를 더 포함하며, 상기 제 1 NH3: TiCl4비율은 상기 제 2 NH3: TiCl4비율과 상이한 것을 특징으로 하는 방법.
  8. 제 7 항에 있어서, (c) 제 1 및 제 2 TiN 층을 교대로 포함하는 복합 TiN 층을 형성하기 위해 상기 단계 (a 및 b) 를 반복하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  9. 제 7 항에 있어서, 상기 제 2 NH3: TiCl4비율은 약 40 을 초과하는 것을 특징으로 하는 방법.
  10. 제 7 항에 있어서, 상기 제 1 NH3: TiCl4비율은 약 8.5 인 것을 특징으로 하는 방법.
  11. 제 10 항에 있어서, 상기 제 2 NH3: TiCl4비율은 약 85 인 것을 특징으로 하는 방법.
  12. 제 7 항에 있어서, 상기 (b) 단계는 약 550℃ 미만의 온도에서 수행되는 것을 특징으로 하는 방법.
  13. 제 7 항에 있어서, 상기 제 1 Tin 층의 두께는 약 150 ~ 300Å 이고, 상기 제 2 TiN 층의 두께는 약 20Å 미만인 것을 특징으로 하는 방법.
  14. 제 13 항에 있어서, 제 1 및 제 2 TiN 층을 교대로 포함하는 복합 TiN 층을 형성하기 위해 상기 단계 (a 및 b) 를 반복하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  15. 기판위에 복합 질화 티타늄 (TiN) 층을 증착하는 방법으로서,
    (a) 약 40 보다 큰 제 1 NH3: TiCl4비율로 NH3와 TiCl4간의 반응을 이용하여 제 1 TiN 층을 형성하는 단계; 및
    (b) 상기 제 1 NH3: TiCl4비율과 상이한 제 2 NH3: TiCl4비율로 NH3와 TiCl4간의 반응을 이용하여 제 2 TiN 층을 형성하는 단계를 포함하며, 상기 단계 (a 및 b) 는 약 550℃ 미만의 온도에서 수행되는 것을 특징으로 하는 방법.
  16. 제 15 항에 있어서, 상기 제 1 NH3: TiCl4비율은 상기 제 2 NH3: TiCl4비율보다 크며 상기 제 1 TiN 층은 상기 제 2 TiN 층의 제 2 두께보다 작은 제 1 두께로 형성되는 것을 특징으로 하는 방법.
  17. 제 15 항에 있어서, (c) 제 1 및 제 2 TiN 층을 교대로 포함하는 상기 복합 TiN 층을 형성하기 위해 상기 단계 (a 및 b) 를 반복하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  18. 제 15 항에 있어서, 상기 제 1 NH3: TiCl4비율은 약 85 인 것을 특징으로 하는 방법.
  19. 제 15 항에 있어서, 상기 제 2 NH3: TiCl4비율은 약 8.5 인 것을 특징으로 하는 방법.
  20. 제 15 항에 있어서, 상기 단계 (a 및 b) 는 약 500℃ 의 공정 온도에서 수행되는 것을 특징으로 하는 방법.
  21. 제 15 항에 있어서, 상기 단계 (a 및 b) 는 10 ~ 50 토르의 압력 범위에서 수행되는 것을 특징으로 하는 방법.
  22. 기판위에 복합 질화 티타늄 (TiN) 층을 증착하는 방법으로서,
    (a) 제 1 NH3: TiCl4비율로 NH3와 TiCl4간의 반응을 이용하여 제 1 TiN 층을 형성하는 단계;
    (b) 제 2 NH3: TiCl4비율로 NH3와 TiCl4간의 반응을 이용하여 제 2 TiN 층을 형성하는 단계; 및
    (c) 상기 복합 TiN 층을 형성하기 위해 상기 단계 (a 및 b) 를 교대로 반복하는 단계를 포함하며, 상기 제 1 NH3: TiCl4비율은 상기 제 2 NH3: TiCl4비율과 상이한 것을 특징으로 하는 방법.
  23. 제 22 항에 있어서, 상기 단계 (a 및 b) 는 약 550℃ 미만의 온도에서 수행되는 것을 특징으로 하는 방법.
  24. 제 23 항에 있어서, 상기 단계 (a 및 b) 는 약 5 토르를 초과하는 압력에서 수행되는 것을 특징으로 하는 방법.
  25. 제 21 항에 있어서, 상기 제 1 및 제 2 NH3: TiCl4비율은 약 5 보다 큰 것을 특징으로 하는 방법.
  26. 제 25 항에 있어서, 상기 제 1 NH3: TiCl4비율은 약 40 보다 큰 것을 특징으로 하는 방법.
  27. 제 21 항에 있어서, 상기 (a) 단계는 약 30 ~ 200 sccm 범위의 NH3유량 및 약 3 ~ 25 sccm 범위의 TiCl4기체 유량에서 수행되고, 상기 (b) 단계는 약 100 ~ 500 sccm 범위의 NH3유량 및 약 1 ~ 6 sccm 범위의 TiCl4기체 유량에서 수행되는 것을 특징으로 하는 방법.
  28. 실행시에 막증착법을 이용하여 범용 컴퓨터가 증착실을 제어하도록 하는 소프트웨어 루틴을 포함하는 컴퓨터 저장 매체로서, 상기 막증착법은,
    (a) 약 10 ~ 50 토르 및 약 550℃ 미만의 온도에서 암모니아 (NH3) 및 4염화 티타늄 (TiCl4) 간의 반응을 이용하여 제 1 질화 티타늄 (TiN) 층을 형성하는 단계를 포함하는 것을 특징으로 하는 컴퓨터 저장 매체.
  29. 제 28 항에 있어서, 상기 (a) 단계는 약 5 보다 큰 제 1 NH3: TiCl4비율에서 수행되는 것을 특징으로 하는 컴퓨터 저장 매체.
  30. 제 28 항에 있어서, 상기 막증착법은, (b) 상기 제 1 NH3: TiCl4비율과 상이한 제 2 NH3: TiCl4비율에서 NH3과 TiCl4간의 반응을 이용하여 제 2 질화 티타늄 (TiN) 층을 형성하는 단계를 더 포함하는 것을 특징으로 하는 컴퓨터 저장 매체.
  31. 제 30 항에 있어서, 상기 막증착법은, (c) 제 1 및 제 2 TiN 층을 교대로 포함하는 복합 TiN 층을 형성하기 위해 상기 단계 (a 및 b) 를 반복하는 단계를 더 포함하는 것을 특징으로 하는 컴퓨터 저장 매체.
  32. 실행시에 막증착법을 이용하여 범용 컴퓨터가 증착실을 제어하도록 하는 소프트웨어 루틴을 포함하는 컴퓨터 저장 매체로서, 상기 막증착법은,
    (a) 제 1 NH3: TiCl4비율로 NH3와 TiCl4간의 반응을 이용하여 제 1 두께를 갖는 제 1 TiN 층을 형성하는 단계;
    (b) 상기 제 1 NH3: TiCl4비율보다 작은 제 2 NH3: TiCl4비율로 NH3와 TiCl4간의 반응을 이용하여 상기 제 1 두께보다 큰 두께를 갖는 제 2 TiN 층을 형성하는 단계; 및
    (c) 제 1 및 제 2 TiN 층을 포함하는 복합 TiN 층을 형성하기 위해 상기 단계 (a 및 b) 를 반복하는 단계를 포함하고,
    상기 단계 (a 및 b) 는 약 550℃ 보다 작은 온도에서 수행되고, 상기 제 1 NH3: TiCl4비율은 약 40 보다 큰 것을 특징으로 하는 방법.
KR1020000032130A 1999-06-11 2000-06-12 기판위에 두꺼운 질화 티타늄층을 성막하는 방법 KR100696143B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/330,696 US6548402B2 (en) 1999-06-11 1999-06-11 Method of depositing a thick titanium nitride film
US09/330,696 1999-06-11

Publications (2)

Publication Number Publication Date
KR20010007344A true KR20010007344A (ko) 2001-01-26
KR100696143B1 KR100696143B1 (ko) 2007-03-20

Family

ID=23290915

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020000032130A KR100696143B1 (ko) 1999-06-11 2000-06-12 기판위에 두꺼운 질화 티타늄층을 성막하는 방법

Country Status (4)

Country Link
US (1) US6548402B2 (ko)
JP (1) JP2001040477A (ko)
KR (1) KR100696143B1 (ko)
TW (1) TW488012B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7439192B2 (en) 2004-07-05 2008-10-21 Samsung Electronics Co., Ltd. Method of forming a layer on a semiconductor substrate

Families Citing this family (313)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003152165A (ja) 2001-11-15 2003-05-23 Fujitsu Ltd 半導体装置およびその製造方法
KR100439028B1 (ko) * 2001-12-27 2004-07-03 삼성전자주식회사 2단계 증착방식을 이용한 반도체 장치의 제조방법
KR100445069B1 (ko) * 2001-12-31 2004-08-21 주식회사 하이닉스반도체 반도체 메모리 소자의 캐패시터 제조방법
US6823257B2 (en) * 2002-01-04 2004-11-23 Intel Corporation Non-GPS navigation
US7311946B2 (en) * 2003-05-02 2007-12-25 Air Products And Chemicals, Inc. Methods for depositing metal films on diffusion barrier layers by CVD or ALD processes
JP4545433B2 (ja) * 2003-12-26 2010-09-15 東京エレクトロン株式会社 成膜方法
TW200526806A (en) * 2004-01-15 2005-08-16 Tokyo Electron Ltd Film-forming method
US8083853B2 (en) * 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
KR100587686B1 (ko) * 2004-07-15 2006-06-08 삼성전자주식회사 질화 티타늄막 형성방법 및 이를 이용한 커패시터 제조방법
KR100615602B1 (ko) * 2004-09-15 2006-08-25 삼성전자주식회사 매끄러운 표면을 갖는 타이타늄 나이트라이드 막의 형성방법들 및 이를 이용한 반도체 장치의 형성방법들
US20060128127A1 (en) * 2004-12-13 2006-06-15 Jung-Hun Seo Method of depositing a metal compound layer and apparatus for depositing a metal compound layer
KR100763506B1 (ko) * 2005-06-27 2007-10-05 삼성전자주식회사 커패시터 제조 방법
KR100886989B1 (ko) * 2006-04-21 2009-03-04 도쿄엘렉트론가부시키가이샤 Ti 막의 성막 방법 및 컴퓨터 판독 가능 기억 매체
KR20070104253A (ko) * 2006-04-21 2007-10-25 동경 엘렉트론 주식회사 Ti 막의 성막 방법 및 컴퓨터 판독 가능 기억 매체
JPWO2007125837A1 (ja) * 2006-04-24 2009-09-10 東京エレクトロン株式会社 Ti膜の成膜方法
KR20080007496A (ko) * 2006-04-24 2008-01-21 동경 엘렉트론 주식회사 Ti막의 성막 방법
JP5207615B2 (ja) * 2006-10-30 2013-06-12 東京エレクトロン株式会社 成膜方法および基板処理装置
US20090130466A1 (en) * 2007-11-16 2009-05-21 Air Products And Chemicals, Inc. Deposition Of Metal Films On Diffusion Layers By Atomic Layer Deposition And Organometallic Precursor Complexes Therefor
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9177826B2 (en) * 2012-02-02 2015-11-03 Globalfoundries Inc. Methods of forming metal nitride materials
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) * 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
EP3072147A4 (en) * 2013-11-20 2017-09-13 Intel Corporation Microelectronic transistor contacts and methods of fabricating the same
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6416031B2 (ja) * 2015-03-30 2018-10-31 株式会社Kokusai Electric 半導体デバイスの製造方法、基板処理装置およびプログラム
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102140914B1 (ko) * 2016-05-16 2020-08-04 가부시키가이샤 아루박 내부 응력 제어막의 형성 방법
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
KR102560283B1 (ko) * 2018-01-24 2023-07-26 삼성전자주식회사 샤워 헤드를 설계하고 제조하는 장치 및 방법
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4524718A (en) 1982-11-22 1985-06-25 Gordon Roy G Reactor for continuous coating of glass
JP2776826B2 (ja) 1988-04-15 1998-07-16 株式会社日立製作所 半導体装置およびその製造方法
US5462895A (en) * 1991-09-04 1995-10-31 Oki Electric Industry Co., Ltd. Method of making semiconductor device comprising a titanium nitride film
JPH06196482A (ja) * 1992-12-24 1994-07-15 Kawasaki Steel Corp 半導体装置およびその製造方法
US5416045A (en) 1993-02-18 1995-05-16 Micron Technology, Inc. Method for chemical vapor depositing a titanium nitride layer on a semiconductor wafer and method of annealing tin films
US5378501A (en) 1993-10-05 1995-01-03 Foster; Robert F. Method for chemical vapor deposition of titanium nitride films at low temperatures
US5420072A (en) 1994-02-04 1995-05-30 Motorola, Inc. Method for forming a conductive interconnect in an integrated circuit
WO1995034092A1 (en) 1994-06-03 1995-12-14 Materials Research Corporation A method of nitridization of titanium thin films
US5975912A (en) 1994-06-03 1999-11-02 Materials Research Corporation Low temperature plasma-enhanced formation of integrated circuits
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
JPH088212A (ja) * 1994-06-22 1996-01-12 Sony Corp プラズマcvd方法
US5610106A (en) 1995-03-10 1997-03-11 Sony Corporation Plasma enhanced chemical vapor deposition of titanium nitride using ammonia
JP3027946B2 (ja) 1997-01-24 2000-04-04 日本電気株式会社 半導体装置およびその製造方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7439192B2 (en) 2004-07-05 2008-10-21 Samsung Electronics Co., Ltd. Method of forming a layer on a semiconductor substrate
US7902090B2 (en) 2004-07-05 2011-03-08 Samsung Electronics Co., Ltd. Method of forming a layer on a semiconductor substrate

Also Published As

Publication number Publication date
TW488012B (en) 2002-05-21
US20020064598A1 (en) 2002-05-30
US6548402B2 (en) 2003-04-15
JP2001040477A (ja) 2001-02-13
KR100696143B1 (ko) 2007-03-20

Similar Documents

Publication Publication Date Title
KR100696143B1 (ko) 기판위에 두꺼운 질화 티타늄층을 성막하는 방법
US6555183B2 (en) Plasma treatment of a titanium nitride film formed by chemical vapor deposition
US6326690B2 (en) Method of titanium/titanium nitride integration
US6218301B1 (en) Deposition of tungsten films from W(CO)6
US6524952B1 (en) Method of forming a titanium silicide layer on a substrate
US5780360A (en) Purge in silicide deposition processes dichlorosilane
US20030072884A1 (en) Method of titanium and titanium nitride layer deposition
US6404054B1 (en) Tungsten layer formation method for semiconductor device and semiconductor device using the same
US6436819B1 (en) Nitrogen treatment of a metal nitride/metal stack
US20020114886A1 (en) Method of tisin deposition using a chemical vapor deposition process
US6933021B2 (en) Method of TiSiN deposition using a chemical vapor deposition (CVD) process
US20020192396A1 (en) Method of titanium/titanium nitride integration
US6436820B1 (en) Method for the CVD deposition of a low residual halogen content multi-layered titanium nitride film having a combined thickness greater than 1000 Å
JP2599560B2 (ja) ケイ化タングステン膜形成方法
KR100447031B1 (ko) 텅스텐 실리사이드막의 형성방법
US6221174B1 (en) Method of performing titanium/titanium nitride integration
KR20010007527A (ko) 반도체 장치내에 실리사이드를 형성하는 방법 및 이를이용한 프로세서 판독가능 저장매체
JPH0653165A (ja) メタルプラグの形成方法
US5946599A (en) Method of manufacturing a semiconductor IC device
KR20020061261A (ko) 반도체 기판 상에 실리사이드막을 형성하는 방법
KR20030079227A (ko) 반도체 기판을 지지하기 위한 서셉터 및 이를 갖는 가공장치
JP2000294517A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120228

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee