TW396454B - Semiconductor device and method for fabricating the same - Google Patents

Semiconductor device and method for fabricating the same Download PDF

Info

Publication number
TW396454B
TW396454B TW087110110A TW87110110A TW396454B TW 396454 B TW396454 B TW 396454B TW 087110110 A TW087110110 A TW 087110110A TW 87110110 A TW87110110 A TW 87110110A TW 396454 B TW396454 B TW 396454B
Authority
TW
Taiwan
Prior art keywords
insulating film
interlayer insulating
film
capacitor
patent application
Prior art date
Application number
TW087110110A
Other languages
English (en)
Inventor
Yoshihisa Nagano
Tomoe Kutonai
Yuuji Soshiro
Yasuhiro Uemoto
Eiji Fujii
Original Assignee
Matsushita Electrics Corporati
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Matsushita Electrics Corporati filed Critical Matsushita Electrics Corporati
Application granted granted Critical
Publication of TW396454B publication Critical patent/TW396454B/zh

Links

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • H01L23/5223Capacitor integral with wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/55Capacitors with a dielectric comprising a perovskite structure material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Semiconductor Integrated Circuits (AREA)

Description

經濟部中夫標準局員工消費合作社印聚 A7 B7 五、發明説明(1 ) 本發明之領域: 本發明係關於包括一具有由具有高介電常數之電介質 材料或鐵電性材料所構成之電介質膜的電容器之半導體裝 置及其製造方法。 相關技術之說明: 時至今日’當消費者電氣及電子用品連同較高處理速 率和較低電力消耗之微電腦的功能已經愈來愈先進,在微 電腦中所使用之半導體裝置的尺寸也快速地減小,這已經 伴隨著不必要之輻射的嚴重問題,該輻射係由電氣及電子 用品所產生的電磁波雜訊噪音。 爲了減少不必要的輻射,用以將一具有大電容,包括 一由具有高介電常數之電介質材料所構成之電介質膜(在 下文中被稱爲“高介電常數材料膜”)的電容器結合入半 導體裝置之科技已經成爲注意的目標。除此之外,伴隨著 較高的整體性動態RAMS (DRAMs),在電容器中 使用一種高介電常數材料膜來代替傳統上所使用之矽氧化 物膜和矽氮化物膜的科技已經被廣泛地硏究。 此外,爲了實現可操作於較低電壓並提供較高之讀/ 寫速率的非揮發性R A M s,已經積極硏究展現自發性極 化的鐵電性材料膜。 於實現具有上述特色之半導體裝置中最重要的一點在 於發展一種允許多層互接而不會使電容器的特性變差之結 構以及用以製造如此之結構的方法。 '.'c j /、 ^^^1 ^^^1 (^^1 nn i nt i · ^^^1 ml n·— nd m \vHi m n· ^ i ^ V··' (請先聞讀背面之注意事項再填寫本頁) 尺五標潭(CNS ) ( 2l〇X297^t ) 經濟部中央標丰局員工消费合作社印製 A7 B7__ 五、發明説明(2 ) 在下文中,一用以製造半導體裝置5 0 0之代表性的 傳統方法將參考圖1 0A至圖1 0E (橫斷面圖)來做說 明。 ! 如在圖1 Ο A中所顯示,一積體電路4及一裝置隔離 絕緣層5被形成於一支承基板1之上,該積體電路4包括 一具有一閘極電極2、和源極及汲極區域3的MOS場效 電晶體(MO S F ET),一絕緣層6被形成於合成的疊 層之上,一層將充當電容器1 0之下電極7的膜藉由濺射 或電子束沉積而被形成於絕緣層6之上。然後,一層由高 介電常數材料膜或鐵電性材料膜所構成之電介質膜8藉由 金屬有機沉積、金屬有機化學氣相沉積、或濺射而被形成 於該層之上來充當下電極7。隨後,一層將充當上電極9 之膜藉由濺射或電子束沉積而被形成於電介質膜8之上。 然後,該等層7、8及9被形成圖案而成爲想要的圖案, 藉以形成一電容器1 0。 接著’如在圖1 Ο B中所顯不,一第一中間層絕緣膜 1 1被形成於絕緣層6之上以便覆蓋該電容器1 0,接觸 孔1 2被形成以便穿過該第一中間層絕緣膜1 1而分別到 達電容器1 0的下電極7及上電極9,接觸孔1 3也被形 成以便穿過該第一中間層絕緣膜1 1及絕緣層6而分別到 達源極及汲極區域3。導電層藉由濺射或與其相同之方式 而被形成於第一中間層絕緣膜11之上和接觸孔12及 1 3之內’並且被形成圖案而成爲想要的圖案。於是便形 成了用以電連接該積體電路4與該電容器1〇的第一內連 本紙張尺度適用中國國家標率(CNS ) Λ4規格(210X 297公襲) (讀先閲讀背面之注意事項再填寫本頁) 訂 -5- 經濟部中央標隼局賀Η消費合作社印製 A7 B7 五、發明説明(3 ) 線1 4,該第一內連線1 4然後受到熱處理。 如在圖1 0 C中所顯示,一第二中間層絕緣膜1 5被 形成於該合成疊層之上以便覆蓋該第一內連線1 4,藉由 透過回蝕而實際使一層經由利用原矽酸四乙酯(T E 0 S )之電漿CVD所形成的矽氧化物膜(在下文中被稱爲“ 電漿TEOS膜”)或者一層包括上述之電漿TEOS膜 及玻璃上有矽(SOG)膜的疊層平坦化來形成該第二中 間層絕緣膜1 5。 如在圖1 0D中所顯示,接觸孔1 6被形成以便穿過 第二中間層絕緣膜1 5而到達第一內連線1 4,第二內連 線Γ 7被選擇性形成於第二中間層絕緣膜1 5之上和接觸 孔1 6之內以便被電連接至第一內連線1 4,該第二內連 線1 7然後受到熱處理。 如在圖1 Ο E中所顯示,一鈍化層1 8被形成於該合 成疊層之上以便覆蓋該第二內連線1 7,於是便製造了半 導體裝置5 0 0。 在上述之用以製造半導體裝置5 0 0的方法中,需要 形成該第二中間層絕緣膜1 5以致於沒有任何斷差且有一 平坦的上表面,而因此有足夠的斷差覆蓋特性,其原因在 於當第二中間層絕緣膜1 5具有斷差時,即將被形成於其 上之第二內連線1 7在該斷差處可能不利於連接。於是, 在上電極9上面之第一內連線1 4上由電漿T E 0 S膜或 與其相同之物所構成之傳統的第二中間層絕緣膜1 5必須 要有大約1微米(/zm)或1微米以上的厚度hi (圖 本紙張尺度適用中國國家標準' (CNS ) Λ4規格(210 X 297公釐) -6 - (請先閲讀背面之注意事項再填寫本頁) 裝. .V: A7 B7 aHi; 經濟部中央標準局—工消費合作社印製 五、發明説明(4 ) 1 0 C ),而且在由高介電常數材料膜或鐵電性材料膜所 構成之電介質膜8的邊緣上之第一中間層絕緣膜11上之 傳統的第C中間層絕緣膜1 5也必須要有大約2微米( 私m)或2微米以上的厚度h2(圖10C)。 但是,通常當每單位厚度之力係固定的,一較厚之層 致使較強的張應力或壓應力。因此,當第二中間層絕緣膜 1 5之厚度係和上面所述之厚度一樣厚時,一明顯強之應 力被施加至在該第二中間層絕緣膜15的下面所提供之電 容器1 0。 尤其當第二中間層絕緣膜1 5係由電漿T E 0 S膜所 構成時,作用於電介質膜8之上的壓應力避免形成該電介 質膜8之電介質材料的極化。結果,由高介電常數材料或 鐵電性材料所構成之電介質膜8的物理特性變差。 就像在此所使用的,該術語“應力”係指用以收縮該 層的力(在下文中被稱爲“張應力”)及/或用以擴展該 層的力(在下文中被稱爲“壓應力”)。 本發明之槪述: 本發明之半導體裝置包括一被提供在具有一積體電路 於其上之支承基板上並包含一下電極、一電介質膜、和一 上電極的電容器;一被提供以便覆蓋該電容器之第一中間 層絕緣膜;一被選擇性提供於該第一中間層絕緣膜之上且 經由在該第一中間層絕緣膜中所形成之第一接觸孔而被電 連接至該積體電路及電容器的第一內連線;一由臭氧 (請先閱讀背面之注意事項再填寫本頁) ,、知
本紙張尺度適用中國國家標率(CNS ) Λ4規格(210X297公釐) 經濟部中央標隼局員工消費合作社印$' A7 _____B7 五、發明説明(5 ) T E 〇 s所構成並被提供以便覆蓋該第一內連線之第二中 間層絕緣膜;一被選擇性提供於該第二中間層絕緣膜之上 且經由在該第二中間層絕緣膜中所形成之第二接觸孔而被 電連接至該第一內連線的第二內連線;以及一被提供以便 覆蓋該第二內連線的鈍化層。 在一實施例中,該電介質膜係由具有高介電常數之電 介質材料或者由鐵電性材料所構成。 在一實施例中,該第二內連線被提供於第二中間層絕 緣膜之上以便覆蓋至少該電容器的一部分。 可以由包括一矽氧化物膜和一矽氮化物膜之疊層構成 該鈍化層。 在一實施例中,在介於第一內連線與第二中間層絕緣 膜之間除去其中提供電容器的區域以外之區域更提供一氫 供應層。 該第一內連線可以由一包括鈦、鈦氮化物、鋁和鈦氮 化物之疊層;一包括鈦、鈦氮化物和鋁之疊層;一包括鈦 、鈦鎢、銘和欽鶴之叠層;或者一包括鈦、駄鑛和銀之疊 層所構成。 較佳的是,該第二中間層絕緣膜之S i — 0H鍵吸收 係數在對應於3 4 5 0 cm — 1之波長時爲8 0 0 cm — 1或 少於 8 0 0 c m — 1。 較佳的是,該第二中間層絕緣膜具有1 X 1 0 d y η /cm2 到 3x 1 0 dyn/cm2,包括 3x 1 0 dyn /cm2在內的張應力。 Ί紙張尺度ϋ中國國家標: CNS ) Μ規格(210 X 297公釐)_ 8 _ I '1— / \ ffm ^iB3fl Bull r ,絮 ..· J V (#先聞讀背面之注意事項再填寫本頁) 經濟部中央標隼局員工消费合作社印f. A7 B7 五、發明説明(6 ) 較佳的是,該第二中間層絕緣膜具有0 . 3 //m到1 # m的厚度。 該第t:內連線可以由一包括鈦、鋁和鈦氮化物之疊層 ;—包括鈦和鋁之疊層;或者一包括鈦、鋁和鈦鎢之疊層 所構成。 本發明之一種用以製造半導體裝置的方法包括步驟: 依序形成一下電極、一電介質膜、和一上電極於具有一積 體電路之支承基板上,藉以形成一電容器;形成一第一中 間層絕緣膜以便覆蓋該電容器;形成一第.一接觸孔於該第 一中間層絕緣膜之中;選擇性形成一第一內連線於該第一 接觸孔之中和該第一中間層絕緣膜的預定區域之上以便被 電連接至該積體電路及電容器;形成一臭氧T E 0 S之第 二中間層絕緣膜以便覆蓋該第一內連線;使該第二內連線 受到第一熱處理;形成一第二接觸孔於該第二中間層絕緣 膜之中;選擇性形成一第二內連線於該第二接觸孔之中和 該第二中間層絕緣膜的預定區域之上以便被電連接至該第 一內連線;使該第二內連線受到第二熱處理;以及形成一 鈍化層以便覆蓋該第二內連線。 在一實施例.中,該電介質膜係由具有高介電常數之電 介質材料或者由鐵電性材料所構成。 在一實施例中,該方法更包括使用該第二內連線當作 遮罩來回蝕該第二中間層絕緣膜到如此的一種程度以致於 幾乎暴露該第一內連線的步驟。 在一實施例中,形成該第二內連線之步驟包括形成該 本紙張尺度適用中國國家標準(CNS ) Λ4規格(210 X 297公釐) (讀先聞讀背面之注意事項再填寫本頁) -'一°
經濟部中*標準局員工消費合作社印製 A7 __—_B7_ 五、發明説明(7 ) 第二內連線以便覆蓋至少該電容器的一部分之步驟。 在一實施例中,該鈍化層係由一包括矽氧化物膜和矽 氮化物膜之疊層所構成,而該矽氧化物膜係藉由正常壓力 CVD、低壓CVD或電槳CVD法連同使用矽烷、乙矽 烷、或臭氧TEOS來構成,以便具有張應力。 在一實施例中,該方法更包括步驟:在形成第一內連 線之後,形成一氫供應層於除了提供電容器的區域以外之 該第一內連線之上,並實施第三熱處理。 可以藉由電漿C V D法而由矽氮化物或者矽氮化物氧 化物構成該氫供應層。 較佳的是,在氫供應層的形成之後所實施之第三熱處 理實施於溫度的範圍在3 0 0 °C到4 5 0 °C之內,包含 4 5 0 °C在內。 較佳的是,在氫供應層的形成之後所實施之第三熱處 理實施於氧氣環境、氮氣環境、氬氣環境或者其混合氣體 的環境之中。 可以藉由正常壓力CVD或低壓CVD法連同使用矽 烷、乙矽烷、或臭氧T E 0 S而由矽氧化物膜構成該第一 中間層絕緣膜。 可以藉由正常壓力C VD或低壓C VD法而由攙雜磷 之矽氧化物膜構成該第一中間層絕緣膜。 較佳的是,在使用臭氧T E 0 S來構成第二中間層絕 緣膜時之臭氧濃度被設定爲5·5%或者高於5.5%。 較佳的是,在受到第一熱處理之後的第二中間層絕緣 本紙張尺度適用中國國家標準(CNS ) Λ4規格(210X297公釐) ^^—^1 Λ. ^1^11 am— mi n^i I— l ^ i 一 (讀先閱讀背面之注意事項再填寫本頁) -10- 經濟部中央標率局員工消f合作社印製 A7 B7 五、發明説明(8 ) 膜具有 1 X 1 ◦ dyn/cm2 到 2x 1 〇 dy n/cm ’包括2 x 1 〇 d y n/cm2在內的張應力。 較佳的是,第一熱處理實施於溫度的範圍在3 〇 〇。<: 到45〇。(3之內,包含45 CKC在內。 較佳的是,第一熱處理實施於至少包括氧氣的環境中 〇 較佳的是,第二熱處理實施於溫度的範圍在3 〇 〇。(: 到450 °C之內,包含450 °C在內。 較佳的是,第二熱處理實施於至少包括氧氣、氬氣以 及氦氣的其中之一的環境中。 依據本發明,第二中間層絕緣膜係由臭氧T E 〇 S所 構成,而當其被構成時實施自回流。因此,由於第二中間 層絕緣膜之上表面的充分平坦化,所以獲得足夠的斷差覆 蓋而沒有增加在電容器上面之第二中間層絕緣膜的厚度( 亦即沒有產生任何斷差),尤其,該第二中間層絕緣膜的 厚度係大約1 /zm或少於1 /zm。因爲即將被構成之第二 中間層絕緣膜係薄的,所以作用於電容器上之應力被減輕 〇 因爲臭氧τ E 0 S膜具有張應力,所以由該應力所造 成之在電容器特性方面的變差被抑制。 當第二內連線被形成於第二中間層絕緣膜之上以便覆 蓋至少該電容器的一部分時,由鈍化層作用於電容器上之 應力被在電容器上所提供之第二內連線的應力所反作用’ 於是,作用於電容器上之應力被減輕。 本紙張尺度递州中國國家標率((、NS ) Λ4規格(210X297公釐)
In —Hi· nn tn I it nn n^i 1 —^ϋ HJJ 一OJ (讀先閱讀背面之注意事項再填寫本頁) 經濟部中央標準局員二消贤合作社印製 A7 ________ B7 五、發明说明(9 ) 當鈍化層係由一包括砂氧化物膜和砂氮化物膜之曼層 所構成時,該矽氧化物膜係張應力。因此,藉由透過電漿 C V D法而形成具有大的壓應力之矽氮化物膜於該鈍化層 之上,在該鈍化層之內的應力被反作用。結果,作用於電 容器上之應力被減輕。 當一氫供應層被提供時,在氫供應層內之氫藉由退火 該氫供應層而被熱擴散至具有半導體積體電路被形成於其 上之支承基板,因此,支承基板自於積體電路的製造期間 所招致之損壞中復原。該氫供應層能夠由含有足夠量之氫 於其中的砍氮化物或者砂氮化物氧化物來構成,於形成氣 供應層之後,藉由在氧氣環境、氮氣環境、氬氣環境、或 者上面氣體之混合物的環境中實施前述之退火,能夠順利 地實施氫的熱擴散。 當第一內連線及/或第二內連線係由上述之疊層所構 成時,可以獲得高度可靠之內連線而不會致使構成材料的 穿透。 當構成第二中間層絕緣膜之臭氧T E 0 S膜具有在對 應於3 4 5 0 cm — 1之波長時爲8 0 0 cm-1或少於 8 0 0 cm-1的S i — 0H鍵吸收係數時,在臭氧 T E ◦ S膜內之濕氣含量係處於一最小可能値的狀態。於 是,使電容器之特性變差的濕氣,特別是〇 Η基和Η基’ 被限制而不能進入電容器。 當構成第二中間層絕緣膜之臭氧Τ Ε 0 S膜具有1 X 10dyn/cm2到 3x1 〇dyn/cm2,包括 3x Μ I_ _ _ ^L_____./ .''.L _ Γ t n nn m^— (m >^1— i Λ —^ϋ ι ^^^1 I— ^—J· I {J~ ^ϋ— ml ml ad u» -、 _、Λ (讀先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標率(CNS ) Λ4規格(210X 297公釐) -12- 經濟部中夾搮隼扃|工消費合作社印製 A7 B7 五、發明説明(1〇) 1 0 d y n/cm2在內的張應力時,由施加於電容器之應 力所招致之對該電容器不利的影響(例如不需要的極化限 制)被減輕,因此改進電容器的特性。此效果極度依賴該 應力乃張應力的事實,被供以例如來自臭氧T E 0 S膜之 張應力的電容器比被供以例如來自電漿T E 0 S膜之壓應 力的電容器具有更令人滿意的特性,甚至在該等應力的絕 對値均相等之時。 藉由減少構成第二中間層絕緣膜之臭氧T E 0 S膜的 厚度至0 . 3/im到lym,包括1/zm在內的範圍,在 臭氧TE 0 S膜內之應力被降低,並且來自臭氧TE 0 S 膜之作用於電容器上之應力也被降低。於是,改進了電容 器的特性。除此之外,在使用第二內連線當作遮罩來回蝕 第二中間層絕緣膜時,在電容器上面之第二中間層絕緣膜 之區域的厚度(通常並不提供該第二內連線之處)更加被 減少(例如減少至0 . 5 y m或少於0 . 5 # m ) 。_因此 ,進一步提升減少應力及在使特性方面變差之抑制的成效 〇 在當形成臭氧τ E 0 S膜當作第二中間層絕緣膜時臭 氧濃度爲5 . 5%或者高於5 . 5%時,在臭氧TEOS 膜內之應力被減輕,在臭氧Τ E 0 S膜內之濕氣含量也被 降低。況且防止因熱處理而導致裂縫的產生,於是,更加 改進了電容器的特性。 當藉由正常壓力C VD或低壓C V D法連同使用矽烷 、乙矽烷、或臭氧TE 0 S而由矽氧化物膜,或者藉由正 尺度) Λ4規格(210X297公釐)~. 13_ i^i I < ϋ^· ί m-.nl n· ^ m I m - I m n n 、 rrt掌 i i —? β. (諳先閱讀背面之注意Ϋ項再填寫本頁) A7 B7 經濟部中央標隼局貝工消费合作社印製
五、發明説明(11 ) 常壓力C VD或低壓c VD法而由攙雜磷之矽氧化物膜來 構成第一中間層絕緣膜時,合成之層係可信賴的。 當對第二中間層絕緣膜(臭氧.T E 0 S膜)所實施之 熱處理(第一熱處理)的溫度係在3 0 0 °C到4 5 0 °C, 包含4 5 0°C在內的範圍之中時,該臭氧TEO S膜變得 緊密。當上述之熱處理被實施於含有氧的環境中時,氧被 施加於電介質膜。因此,改進了電容器的特性。 當在上述之情況下對第二內連線實施熱處理(第二熱 處理)時,該第二內連線變得緊密,並降低作用於電容器 上之應力。 因此,在此所說明之本發明使得提供具有一結構之半 導體裝置,該結構由於抑制由在一電容器之上所施加的應 力所致使之在特性方面的變差而使其電容器具有優越的特 性,以及用以製造如此之半導體裝置的方法等優點成爲可 能。 對習於此技者而言,當參考附圖來讀取並了解下面的 詳細說明時,本發明的這些及其它特色和優點將會變得明 顯。 附圖之簡略說明: (圖1, _A至—圖、1…1係_例舉依據本里明的第一例之半導體 裝置的製里方挂之橫斷頭圖; 圖..2 .係._在―依據.本發_明的第.一..例-之r變--型…中.的半導體裝置 V» .之橫斷面圖1L (諳先聞讀背面之注意事項再填寫本頁) 裝.
'II i,· i 本紙張尺度適用中國國家標準(('NS ) Λ4規格(210X297公釐) -14- 經濟部中央標準局員工消費合作社印製 A7 £/_—_____ 五、發明説明(12 ) 圖3係例舉在第一例之举.導麗裝-置-卓-電專器^特敦昀 圖表; 圖4 A至.圖4 . E-俗例專依據本發-明—的第三例之半導-體 裝置的製造方法之橫斷面圖; 圖5係例舉在第二例之半導體農置中電容-器之特性的 圖表; 圖.:6 A至圖6反嚴例畢依據本要明的第三例之—半導溝〜 裝置的農造.方法,之橫斷面圖; 圖7係例舉.在_第三例之半導體裝置中電容器之特性的 圖表; 圖8 A係依據本發明的第三例之半導體裝置—的局部2P 面圖; 圖.8 . B 1圖8 C係尊-Η例之丰 圖; 圖9係例舉在第三例-之半導體裝置-中電容器之特性的 圖表; 圖1 ,α Α至圖1—0…E-係例舉傳統之半導體裝置的製造 方.法—之4黃斷面圖; 圖1 1 A係由傳紘的電肆C v 法Jt形成之砍氧化物 膜以便覆蓋—基板表面上所提供之養路圓案的示意橫斷 面...圖; 圖1 1B係在一包含臭氧之環境中所實施之由傳統的一― 電漿C V D法所形成—之J夕氧化物膜以便覆蓋在二基扳表面 上所提供之線路屢案的^示意橫斷面圖。 _ 丨· " · 本紙張尺度適用中國國家標牟(CNS ) Λ4規格(210 X 297公釐)-15 - \—.· · -- U----„---.--^裝------"訂 ~々-----一.^ (請先閱讀背面之注意事項再填寫本頁) A7 B7 經濟部中央標準局員工消費合作社印掣 五、發明説明(13) 主要元件對照表 1 支承 基 板 2 閘 極 電 極 3 源 極 及 汲 極 區 域 4 積 體 電 路 5 裝 置 隔 離 絕 緣 層 6 絕 緣 層 7 下 電 極 8 電 介 質 膜 9 上 電 極 1 0 電 容 器 1 1 第 —- 中 間 層 絕 緣 膜 1 2 接 觸 孔 1 3 接 觸 孔 1 4 第 —* 內 連 線 1 5 第 二 中 間 層 絕 緣 膜 1 6 接 觸 孔 1 7 第 — 內 連 線 1 8 鈍 化 層 1 9 氫 供 應 層 5 0 電 路 圖 案 5 1 基 板 表 面 5 2 對 應 於 電 路 圖 案 之區域 -—// . n^i ^^^—0 n^— m In— ^ mu mfl k^fn -·V βλ^^. ^ ♦ - 、\呑 ,. (請先閲讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS ) Μ規格(2)0'乂297公釐) 經濟部中央標隼局員工消费合作社印製 A7 B7 五、發明説明(14 ) 53 對應於介在電路圖案之間之區段的區域 100 半導體裝置 111 第一中間層絕緣膜 150 半導體裝置 15 1 第二中間層絕緣膜 200 半導體裝置 300 半導體裝置 500 半導體裝置 較佳實施例之詳細說明: 現在將參考附圖而藉由舉例來說明本發明但並不侷限 於這些例子之中,參考圖1 0A至圖1 〇 E所說明之相同 或相似的組件將隨其具有相同的參考編號,而且將省略其 詳細說明。 (例1 ) 圖1 A至圖1 E係例舉依據本發明的第一例之半導體 裝置1 0 0的製造方法之橫斷面圖。 如在圖1 A中所顯示,一積體電路4及一裝置隔離絕 緣層5被形成於由矽或與其性質相同之物所構成之支承基 板1上’積體電路4包括一具有閘極電極2、和源極及汲 極區域3的MOS F E T。一絕緣層6被形成於合成疊層 之上’一層將充當電容器1 〇之下電極7的膜藉由濺射或 電子束沉積而被形成於絕緣層6上。然後,一由高介電常 本紙張尺度適用中國國家標準((、NS ) Λ4規格(210 X 297公釐) -17- l·——[——i——,.1,袈—— (請先閱讀背面之注意事項再填寫本頁) 訂
I 經濟部中央標隼局員工消費合作社印製 A7 B7 五、發明説明(15 ) 數材料膜或鐵電性材料膜所構成之電介質膜8藉由金屬有 機沉積、金屬有機化學氣相沉積、或濺射而被形成於該膜 之上來充當下電極7。隨後’一層將充當上電極9之膜藉 由激射或電子束沉積而被形成於電介質膜8之上。然後, 該等層7、8及9被形成圖案而成爲想要的圖案,藉以形 成一電容器1 0。 絕緣層6的構成能夠被省略,在此情形下,電容器 1 0被直接形成於裝置隔離絕釋層5之上,這也可適用於 下面所述之例。 能夠由例如鈾、把、釘、釘氧化物、銥、或銥氧化物 來構成電容器10之下電極7及上電極9,在電介質膜8 係由高介電常數材料所構成的情況下,可以使用一種具有 特殊之介電常數爲2 0到5 0 0,包括5 0 0在內的材料 。而在電介質膜8係由鐵電性材料所構成的情況下,可以 使用一種具有剩餘極化而不需任何外電壓之施加的材料。 可使用於電介質膜8之高介電常數材料及鐵電性材料的例 子包括 Bai-xS rxTi 〇3,S rTi 〇3,Ta2〇5 ,PbZrx-xTixOs,S rB i2Ta2〇9,以及 SrBiaTaxNbi-xOg。 接著,如在圖1 B中所顯示,一第一中間層絕緣膜 1 1 1被形成於絕緣層6之上以便覆蓋電容器1 〇。該第 —中間層絕緣膜1 1 1係由矽氧化物膜所構成,其藉由熱 C V D法使用在包含臭氧之正常壓力的環境中之氣態的 TE 0 S當作材料氣體而被製造(在下文中,如此之矽氧 本紙張尺度適州中國國家標準(CNS ) Λ4規格(210X297公釐) (請先閱讀背面之注意事項再填寫本頁) 袈- 、βτ -18- 經濟部中夾標準局員工消费合作社印$1 A7 B7 五、發明説明(16) 化物膜將被稱爲“臭氧TEOS膜”)。接觸孔12被形 成以便穿過該第一中間層絕緣膜111而分別到達電容器 1 0的下電極7及上電極9,接觸孔1 3也被形成以便穿 過該第一中間層絕緣膜1 1 1及絕緣層6而分別到達源極 及汲極區域3。一層包括欽、欽氧化物、錦和駄氧化物的 疊層藉由濺射或與其性質相同之方式而被形成於第一中間 層絕緣膜111之上和接觸孔12及13之內,而後被形 成圖案而成爲想要的圖案。於是便形成了用以電連接積體 電路4與電容器1 0的第一內連線1 4。 如在圖1 C中所顯示,一層用來將氫供應給積體電路 之氫供應層19藉由電漿CVD法而被形成於具有第一內 連線1 4之第一中間層絕緣膜1 1 1上,除了提供電容器 .1 0的區域以外之區域。然後,合成疊層在氧氣環境中於 溫度大約爲4 5 0 °C時被退火槪略一小時以便熱擴散在氫 供應層1 9中的氫氣,該氫供應層1 9係由如矽氮化物 或矽氮化物氧化物所構成,並且包含足夠量的氫氣於其中 〇 實施退火以便藉由熱擴散來讓氫洪應層1 9中的氫氣 到達積體電路4被提供於其一表面處之支承基板1,因此 ,該積體電路4從由乾式蝕刻所產生之損壞中復原,該乾 式蝕刻在以氧來退火於由於形成電介質膜8所必須之 6 0 0 °C或高於6 0 0 °C的溫度期間被實施來形成接觸孔 13,退火溫度可以在300 °C到600 °C,包括600 °C在內的範圍中,退火可以實施於氮氣環境、氬氣環境或 (諳先閱讀背面之注意事項再填寫本頁) Λ
本紙張尺度適用中國國家標率(CNS ) Λ4規格(210 X 297公釐〉 -19- 經濟部中央標準局負工消费合作社印*]4 A7 B7 五、發明説明(17) 者氧氣與氮氣及/或氬氣之混合的氣體環境來代替氧氣環 境的環境中。 然後i,一第二中間層絕緣膜1 5 1由臭氧TEOS膜 所構成而被形成於合成疊層之上以便覆蓋該第一內連線 1 4,該臭氧TE ◦ S膜當被構成時實施自回流,並且讓 該第二中間層絕緣膜1 5 1之構成沒有任何斷差且具有— 足夠平坦的上表面’而因此儘管其係足夠的薄,仍然具有 令人滿意的斷差覆蓋。 上述之點將參考圖11A及圖11B來做說明。 圖1 1A係由矽氧化物膜(電漿TEOS)所構成於 一基板表面5 1上以便覆蓋電路圖案5 〇之第二中間層絕 緣膜1 5的示意橫斷面圖’該第二中間層絕緣膜1 5係藉 由傳統的電漿CVD法來形成。圖1 1 b係由砍氧化物膜 (電槳T E ◦ S )所構成於一基板表面5 1上以便覆蓋電 路圖案5 0之第二中間層絕緣膜1 5 1的示意橫斷面圖, 該第二中間層絕緣膜151係藉由依據本發明在包含臭氧 之環境中所實施之熱CVD法來形成。在下面的說明中, 爲了較易了解,傳統的第二中間層絕緣膜1 5將也被稱爲 電槳T E 0 S膜,而依據本發明之第二中間層絕緣膜 1 5 1也將被稱爲臭氧TEOS膜。 藉由電漿C V D法,固體之矽氧化物顆粒被形成電漿 (氣相),並且該固體之矽氧化物顆粒黏附於基板表面 5 1以及電路圖案5 0的表面,不管該固體之矽氧化物顆 粒黏附的表面爲何,其黏附的機率係均勻的。結果,合成 —本紙張尺度適用中國國家標率(('NS ) Λ4規格(2丨0X 297公釐)-20 - U___rl·__-__「矣_______ 丁 J______'/L (諳先閱讀背面之注意事碩再填寫本頁) 經濟部中央標率局負工消費合作社印製 A7 B7 五、發明説明(18) 之電漿TEOS膜1 5在對應於電路圖案5 0的區域5 2 中和對應於介在電路圖案5 0間之區段的區域5 3中實際 上具有相同的厚度。於是,該電漿TEOS膜15需要被 形成爲相當厚以便具有一平坦之上表面。 藉由在包含臭氧之環境中所實施之熱CVD法,當作 材料氣體之氣態的TEOS在基板表面51以及電路圖案 5 0的表面上與氧發生反應,因此生成矽氧化物。該反應 在對應於介在電路圖案5 0間之區段的區域5 3中比在對 應於電路圖案5 0的區域5 2中更容易發生,於是,臭氧 TEOS膜1 5 1首先被形成以便覆蓋區域5 3,而後以 自回流方式逐漸擴展至區域5 2。以此方式,電漿 TEOS膜15獲得一平坦之上表面而同時維持相當薄。 舉例來講,由臭氧T E 0 S膜所構成之第二中間層絕 緣膜151的厚度,該臭氧TEOS膜被要求形成第二內 連線1 7於其上而沒有任何斷開,在電容器1 0之上電極 9上面的第一內連線14之上爲h3等於大約〇.8#m( 圖1C),並且在由高介電常數材料膜或鐵電性材料膜所 構成之電介質膜8的邊緣上之第一中間層絕緣膜111之 上爲h4等於大約〇 . 5 //m (圖1 C)。由此可知,當與 第二中間層絕緣膜(1 5 )藉其而由電漿TEOS所構成 之傳統技術相較之下,可以獲得足夠的斷差覆蓋而同時顯 著地減少第二中間層絕緣膜(1 5 1 )的厚度。 在上述過程中所使用之臭氧當作活性元素而讓用以生 成矽氧化物之反應發生於較低溫度時。 本紙張尺度適用中國國家標準(CNS ) Λ4規格(210X29_7公釐)-21 - (請先閱讀背面之注意事項再填寫本頁) 、\一一° 經濟部中央榡準局員Η消费合作祍印掣 A7 B7 五、發明説明(19) 在第二中間層絕緣膜1 5 1的形成之後,在氧氣的環 境中於大約4 5 0 °C的溫度時實施退火槪略一小時當作第 一熱處理〃藉以讓由臭氧TE 0 S膜所構成之第二中間層 絕緣膜1 5 1變得緊密並且也將氧氣供應給電容器1 0。 然後,如在圖1 D中所顯示,接觸孔1 6被形成以便 穿過第二中間層絕緣膜1 5 1而到達第一內連線1 4,一 包括鈦、鋁及鈦氮化物的疊層藉由濺射或與其性質相同之 方式而被形成於該第二中間層絕緣膜151之上和接觸孔 1 6之內,並且被形成圖案而成爲想要的圖案,因此形成 被電連接至第一內連線1 4的第二內連線1 7。然後,在 氮氣的環境中於大約4 0 0°C的溫度時實施退火槪略3 0 分鐘當作第二熱處理,藉以使第二內連線1 7變得緊密並 且減輕其應力。 如在圖1 E中所顯示,一鈍化層1 8藉由電漿C VD 法而被形成於合成疊層上,以便覆蓋第二內連線1 7。以 此方式,便完成了第一例中的半導體裝置1 0 0。 依據使用臭氧T E 0 S來構成第二中間層絕緣膜 1 5 1之半導體裝置1 〇 〇的結構,可獲得足夠的斷差覆 蓋。這使得一部分位於電容器1 〇之上的第二中間層絕緣 膜1 5 1變得更薄,因此,作用於電容器1 〇之上的應力 被減輕。 在積體電路4未被損壞而同時被製造的情況中,氫供 應層19能夠被去除。圖2顯示並未包括氫供應層19的 半導體裝置之橫斷面圖,電容器1 〇的特性與在圖1 A至 本紙張尺度適用中國國家標準(C'NS ) Λ4規格(210X297公釐)_ 99 _ (請先閲讀背面之注意事項再填寫本頁)
經濟部中央標率局員工消f合作社印製 A7 B7 五、發明説明(2〇) 圖1 E中所顯示之電容器1 0的特性係相同的。 如上所述,臭氧TEOS膜1 5 1係藉由熱CVD法 來形成,透過熱CVD法,矽氧化物藉由同時以氣態及臭 氧方式供應T E 0 S而被形成於基板上’此法在膜形成時 並不需要電漿的激發。 圖3係例舉一包括在使用由臭氧T E 0 S所構成之第 二中間層絕緣膜1 5 1的情況中,以及在使用由電漿 T E〇S所構成之傳統的第二中間層絕緣膜1 5 1的情況 中,由SrB i2Ta2〇9所構成之電介質膜8的電容器 1 0之特性(剩餘極化及崩潰電壓)的圖表。爲了量測’ 電漿TEOS膜首先被形成具有3 . 4//m的厚度,而後 藉由抗回蝕使厚度減少到1 · 5 ,在此例中之臭氧 TE 0 S膜被形成具有1 的厚度而沒有使用回蝕。 一包括並聯連接之110個電容器’每一個具有含帶 2 3 之電極面積的上述結構的樣本爲了量測而被製作 ,使用R T 6 Ο Ο Ο A鐵電測試器來測量該樣本的剩餘極 化,使用HP 4 1 9 5 B來測量該樣本的崩潰電壓。 可以從圖3中見到下列情形,在使用傳統之電漿 TEOS膜的情況中,剩餘極化係3 β C/cm2而崩潰電 壓爲7 V。在使用第一例之臭氧T E 0 S膜的情況中’剩 餘極化係1 0#C/cm2而崩潰電壓爲30V °因此’依 據本發明之第一例的結構和傳統的結構相較之下’剩餘極 化增進7 a C/cm2而崩潰電壓增進2 3 V。 本紙張尺度適用中國國家標準(C’NS ) Λ4規格(210 X 297公釐) _ 23 (請先閲讀背面之注意事項再填寫本頁) (—7- f^n —^ϋ —^1 1 I l^n ϊ^— - nn - n^n I· - I ,》eJ- 1 1 . □ 經濟部中央標隼局貝工消費合作社印掣 A7 _______B7 五、發明説明(21 ) (例2 ) 圖4 A至圖4 E係例舉依據本發明的第二例之半導體 裝置2 0 0的製造方法之橫斷面圖。第二例與第一例之不 同處在於在第二中間層絕緣膜1 5 1被形成之後,使用第 二內連線1 7當作遮罩來選擇性回蝕該第二中間層絕緣膜 1 5 1的預定部分。 首先,實施和於圖1A至圖1C中所顯示之步驟相同 之在圖4 A至圖4 C中所顯示的步驟。 然後’如在圖4 D中所顯示,接觸孔丨6被形成以便 穿過第二中間層絕緣膜1 5 1而到達第一內連線1 4,一 包括鈦、鋁及鈦氮化物的疊層藉由濺射或與其性質相同之 方式而被形成於該第二中間層絕緣膜151之上和接觸孔 1 6之內,並且被形成圖案而成爲想要的圖案,因此形成 被電連接至第一內連線1 4的第二內連線1 7。 該第二中間層絕緣膜1 5 1然後使用第二內連線1 7 當作遮罩而被回蝕至如此的一種程度以致於幾乎暴露第一 內連線1 4。然後,在氮氣的環境中於大約4 0 0 °C的溫 度時實施退火槪略3 0分鐘當作第二熱處理,藉以使第二 內連線17變得緊密並且減輕其應力。 如在圖4 E中所顯示,鈍化層1 8藉由電漿CVD法 而由矽氮化物所構成於合成疊層上,以便覆蓋第二內連線 1 7。以此方式,便完成了第二例中的半導體裝置2 0 〇 〇 通常,第二內連線1 7不被提供於並不位在電容器 本紙張尺度中國國家標準((、NS ) Λ4規格(210 X 297公釐)_ 24 - 卜---rl·-----ί :裝------訂一^-----i (請先聞讀背面之注意事項再填寫本頁),. 經濟部中央標隼局員工消費合作社印製 A7 B7 五、發明説明(22) 1 0之上的第二中間層絕緣膜1 5 1的區域上。根據半導 體裝置2 0 0的結構,其中第二中間層絕緣膜1 5 1係由 臭氧TEDS所構成並使用第二內連線17當作遮罩而被 回蝕,位在電容器1 0之上的第二中間層絕緣膜1 5 1的 部分之厚度與第一例之半導體裝置1 〇 〇相較之下更加被 減少。於是,作用於電容器1 0之上的應力被減輕。 圖5係例舉一包括在由臭氧T E 0 S所構成之第二中 間層絕緣膜151被回蝕的情況中以及在由臭氧TEOS 所構成之第二中間層絕緣膜1 5 1沒有被回蝕的情況中, 由S r B i 2T a 2〇9所構成之電介質膜8的電容器1 0 之特性(剩餘極化及崩潰電壓)的圖表。爲了量測,臭氧 T E 0 S膜首先被形成具有1 /zm的厚度。在第二中間層 絕緣膜1 5 1被回蝕的情況中,該膜1 5 1的厚度被減少 到◦. 5 μ m。在第二中間層絕緣膜1 5 1沒有被回蝕的 情況中,該膜1 5 1的厚度維持1 // m。用來測量剩餘極 化及崩潰電壓之方法和條件與在第一例中的方法和條件相 同。 可以從圖5中見到下列情形,在第二中間層絕緣膜 1 5 1被回蝕的情況中,剩餘極化係1 2 μ C / c m 2 (相 對於沒有被回蝕之情況中的1 〇 A C/cm2)而崩潰電壓 爲4 Ο V (相對於沒有被回蝕之情況中的3 Ο V )。因此 ,依據本發明之第二例的結構和依據本發明之第一例的結 構相較之下,剩餘極化增進2 V C/c m2而崩潰電壓增進 1 Ο V 。 '本紙張尺度適用中國國家標#1 ( CNS ) Λ4規格(210X297公釐) -25- . -. - /'€ •.丁 ,. f .¾ i u (諳先閱讀背面之注意事項再填寫本頁) A7 B7 經濟部中央標隼局員工消费合作社印? 水 五、發明说明 1 ( 23) 1 1 I (例 3 ) 1 1 1 圖 6 A 至 圖 6 E 係例 舉 依 據 本 發 明 的 第 三 例 之 半 導 體 請 1 裝置 3 0 0 的 製 造 方法 之 橫 斷 面 圖 0 先 閱 1 I 讀 | 第 二 例 與 第 —- 例及 第 二 例 之 不 同 處 在於 被 電 連 接 至 第 背 Λ I 一內 連 線 1 4 之 第 二 內 連 線 1 7 也 被 提 供於在 電 容 器 1 0 之 意 \ 的上 面 之 第 二 中 間 層 絕 緣 膜 1 5 1 的 預 定 區 域 上 以 便 覆 蓋 事 項 再 1 1 該電 容 器 1 0 〇 % 本 首 先 實 施 和於 圖 1 A 至 圖 1 C 中 所 顯 示 之步 驟 相 同 頁 '—^ 1 1 之在 圖 6 A 至 圖 4 6 C 中 所 顯 示 的 步 驟 0 1 I 然 後 如 在 圖 6 D 中 所 顯 示 接 觸 孔 1 6 被 形 成以 便 1 穿過 第 二 中 間 層 絕 緣 膜 1 5 1 而 到 達 第 -- 內 連 線 1 4 —· 訂 1 包括 鈦 、 鋁 及 駄 氮 化 物 的 疊 層 藉 由 濺 射 或 與 其性 質 相 同 之 ♦1 1 方式而 被 形成於 該 第 二 中 間 層 絕 緣 膜 1 5 1 之 上 和 接 觸 孔 1 1 16 之 內 並 且 被 形 成 圖 案 而 成 爲 想 要 的 圖 案 因 此形成 1 ,1. 被電 連 接 至 第 — 內 連 線 1 4 的 第 二 內 連 線 1 7 該 疊 層 被 知卜 I 形成 圖 案 而也 覆 蓋 對 應 於 電 容 器 1 0 的 區 域 〇 1 1 該 第 二 中 間 層 絕 緣 膜 1 5 1 可 以 使 用 第 二 內 連 線 1 7 1 1 當作 遮 罩 而 被 回 蝕 至 如 此 的 —· 種 程 度 以 致 於 幾 乎 暴 露 第 一 1 1 內連 線 1 4 如在 圖 6 D 及 圖 6 E 所見 此 階 段 的 蝕 刻 可 1 I 以被 去 除 0 然 後 在 氮 氣 的 XES 壞 境 中 於大約 4 0 0 °c 的 溫 度 1 I 時實 施 退 火 槪 略 3 0 分 鐘 當 作 第 二 熱 處 理 藉 以 使 第 二 內 1 1 連線 1 7 變 得 緊 密 並 且 減 輕 其 應 力 〇 1 1 然 後 如在 圖 6 E 中 所 顯 示 鈍 化 層 1 8 藉 由 電 漿 1 1 1 本紙張尺度適用中國國家標準(CNS ) Λ4規格(210X297公釐) -26- 經濟部中决標準局员工消费合作社印f A7 _____B7 五、發明説明(24 ) CVD法而由矽氮化物所構成於合成疊層上,以便覆蓋第 二內連線1 7。以此方式,便完成了第三例中的半導體裝 置 3 0 〇 ;。 根據半導體裝置3 0 〇的結構,其中第二內連線1 7 被提供於第二中間層絕緣膜151之上以便覆蓋電容器 1 0 ’來自鈍化層1 8之施加於電容器1 〇的應力被由位 在電容器10上面的第二內連線17所產生之應力所反作 用。結果,作用於電容器1 〇之上的應力被充分地減輕。 圖7係例舉一包括在第二內連線17被提供於電容器 10之上的情況中以及在第二內連線17沒有被提供於電 容器1 0之上的情況中,由S r B i 2Ta2〇9所構成之 電介質膜8的電容器1 〇之特性(剩餘極化及崩潰電壓) 的圖表。爲了量測,臭氧T E 0 S膜之第二中間層絕緣膜 1 5 1被形成具有1 // m的厚度。用來測量剩餘極化及崩 潰電壓之方法和條件與在第一例中的方法和條件相同。 可以從圖7中見到下列情形,在第二內連線1 7被提 供於電容器1 0之上的情況中,剩餘極化係1 4 # C / c m 2 (相對於沒有第二內連線1 7覆蓋電容器1 0之情況 中的lOyC/cm2)而崩潰電壓爲40V (相對於沒有 第二內連線17覆蓋電容器10之情況中的30V)。因 此,依據本發明之第三例的結構和依據本發明之第一例的 結構相較之下,剩餘極化增進4 # C/c m2而崩潰電壓增 進 1 0 V 〇 在第三例中,第二內連線1 7完全覆蓋整個電容器 本紙張尺度適用中國國家標释.(CNS ) Λ4規格(210X 297公釐)-27 - 1 n^i n^i ^ m ^^^1 ϋ m \ f .,¾ i (請先閱讀背面之注意事項再填寫本頁) 經濟部中央標率局負工消費合作社印裝 A7 ____B7___ 五、發明説明(25 ) 1 〇。在另一種情況中,第二內連線1 7能夠被形成來覆 蓋至少電容器1 〇的一部分,藉此仍獲得相同的效果。圖 8 A係由在圖6 E中所顯示之步驟所獲得之半導體裝置 3 0 0的一部分的平面圖,該部分包括電容器1 〇。在圖 8A中,第二內連線1 7完全覆蓋整個電容器1 0,該第 二內連線1 7可以被提供成像在圖8 B中所顯示之實際爲 曲折圖案,或者像在圖8 C中所顯示之實際爲網狀圖案。 可以組合第一至第三例的其中兩個或者全部。 於上述例中,鈍化層1 8係由矽氮化物所構成,一包 括矽氧化物及矽氮化物之疊層可替代地被使用,在此情況 下,能夠更加改進電容器1 0的特性。該包括矽氧化物及 ΐ夕氮化物之疊層係以下面的方式來形成,ϊ夕氧化物膜被形 成以便具有張應力,而通常具有大的壓應力之矽氮化物膜 則被形成於其上。因此,所施加於鈍化層1 8之應力被完 全地反作用,於是,電容器1 〇未受應力所影響。 使用矽烷氣而藉由正常壓力CVD、低壓CVD或電 漿CVD法來形成當作鈍化層1 8之包括矽氧化物及矽氮 化物的疊層,該疊層也可藉由形成一矽氧化物膜而被生成 ,其係使用臭氧ΤΕ 0 S而藉由正常壓力CVD或低壓 CVD法來來獲得,而後藉由電漿CVD法形成一矽氮化 物膜於其上。 圖9係例舉一包括在鈍化層1 8係由單層之矽氮化物 層所構成的情況中以及在鈍化層1 8係由包括砂氧化物及 矽氮化物之疊層所構成的情況中,由S r B i 2 T a 2 0 9 本紙張尺度適用中國國家標卒(CNS ) Λ4規格(210X 297公釐)_ 28 - (請先閱讀背面之注意事項再填寫本頁) _ ____ I ΙΓ n —1--LI-?-I I I —.,—訂—I--1'-^ - n I— I- -I I - I I— 經濟部中央標準局員工消费告作社印掣 A7 B7 五、發明説明(26) 所構成之電介質膜8的電容器1 0之特性(剩餘極化及崩 潰電壓)的圖表。爲了量測,藉由電漿CVD法而由單層 之矽氮化物層所構成之鈍化層1 8被生成具有〇 . 8 // m 的厚度。透過正常壓力CVD法藉由形成具有厚度爲 0 . 1 /zm之矽氧化物膜來生成由包括矽氧化物及矽氮化 物之疊層所構成的鈍化層1 8,而後藉由電漿CVD法來 形成具有厚度爲0 . 8 /zm之矽氮化物膜於其上。用來測 量剩餘極化及崩潰電壓之方法和條件與在第一例中的方法 和條件相同。 可以從圖9中見到下列情形,在鈍化層1 8係由包括 矽氧化物及矽氮化物之疊層所構成的情況中,崩潰電壓爲 4 Ο V (相對於在鈍化層1 8係由單層之矽氮化物層所構 成之情況中的3 Ο V )。剩餘極化和在鈍化層1 8係由單 層之矽氮化物層所構成之情況中的剩餘極化相同。因此, 當和依據本發明之第一例的結構相較之下,當作鈍化層 1 8之包括矽氧化物及矽氮化物之疊層的使用更增進 1 0 V的崩潰電壓。 由包括矽氧化物及矽氮化物之疊層所構成的鈍化層 1 8可以與在第一至第三例中所述之任何結構相組合。 在第一至第三例中,第一中間層絕緣膜1 1 1係由臭 氧T E 0 S,膜所構成,該第一中間層絕緣膜1 1 1能夠替 代地由藉由正常壓力C V D或低壓C V D法使用矽烷或乙 矽烷所生成之矽氧化物膜所構成,或者由以此方式而藉由 磷攙雜做進一歩處理之矽氧化物膜所構成。 本紙張尺度適用中國國家標準(CNS ) Λ4規格(210X297公釐)_ 29 - (諸先閱讀背面之注意事項再填寫本頁)
經濟部中央標隼局員工消費合作社印黎 A7 B7 五、發明説明(27) 在第一至第三例中,第一內連線1 4係由包括鈦、鋁 及鈦氮化物的疊層所構成,該第一內連線1 4能夠替代地 由包括鈦I、鈦氮化物和鋁之疊層;包括鈦、鈦鎢、鋁和鈦 鎢之疊層;或者包括鈦、鈦鎢和鋁之疊層所構成。 構成第二中間層絕緣膜151之臭氧TEOS膜最好 具有 lxlOdyn/cm2 到 3x1 Odyn / cm2·, 包括3 x 1 0 d y n/cm2在內的張應力。由於如此的張 應力,由施加於電容器之應力所產生之對電容器的不利影 響(例如不想要的極化限制)被減輕,因此,電容器的特 性被改善。在上面所述之範圍以外的應力易於使電容器的 特性變差。 此影響極度依賴該應力爲張應力的事實,被供應有例 如來自臭氧T E ◦ S膜之張應力的電容器比被供應有例如 來自電漿TE 0 S膜之壓應力的電容器具有較佳之特性, 即使當該等應力之絕對値均相等時。 臭氧T E 〇 S膜假設爲下面的原因而具有張應力。於 膜形成之時,T E 0 S氣體和臭氧在基板表面上反應以形 成矽氧化物而同時減少體積。換言之,合成之矽氧化物( 亦即合成之臭氧TE 0 S膜)的體積變成小於所涉及之 TEOS氣體和臭氧之體積的總合。除此之外,後續之熱 處理致使合成之臭氧Τ Ε Ο S膜變得更加緊密,藉以進一 步壓縮該膜。因此,該臭氧TE 0 S膜具有張應力,並且 於是,張應力作用在被提供於臭氧Τ E 0 S膜下面的電容 器10的電介質膜8之上。 本紙張尺度適用中國國家標準(CNS ) Λ4規格(210X 297公釐)-30 - (請先閲讀背面之注意事項再填'寫本耳j ¥
I 經濟部中央標準局負工消费合作社印掣 A7 B7 五、發明説明(28) 另一方面,電漿T E 0 S膜假設爲下面的原因而具有 壓應力。在此狀況下,呈於氣相中所形成之固體顆粒之型 態的矽氧化物被沉積,而因此不會發生體積縮減。除此之 外,固體的矽氧化物顆粒易於緊密地沉積,並進一步擴展 。於是,電漿T E 〇 S膜具有壓應力。假設當壓應力作用 於電容器1 0的電介質膜8之上時,在連接上電極9和下 電極7的方向上(亦即垂直於基板的方向)極化之生成被 限制,結果電容器1 0的特性變差。 構成第二中間層絕緣膜151之臭氧TEOS膜最好 具有0 . 3//m到l#m,包括Ι/zm在內的厚度。當厚 度超過1 時,臭氧T E 0 S膜的應力增加。所增加之 厚度可能不利地使電容器的特性變差,並且當實施第一熱 處理當作一部分後處理時也易於產生裂縫。當臭氧 TEOS膜的厚度少於0 . 3/zm時,不能獲得足夠的斷 差覆蓋,而且臭氧TE 0 S膜的處理可能不利地產生蝕刻 殘留物。 在構成臭氧TEOS膜當作第二中間層絕緣膜1 5 1 時的臭氧濃度最好係5·5%或者高於5.5%。當臭氧 濃度被設定爲如此之範圍時,在臭氧T E 0 S膜中所包含 之應力被減輕,並且限制因熱處理而導致裂縫的產生。於 是,電容器1 0的特性被進一步改善。 在第一至第三例中,第一熱處理的溫度係4 5 〇°C, 該溫度可以在3 0 0 C到4 5 0°C,包括4 5 0°C在內的 範圍。當溫度係在此範圍內時’使用臭氧T E 0 S所生成 本紙張尺度適用中國國家標率(CNS ) Λ4規格(2丨0X297公釐) -31 - (讀先閱讀背面之注意事項再填寫本頁) 裝 I— H ^ 經濟部中央標率局負工消f合作社印製 A7 _B7___ 五、發明説明(29 ) 之矽氧化物膜變得緊密,因此,電容器1 0的特性被進一 步改善。除此之外,第一熱處理能夠被實施於氧氣與另一 種氣體之混合氣體環境中來代替氧氣環境,當混合氣體環 境被使用時,氧氣被供應至電介質膜8,而因此電容器 1 0的特性被進一步改善。 在第一熱處理之後,最好構成第二中間層絕緣膜 1 5 1之臭氧TE 0 S膜具有1 X 1 〇 d y n/cm2到2 xl〇dyn/cm2,包括 2xl〇dyn/cm2在內 的張應力。當在臭氧T E 0 S膜.(第二中間層絕緣膜 1 5 1 )中的應力係在上述的範圍內時,即使在因熱處理 而發生臭氧TEOS膜(第二中間層絕緣膜1 5 1 )的體 積縮減之後,作用於電容器1 0之上的應力被減輕且因此 而限制在電容器1 0之特性方面的變差。 在第一至第三例中,第二內連線1 7係由包括鈦、鋁 及鈦氮化物的疊層所構成,該第二內連線1 7能夠替代地 由包括鈦及鋁的疊層或者由包括鈦、鋁、鈦鎢之疊層所構 成,其獲得相同的結果。 在第一至第三例中,第二熱處理的溫度係4 0 〇。(:, 該溫度可以在3 0 0 °C到4 0 0°C,包括4 0 0°C在內的 範圍。當溫度係在此範圍內時,第二內連線1 7的應力被 減輕而同時第二內連線1 7變得緊密。第二熱處理能夠被 實施於氬氣環境、氨氣環境、或者氮氣和這些氣體之混合 氣體環境中來代替氮氣環境,獲致緊密之第二內連線1 7 以及減輕其應力之相同的效果被得到。 本紙張尺度適用中國國家標準(CNS ) Λ4規格(210X297公釐) (讀先聞讀背面之注意事項再填寫本頁) 裝· A7 B7 五、發明説明(30) 如上所述,根據本發明,作用於電容器之上的應力被 減輕。此外,因爲應力爲張應力,由於應力所造成在電容 器之特性方面的變差被限制,而因此電容器獲得令人滿意 的特性。即使當其具有多重內連線之結構時,包括如此之 電容器的半導體享有優異的可靠性。 對於習於此技者而言,各種其他的修改將變得明顯且 能夠被輕易地做成而沒有違反此發明之範疇與精神。於是 ,附加於此之專利申請的範圍不欲被限定在此處所提出之 說明,而是想廣泛地建構申請專利範圍。 (讀先聞讀背面之注意事項再填寫本頁) 、vs 經濟部中央標隼局貝工消費合作社印製
本紙張尺度適川中國國家標準(CNS ) Μ規格(2〗0X297公釐)-33-

Claims (1)

  1. A8 B8 C8 D8 — ·ι _ " _ 六、申請專利範圍 1、 一種半導體裝.置_,其·包括」 —電容器’其被提像_於—具..有一積.體電路於其上之支承 基板上且包括一下電極、一電介質膜、以及一上電極; 一第一中間層絕緣膜,其被提供以便覆蓋屬電容器; 一第一內連線,其被選擇性提供於該第一中間層絕緣 膜上並且經由在該第一中閭層絕緣膜中所形成之第一接觸 ?L而被電連接至該稹體電路和該電容器; 一第二中間層絕緣膜,其由臭氧T _Ε 0 S所搆成並且 被提供以便覆蓋該第一內連_m ; 一第二內連線’其被選擇性提供於該第二中間層絕緣 膜上並且經由在該第二中間層絕緣膜中所形成之第二接觸 孔而被電連接至該第一內連線;以及 一鈍化層,其被提供以—便覆蓋該第二內連線。 2、 如申請專利範麗第1項之半導體裝置,..其中該電 介質膜係由.具有高介電常數之電介質材料或者由鐵羞性材 料所構成。 3「如申請專利範圍第1項之半導體裝置,其中該第 二內連線被提供於該第二中間層絕緣膜上以便覆蓋至少該 電容器的一部分。 4、 如申請專利範圍第1項之半導體裝置,其中該鈍 化層係由一包括::砍氧化物膜及矽氮化物膜之疊層所構成。 5、 如申請專利範圍第1項之半導體裝置.,更包括一 • ·—.... 被提供在介於該第一內連線與該第二中間層絕緣膜;|;_間除 去 >其中提供該重容器的區域以外之區域的氫供應層。 ....... .................—............ .本紙張尺度適用中國國家標準(CNS ) A4规格.(210X297公釐) -34 - ——IL丨丨:'..裝—— (請先閲讀背面之注意事項再填寫本頁) ί訂: 經濟部中央標率局貞工消費合作社印製 I 經濟部中央標準局員工消費合作社印製 A8 B8 C8 D8 六、申請專利範圍 Q .、如申請專利範圍第1項之半導體裝置,其Φ該第 一冉—連、.線係虫二包括鈦、鈦氮化物、銘和_1氮J匕物之疊層 :一包鈦..氮,北物和鋁之疊層;一包括鈦、鈦鎢、鋁 和鈦鎢之層;或者一包括鈦、駄鎢和鋁之疊層所構成。 7、如申請專利範圍第1項之半導體裝置,其中該第 r中間.層絕-緣.膜—之名~-1一- -Θ Η鍵〜吸收係數在對應於 3 4 5 0 c m - 1之波最除.爲J8 〇 〇 —〔π!—1或少於8 0 0 c m _ 1 0 8-、如申請專利範圔第1項之半導體裝置,其中該第 二中間層絕緣膜具有1 X 1〇 d y n./cm2到3 X 1 0 dyn/cm2,包括3xi〇dyn/cm2在內的張應 力。 9、如申請專利範圍第1項之半導體裝置,其中該第 二中間層絕緣膜具有〇 . 3fm到l//m的厚度。 1 0、如申請專利範圍第1項之半導體裝置,其中該 第二內連線係由一包括鈦、鋁和鈦氮化物之疊層;一包括 鈦和鋁之疊層;或者一包括鈦、銀和鈦鎢之疊層所構成° '.1 1、一種用以製造半導體裝置之方法,其包括步驟 依序形成一下電極、一電介質膜、和一上電極於一具 有積體電路之支承基板上,藉以形成一電容器; 形成一第一中間層絕緣膜以便蓋蓋該電容器; 形成一第一接觸孔於該第一中間層絕緣膜之中; 選擇性形成一第一內連線於該第一接觸?中和該第 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -35 - .-II-I^--.I-II (請先閎讀背面之注意事項再填寫本頁) ,ίτ---- 8 8 8 8 ABCD 經濟部中央梂準局員工消费合作社印製 六、申請專利範圍 一中間層絕緣膜的預定區域之上里便被電連接至該積體電 路及電容器; 形成一臭氧T E 0S之第二中間層絕緣膜以便覆蓋該 第一內連線 使該第二內連線受到第一熱處理; 形成一第二接觸孔於該第二中間層絕緣膜之中; 選擇性形成一第二內連線於該第二接觸、孔之中和該第 二中間層絕緣膜的預定區域之上以便被電連接至該第一內 連線; 使該第二內連線受到第二熱處理;以及-形成一鈍化層以便覆蓋該第二內連線。 1 2、如申請專利龜圍第1 1項之用以製造半導體裝 置的方法,其中該電介質膜係由ϋ電常數之電介質 材料或者由鐵.電性.材料所構成。 1 3、如申請專利範圍第1 1項之用以製導體裝 '' 琴的方.接,1包括使用該第二內連線當佳垦垦直回蝕該第 二中間層絕緣膜到如此的一種程度以致於幾乎暴露該第一 內連線的步驟。 1 4、如申請專利範圍第1 1項之用以製造半導體裝 置:的方法,其中形成該第二內連H步驛.鱼楚形成該第二 a連嚴1煙置蓋至/少該電容器的一部分之步驟。 15、.如申請專利範圍第1 1項之用以製造半導體裝 瞿的方、g,其中: 該鈍化層係由一包括矽氧化物膜和矽氮.化物崖之疊層 本紙張尺度適用中國國家樑準(CNS ) A4規格(210X297公釐) -36 - (請先閲讀背面之注$項再填寫本頁) 装-----—訂· II-Γ 經濟部中央標準局員工消費合作社印製 A8 B8 C8 D8 六、申請專利範圍 戶斤構成;以及 該矽氧化物膜係藉由正常壓力c V辽、低壓c V D或 電漿C__ID法連同使用矽_院、—烷.、或臭氧Τ 搆..成..、,以、便.盖有張應力。 1 6、如申請專利範圍第1 1項之用以製造半導體裝 置的方法,包括步驟:. 在形成該第一內.奠變皇後’形座一屬-供應層於除去提 供電容器的i域.以..I之該、第二內連線之上;以及 實施〜第―三熱連理。 1 7、如申請專利範圍第1 6項之用以製造半導體裝 置的方法,其中誇氫...供應層係_藉_斑電漿c__i a盖^ 化物或-卷取氮^化物氧化物所構成。 1 8、如申請專利範圍第1 6_項之用以製造半導體裝 置的方法,其中在氫供應層典歡成之後-所—實施之.第三熱處 理實施於溫度的範圍在3 0 0 °C到4 5 Q. . .. °C之內’每含 J 5 0 °C在內。 1 9、如申請專利範圍第1 6項之甩以製造冷導體裝 置的方法,甚中在氫供應層的形成之後所實施之第写熱處 理實施於.. .氣氣U、氮氣谓_境_ . Λ _ 氣環境或者其混合氣體 ..的環境之中。 2 〇、如申請專·麗圍第1—1. ..痕..之用以..製氣举..導體裝 置的方.1,—羞也霞篇 c v D或低凰C...V^D法連显.使用砍院、.乙政....院...、.或.1氧 τ E 0 s而虫矽氧化物膜所構成。 本紙張尺度逋用中國國家標準(CNS ) A4規格(210X297公釐) -37 .---^- -—l·---'货裝-------訂—------ (請先聞讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印製 A8 B8 C8 D8___ 六、申請專利範圍 2 1、如申請專利範圍第1 1項之甩以製造半譬體裝 置的i法,其中該第一一申間層.教嚴IJIA由—正常壓力 C V D-mC Y.-cma直撤麗1之矽—氧化物膜所構成。 2 2、如申請專利範圍第1 1項之用以製造生導體裝 置的方法,其中在使用臭·氧T E a S來構成-第二中間層絕 緣膜時之臭桌濃度被設定爲5. 5%或者高於5 . 5% ° 2 3、如申請專利範圍第1 1項之甩以製造半導體裝 置的方法,其中在受到第一熱處遲之後的·第二中間層絕緣 膜具有 1 X 1 〇 d y n / c m 2 到 2 X 1 〇 d y n / c m : ,包教2 x 1 0 dyn/cm2在內的張應力。 2 4、姐申請專利範圍第1 1項之用以擊導半導體裝 置的方法,其中該第一熱處理實施於溫度的範圍在3 ◦ 0 °C_到U 0 °C之斑,包含4 5 0 °C在內。 2 5、如申請專利範圍第1 1項之用以製造導體裝 置的方法,其中該第一熱處理實暴於至少包括氧复m寧 中。 2 6、前申請專利範圍第1 1項之用以製造-宇-燮體裝 屢的方法,其中該第二熱處理實jn温度的,範圓在3 〇 〇 °C到4 5 Q X之內一,包含4 5 0 °C在內。 2 7、如申請專利範菌第1 1項之用以製造半導體裝 置的方法,其中該第二熱處理實施於至少包括盤、-氣氣. 以及氦氣的其中之一的環境中。 (請先閲讀背面之注^^項再填寫本頁) 裝- 、1T 本紙張尺度逍用中固國家標準(CNS ) A4規格(210X297公釐) -38 -
TW087110110A 1997-06-24 1998-06-23 Semiconductor device and method for fabricating the same TW396454B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP16699197 1997-06-24

Publications (1)

Publication Number Publication Date
TW396454B true TW396454B (en) 2000-07-01

Family

ID=15841375

Family Applications (1)

Application Number Title Priority Date Filing Date
TW087110110A TW396454B (en) 1997-06-24 1998-06-23 Semiconductor device and method for fabricating the same

Country Status (6)

Country Link
US (4) US6849887B2 (zh)
EP (1) EP0887864B1 (zh)
KR (1) KR100339327B1 (zh)
CN (1) CN1215914A (zh)
DE (1) DE69831903T2 (zh)
TW (1) TW396454B (zh)

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3424900B2 (ja) * 1997-10-24 2003-07-07 松下電器産業株式会社 半導体装置およびその製造方法
KR100268453B1 (ko) * 1998-03-30 2000-11-01 윤종용 반도체 장치 및 그것의 제조 방법
TW460748B (en) * 1998-05-26 2001-10-21 Matsushita Electronics Corp Capacitor and method for fabricating the same
US6194328B1 (en) * 1998-12-09 2001-02-27 Advanced Micro Devices, Inc. H2 diffusion barrier formation by nitrogen incorporation in oxide layer
JP3251256B2 (ja) 1999-03-01 2002-01-28 沖電気工業株式会社 半導体装置の製造方法
US6600185B1 (en) * 1999-03-10 2003-07-29 Oki Electric Industry Co., Ltd. Ferroelectric capacitor with dielectric lining, semiconductor memory device employing same, and fabrication methods thereof
KR100333641B1 (ko) * 1999-06-30 2002-04-24 박종섭 하부전극 손상을 방지할 수 있는 강유전체 메모리 소자의 캐패시터 형성 방법
KR100309077B1 (ko) * 1999-07-26 2001-11-01 윤종용 삼중 금속 배선 일 트랜지스터/일 커패시터 및 그 제조 방법
JP4357076B2 (ja) * 2000-03-27 2009-11-04 株式会社東芝 強誘電体メモリ及びその製造方法
JP3644887B2 (ja) * 2000-04-11 2005-05-11 松下電器産業株式会社 半導体装置およびその製造方法
JP3907921B2 (ja) * 2000-06-19 2007-04-18 富士通株式会社 半導体装置の製造方法
JP4008651B2 (ja) * 2000-10-31 2007-11-14 株式会社東芝 半導体装置とその製造方法
US20030124324A1 (en) * 2001-11-27 2003-07-03 Kappler Safety Group Breathable blood and viral barrier fabric
US6531325B1 (en) * 2002-06-04 2003-03-11 Sharp Laboratories Of America, Inc. Memory transistor and method of fabricating same
JP2004039699A (ja) * 2002-06-28 2004-02-05 Fujitsu Ltd 半導体装置及びその製造方法
CN1666316A (zh) * 2002-07-03 2005-09-07 东京电子株式会社 对半导体处理参数进行非侵入式测量和分析的方法和装置
JP3835403B2 (ja) * 2002-11-26 2006-10-18 セイコーエプソン株式会社 電気光学装置及び電子機器
US7083694B2 (en) * 2003-04-23 2006-08-01 Integrated Materials, Inc. Adhesive of a silicon and silica composite particularly useful for joining silicon parts
US7785672B2 (en) * 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
JP2006049413A (ja) * 2004-08-02 2006-02-16 Fujitsu Ltd 半導体装置及びその製造方法
GB2421106A (en) * 2004-12-07 2006-06-14 Hewlett Packard Development Co A method and apparatus for preparing variable-data documents for publishing
WO2006075444A1 (ja) * 2005-01-12 2006-07-20 Sharp Kabushiki Kaisha 半導体装置の製造方法、及び半導体装置
JP4968063B2 (ja) 2005-03-01 2012-07-04 富士通セミコンダクター株式会社 半導体装置及びその製造方法
JP4546371B2 (ja) * 2005-09-20 2010-09-15 パナソニック株式会社 半導体装置およびその製造方法
US20070147462A1 (en) * 2005-12-23 2007-06-28 Wilcox Dale R Rapid heating and cooling furnace
KR100681677B1 (ko) * 2005-12-29 2007-02-09 동부일렉트로닉스 주식회사 반도체 소자의 이중 층간 절연막 형성 방법
JP5567247B2 (ja) * 2006-02-07 2014-08-06 セイコーインスツル株式会社 半導体装置およびその製造方法
JP2007311539A (ja) * 2006-05-18 2007-11-29 Nec Electronics Corp 半導体装置
KR100853794B1 (ko) * 2006-09-12 2008-08-25 동부일렉트로닉스 주식회사 언더컷 방지를 위한 이미지 센서의 제조 방법
JP2009231445A (ja) * 2008-03-21 2009-10-08 Toshiba Corp 半導体記憶装置
US8669644B2 (en) * 2009-10-07 2014-03-11 Texas Instruments Incorporated Hydrogen passivation of integrated circuits
CN104779149A (zh) * 2014-01-15 2015-07-15 无锡华润上华半导体有限公司 半导体器件的金属电极制造方法
US20160086960A1 (en) 2014-09-22 2016-03-24 Texas Instruments Incorporated Low-Temperature Passivation of Ferroelectric Integrated Circuits for Enhanced Polarization Performance
CN107316817B (zh) * 2016-04-26 2020-08-25 中芯国际集成电路制造(上海)有限公司 封装件及其制造方法
JP6540650B2 (ja) * 2016-10-19 2019-07-10 株式会社村田製作所 半導体装置およびその製造方法

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03133131A (ja) 1989-10-18 1991-06-06 Mitsubishi Electric Corp 半導体装置
US5132774A (en) 1990-02-05 1992-07-21 Mitsubishi Denki Kabushiki Kaisha Semiconductor device including interlayer insulating film
US5250468A (en) 1990-02-05 1993-10-05 Mitsubishi Denki Kabushiki Kaisha Method of manufacturing semiconductor device including interlaying insulating film
JP3131982B2 (ja) 1990-08-21 2001-02-05 セイコーエプソン株式会社 半導体装置、半導体メモリ及び半導体装置の製造方法
DE69225082T2 (de) * 1991-02-12 1998-08-20 Matsushita Electronics Corp Halbleiter-Vorrichtung mit Verdrahtung der verbesserten Zuverlässigkeit und Verfahren zu ihner Herstellung
US5650359A (en) 1991-05-06 1997-07-22 Texas Instruments Incorporated Composite dielectric passivation of high density circuits
EP0516031A1 (en) * 1991-05-29 1992-12-02 Ramtron International Corporation Stacked ferroelectric memory cell and method
EP0557937A1 (en) * 1992-02-25 1993-09-01 Ramtron International Corporation Ozone gas processing for ferroelectric memory circuits
EP0651677B1 (en) * 1992-07-08 1997-10-01 Nordson Corporation Apparatus and methods for applying discrete foam coatings
US5344797A (en) 1992-10-30 1994-09-06 At&T Bell Laboratories Method of forming interlevel dielectric for integrated circuits
JPH06291317A (ja) 1993-03-30 1994-10-18 Sony Corp 薄膜トランジスタ
JPH06350083A (ja) 1993-06-11 1994-12-22 Natl Space Dev Agency Japan<Nasda> セラミックス封止型半導体装置
JPH0737988A (ja) 1993-07-20 1995-02-07 Hitachi Ltd 半導体集積回路装置の製造方法
US5439840A (en) 1993-08-02 1995-08-08 Motorola, Inc. Method of forming a nonvolatile random access memory capacitor cell having a metal-oxide dielectric
EP0736905B1 (en) * 1993-08-05 2006-01-04 Matsushita Electric Industrial Co., Ltd. Semiconductor device having capacitor and manufacturing method thereof
JPH07135323A (ja) * 1993-10-20 1995-05-23 Semiconductor Energy Lab Co Ltd 薄膜状半導体集積回路およびその作製方法
JPH07161952A (ja) 1993-12-06 1995-06-23 Olympus Optical Co Ltd 固体撮像装置及びその製造方法
JP3603229B2 (ja) * 1994-02-09 2004-12-22 富士通株式会社 半導体記憶装置
US5503882A (en) 1994-04-18 1996-04-02 Advanced Micro Devices, Inc. Method for planarizing an integrated circuit topography
EP0689085B1 (en) 1994-06-20 2003-01-29 Canon Kabushiki Kaisha Display device and manufacture method for the same
US5789762A (en) * 1994-09-14 1998-08-04 Semiconductor Energy Laboratory Co., Ltd. Semiconductor active matrix circuit
JP3368726B2 (ja) * 1995-08-07 2003-01-20 ヤマハ株式会社 半導体記憶装置とその製造方法
JP3184771B2 (ja) 1995-09-14 2001-07-09 キヤノン株式会社 アクティブマトリックス液晶表示装置
US6004839A (en) * 1996-01-17 1999-12-21 Nec Corporation Semiconductor device with conductive plugs
US5872401A (en) 1996-02-29 1999-02-16 Intel Corporation Deposition of an inter layer dielectric formed on semiconductor wafer by sub atmospheric CVD
JP3027941B2 (ja) * 1996-05-14 2000-04-04 日本電気株式会社 誘電体容量素子を用いた記憶装置及び製造方法
US5990507A (en) * 1996-07-09 1999-11-23 Kabushiki Kaisha Toshiba Semiconductor device having ferroelectric capacitor structures
US5750419A (en) * 1997-02-24 1998-05-12 Motorola, Inc. Process for forming a semiconductor device having a ferroelectric capacitor
US6130102A (en) * 1997-11-03 2000-10-10 Motorola Inc. Method for forming semiconductor device including a dual inlaid structure

Also Published As

Publication number Publication date
US20050082638A1 (en) 2005-04-21
US20020056861A1 (en) 2002-05-16
CN1215914A (zh) 1999-05-05
KR100339327B1 (ko) 2002-11-23
KR19990007448A (ko) 1999-01-25
US6174822B1 (en) 2001-01-16
EP0887864B1 (en) 2005-10-19
DE69831903D1 (de) 2006-03-02
DE69831903T2 (de) 2006-04-20
US6849887B2 (en) 2005-02-01
EP0887864A1 (en) 1998-12-30
US20020149082A1 (en) 2002-10-17

Similar Documents

Publication Publication Date Title
TW396454B (en) Semiconductor device and method for fabricating the same
TW518749B (en) Semiconductor device
TW492111B (en) Semiconductor integrated circuit device and manufacture thereof
TW479279B (en) Deuterium reservoirs and ingress paths
JPWO2010098151A1 (ja) 半導体装置およびその製造方法
TW200306663A (en) Semiconductor device and method of manufacturing the same
KR100254093B1 (ko) 반도체 장치 및 그의 제조 방법
TW503481B (en) Method of forming a pre-metal dielectric film on a semiconductor substrate
US20050253199A1 (en) Semiconductor device and manufacturing method thereof
CN104011888A (zh) 单片集成的cmos声波器件
JP2846310B1 (ja) 半導体装置及びその製造方法
WO2005067051A1 (ja) 半導体装置、半導体装置の製造方法
CN103180947B (zh) 具有零温度系数电容器的集成电路
US7183170B2 (en) Manufacturing method of semiconductor device
TWI304258B (en) Metal-insulator-metal (mim) structure and method for forming the same
TW395020B (en) A silicon nitride sidewall and top surface layer separating conductors
TWI238490B (en) Heterogeneous low k dielectric
TWI258200B (en) Back end of line integration scheme
JPH08204012A (ja) 半導体装置及びその製造方法
TWI278981B (en) Semiconductor device and production method therefor
TW583737B (en) Semiconductor device and method of fabricating the same
JP2011014699A (ja) 半導体装置の製造方法
TW200415797A (en) Capacitor in an interconnect system and method of manufacturing thereof
JP5286804B2 (ja) 半導体装置の製造方法
JP3508321B2 (ja) 絶縁膜の形成方法

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees