TW202002150A - 校準夾具和校準方法 - Google Patents

校準夾具和校準方法 Download PDF

Info

Publication number
TW202002150A
TW202002150A TW108120460A TW108120460A TW202002150A TW 202002150 A TW202002150 A TW 202002150A TW 108120460 A TW108120460 A TW 108120460A TW 108120460 A TW108120460 A TW 108120460A TW 202002150 A TW202002150 A TW 202002150A
Authority
TW
Taiwan
Prior art keywords
transparent plate
calibration
calibration fixture
edge ring
ring
Prior art date
Application number
TW108120460A
Other languages
English (en)
Other versions
TWI738004B (zh
Inventor
安德魯 麥里斯
丹尼斯M 庫薩
彼特 穆拉卡
菲利浦A 克里米奈爾
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202002150A publication Critical patent/TW202002150A/zh
Application granted granted Critical
Publication of TWI738004B publication Critical patent/TWI738004B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/3288Maintenance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32908Utilities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

本文描述了用於校準高度可調整的邊緣環的設備和方法。在一個實例中,提供一種用於相對於參考表面定位邊緣環的校準夾具,該校準夾具包括:透明板;複數個感測器,該複數個感測器耦接到該透明板的第一側;以及複數個接觸墊,該複數個接觸墊耦接到該透明板的相對的第二側。

Description

校準夾具和校準方法
本揭示案的各實例整體涉及用於處理諸如半導體基板之類的基板的設備和方法。更具體地,揭示了一種校準夾具及其使用方法。
在基板(諸如半導體基板和顯示面板)的處理中,基板被放置在製程腔室中的支撐件上,同時在製程腔室中維持合適的製程條件以在基板的表面上沉積、蝕刻、形成層或以其它方式處理基板的表面。在蝕刻製程期間,驅動蝕刻製程的電漿可能不均勻地分佈在基板表面上。不均勻性在基板表面的邊緣處特別明顯。此不均勻性產生不良處理結果。因此,一些製程腔室使用邊緣環,邊緣環也可以被稱為製程配件環。此等邊緣環可用於使電漿鞘層在基板表面的邊緣上方延伸,以便增加電漿均勻性並提高製程良率。
此等邊緣環典型地與在腔室內的特定參考表面共面或平行,以便提供良好結果。然而,在處理期間,邊緣環隨時間而腐蝕。為了考慮到腐蝕,一些邊緣環可相對於在製程腔室內的參考表面移動。最終,邊緣環腐蝕到需要更換的程度。然而,在邊緣環中的緊密公差可能導致結合,從而造成邊緣環在安裝期間相對於參考表面錯位。為了校正在安裝期間的此種錯位,邊緣環相對於參考表面重定位,此常規地是耗時的。
因此,本領域中需要減少在邊緣環更換期間的停機時間的方法和設備。
本文描述用於校準高度可調整的邊緣環的設備和方法。在一個實例中,提供一種用於相對於參考表面定位邊緣環的校準夾具,該校準夾具包括:透明板;複數個感測器,該複數個感測器耦接到該透明板的第一側;以及複數個接觸墊,該複數個接觸墊耦接到該透明板的相對的第二側。
在另一個實例中,提供一種用於相對於參考表面定位邊緣環的校準夾具,該校準夾具包括:透明板,該透明板包括形成為穿過該透明板的複數個開口;複數個感測器,該複數個感測器耦接到該透明板的第一側;以及複數個接觸墊,該複數個接觸墊耦接到該透明板的相對的第二側。
在另一個實例中,提供一種用於相對於參考表面調平邊緣環的方法,該方法包括:相對於該參考表面定位校準夾具;使用在三個軸線處耦接到該邊緣環的複數個驅動馬達來相對於該參考表面移動該邊緣環;使用耦接到該校準夾具的感測器來感測該邊緣環的移動,每個感測器對應於相應的驅動馬達的位置;計算所有軸線之間的偏移;以及將校準值儲存在系統控制器中。
本文描述用於校準高度可調整的邊緣環的設備和方法。在一個實例中,提供一種用於相對於參考表面定位邊緣環的校準夾具,該校準夾具包括:透明板;複數個感測器,該複數個感測器耦接到該透明板的第一側;以及複數個接觸墊,該複數個接觸墊耦接到該透明板的相對的第二側。如本文所揭示的校準夾具顯著地減少在製程腔室中安裝邊緣環時的停機時間。
圖1是根據本揭示案的一個實例的製程腔室100的示意性截面圖。製程腔室100包括腔室主體101和設置在其上的蓋102,它們兩者一起限定內部容積。腔室主體101典型地耦接到電氣接地103。基板支撐組件104設置在內部容積內,以在處理期間將基板105支撐在基板支撐組件104上。高度可調整的邊緣環106圍繞在基板支撐組件104上的基板105的周邊定位。製程腔室100亦包括電感耦合電漿設備107和系統控制器108,電感耦合電漿設備107用於在製程腔室100內產生反應物質的電漿,系統控制器108適於控制製程腔室100的系統和子系統。
基板支撐組件104包括一個或多個電極109,一個或多個電極109經由匹配網路112耦接到偏置源110,以促進在處理期間偏置基板105。偏置源110可以說明性地為在例如約13.56 MHz的頻率下高達約1000 W(但是不限於約1000 W)的RF能量的源,但是可以根據需要針對特定應用提供其它頻率和功率。偏置源110可以是能夠產生連續或脈衝功率中的任一個或兩者的。在一些實例中,偏置源110可以是DC或脈衝DC源。在一些實例中,偏置源110可以是能夠提供多個頻率的。一個或多個電極109可以耦接到夾緊電源114,以促進在處理期間夾緊基板105。
電感耦合電漿設備107設置在蓋102上方,並且被配置為將RF功率電感耦合到製程腔室100中,以在製程腔室100內產生電漿116。電感耦合電漿設備107包括設置在蓋102上方的第一線圈118和第二線圈120。每個線圈118、120的相對位置、直徑比和/或每個線圈118、120中的匝數可以根據需要進行調整,以控制正在形成的電漿116的分佈或密度。第一線圈118和第二線圈120中的每個經由RF饋送結構124通過匹配網路122耦接到RF電源121。RF電源121可以說明性地能夠在範圍為從50 kHz至13.56 MHz的可調諧的頻率下產生高達約4000 W(但是不限約於4000 W),但是可以根據需要針對特定應用利用其它頻率和功率。
在一些實例中,可以在RF饋送結構124與RF電源121之間提供功率分配器126(諸如分壓電容器),以控制提供到相應的第一線圈和第二線圈的RF功率的相對量。在一些實例中,功率分配器126可以結合到匹配網路122中。
加熱器元件128可以設置在蓋102上,以促進加熱製程腔室100的內部。加熱器元件128可設置在蓋102與第一線圈118和第二線圈120之間。在一些實例中,加熱器元件128可以包括電阻加熱元件,並且可以耦接到電源130(諸如AC電源),電源130被配置為提供足夠的能量以將加熱器元件128的溫度控制在所期望的範圍內。
在操作期間,基板105(諸如半導體晶圓或適於電漿處理的其它基板)被放置在基板支撐組件104上。基板升降桿146可移動地設置在基板支撐組件104中,以幫助將基板105傳送到基板支撐組件104上。在基板105定位之後,製程氣體從氣體面板132通過進入埠134供應到腔室主體101的內部容積中。藉由從RF電源121向第一線圈118和第二線圈120施加功率,製程氣體在製程腔室100中被點燃成電漿116。在一些實例中,來自諸如RF或DC源之類的偏置源110的功率也可以通過匹配網路112提供到在基板支撐組件104內的電極109。在製程腔室100的內部內的壓力可以使用閥136和真空泵138進行控制。腔室主體101的溫度可以使用延行穿過腔室主體101的容納流體的導管(未圖示)進行控制。
製程腔室100包括系統控制器108,以控制在處理期間的製程腔室100的操作。系統控制器108包括中央處理單元(CPU)140、記憶體142和用於CPU 140的支援電路144,並且促進控制製程腔室100的部件。系統控制器108可以是可在工業環境中使用以控制各種腔室和子處理器的任何形式的通用電腦處理器中的一種。記憶體142儲存軟體(源或目標代碼),該軟體可以被執行或調用從而以本文所述的方式來控制製程腔室100的操作。
基板支撐組件104包括可相對於基板105移動的高度可調整的邊緣環106。為了促進此移動,基板支撐組件104包括升降組件150,升降組件150包括耦接到相應的驅動馬達160(在圖1的視圖中僅圖示了兩個)的至少三個環升降桿155。每個驅動馬達160專用於一個環升降桿155,以便獨立地提升或降低每個環升降桿155。環升降桿155的提升和降低使高度可調整的邊緣環106相對於基板支撐組件104的夾緊表面165豎直地移動,以及使高度可調整的邊緣環106相對於基板105豎直地移動。在處理期間移動高度可調整的邊緣環106調諧電漿116,此可以增強製程結果(例如,蝕刻均勻性和/或薄膜沉積均勻性)。高度可調整的邊緣環106的移動可以使用與環升降桿155中的每個相鄰地設置的感測器172進行量測。感測器172中的每個可以是編碼器、位移感測器或其它類型的運動感測器。驅動馬達160中的每個可以是步進馬達、伺服馬達、壓電馬達或能夠提升和降低環升降桿155的其它類型的致動器。
然而,在處理期間,高度可調整的邊緣環106的主表面170的平面應當平行或基本上平行於基板105的主表面的平面和/或基板支撐組件104的夾緊表面165的平面。高度可調整的邊緣環106的主表面170的平面垂直於邊緣環106的中心軸線。在一些製程中,高度可調整的邊緣環106可以基本上與基板105的主表面共面。若高度可調整的邊緣環106的主表面170不是基本上平行於基板支撐組件104的夾緊表面165,則電漿116可能是不均勻的。短語「基本上平行的」意指相對於參考基準平面(其可以是基板支撐組件104的夾緊表面165的平面)成小於0.03度,例如,小於約0.02度。此外,在高度可調整的邊緣環106的任何移動期間,若驅動馬達160中的任何一個相對於其它驅動馬達160中的一個顯著地缺乏校準,則高度可調整的邊緣環106結合。
當安裝高度可調整的邊緣環106時,重要的是使高度可調整的邊緣環106調平(例如,將高度可調整的邊緣環106定位成與參考表面平行或基本上平行)。常規地,調平過程可能需要數個小時直到約一天,此是既耗時又昂貴的。然而,使用如本文所述的校準夾具,調平過程可耗費不到5分鐘。
圖2A是用於調平高度可調整的邊緣環106的校準夾具200的示意性側視圖。圖2B是沿著圖2A的線2B-2B的頂視圖,圖示圖1的製程腔室100的一部分,製程腔室100的一部分中具有校準夾具200。
校準夾具200包括透明板205,透明板205具有安裝在透明板205的第一表面215上的至少三個感測器210。感測器210中的每個可以是光學或非接觸位移感測器(諸如電容換能器或電容位移感測器)、鐳射位移換能器、電感位置感測器或量測線性位移的任何其它類型的非接觸感測器。或者,感測器210中的每個可以是接觸位移感測器,諸如線性可變差動變壓器或其它合適的量測裝置。
安裝墊220定位在透明板205的相對的第二表面225上。透明板205可以由玻璃、透明塑膠(諸如丙烯酸片)、石英或其它光學透明材料製成,使得感測器210可以透過透明板205查看高度可調整的邊緣環106的主表面170。安裝墊220被配置為接觸基板支撐組件104的夾緊表面165的特定位置,並且用於將透明板205間隔在基板支撐組件104的夾緊表面165上方的特定距離或高度處。一個或多個手柄230耦接到透明板205的第一表面215,以幫助操縱校準夾具200。在圖2A的基板支撐組件104中亦圖示了升降桿開口240,升降桿開口240中的每個升降桿開口的大小適於接納圖1中所示的基板升降桿146中的一個基板升降桿。
對準指示器245被包括在透明板205上,以幫助正確地對準腔室主體101內的校準夾具200。對準指示器245可以是文本、數位、方向箭頭、其它標記或以上項的組合。對準指示器245用於在安裝校準夾具200時告知人員校準夾具200相對於腔室主體101的特徵(諸如腔室主體101的狹縫閥開口250)的正確定位。校準夾具200的正確定位也得到劃線255幫助,劃線255具有等於基板支撐組件104的夾緊表面165的直徑260的直徑。基板支撐組件104的夾緊表面165的直徑260小於透明板205的直徑265。另外,校準夾具200的正確定位也得到穿過透明板205形成的開口270幫助。透明板205的開口270被配置為與形成在基板支撐組件104中的升降桿開口240對準。
校準夾具200相對於腔室主體101的正確定位用於進行若干功能。一個功能包括相對於特定驅動馬達160定位感測器210中的每個感測器。例如,當感測器210被啟動並且校準夾具200在操作中時,來自感測器210中的一個感測器的回饋用於回應於最靠近感測器210的驅動馬達160中的相應的一個驅動馬達的操作而確認邊緣環106的運動。以此方式,感測器210提供關於由感測器172提供的資訊的準確性的回饋,由此使得馬達160能夠在夾具200移除後精確地定位邊緣環106。校準夾具200的正確定位亦確保安裝墊220定位在基板支撐組件104的夾緊表面165上,使得藉由安裝墊220避開形成在基板支撐組件104的夾緊表面165上的任何結構。另外,透明板205的開口270相對於升降桿開口240進行定位。如此定位開口270允許基板升降桿146延伸通過開口270,以防基板升降桿146在校準高度可調整的邊緣環106期間被意外地致動。
再次參考圖2A,校準夾具200直接佈線到系統控制器108的運動控制器275。運動控制器275耦接到電源280(電源280可以是DC電源),以向驅動馬達160中的每個驅動馬達提供電訊號。諸如射頻濾波器之類的濾波器285提供在電源280與運動控制器275之間。在一些實施方式中,複數個感測器210和複數個驅動馬達160中的一者或兩者的輸出提供在耦接到系統控制器108的監視器290的顯示幕上。感測器172(在圖1中圖示)亦與運動控制器275通訊。感測器172用於監測和/或量化在驅動馬達160被致動時驅動馬達160的操作。例如,感測器172用於向運動控制器275提供關於在驅動馬達160被致動時驅動馬達160的步數的回饋。
在校準製程期間,感測器210光學地確定高度可調整的邊緣環106的主表面170相對於參考表面的位置,該參考表面在此實例中是基板支撐組件104的夾緊表面165的平面。高度可調整的邊緣環106的主表面170相對於夾緊表面165的平行性由感測器210確定。感測器210與用於確定高度可調整的邊緣環106相對於夾緊表面165的位置(豎直性和平行性兩者)的校準軟體常式進行通訊,以及向運動控制器275提供回饋。隨後,運動控制器275將訊號發送到驅動馬達160,以便移動環升降桿155中的一個或多個來調整位置差值,使得邊緣環106相對於夾緊表面165移動到平行取向。例如,感測器210確定高度可調整的邊緣環106的主表面170相對於參考表面的平面度,並且感測器210以此邊緣環的精確位置向監視器290提供輸出。校準製程很快,因為可以一次計算所有位置差值。若高度可調整的邊緣環106不與基板支撐組件104的夾緊表面165平行或不是基本上與基板支撐組件104的夾緊表面165平行,則感測器210向運動控制器275提供度量以致動驅動馬達160中的一個或多個來向上或向下移動相應的環升降桿155,從而調整邊緣環106相對於夾緊表面165的傾斜度。感測器210的輸出可以是表示驅動馬達160中的一個或多個驅動馬達所需的線性位移量的電訊號,以便在高度可調整的邊緣環106與基板支撐組件104的夾緊表面165之間達成平行。來自感測器210的電訊號被運動控制器275中的軟體轉換成長度單位(諸如微米),以幫助向一個或多個驅動馬達160提供位移值。電訊號可以為被轉換成微米的約4毫安培(mA)至約20 mA,並且可以計算微米以與驅動馬達160的(一個或多個)步數對應。
下面描述校準高度可調整的邊緣環106的方法。該方法包括具有閉環回饋的軟體常式,該軟體常式實現以下項中的一個或多個:該方法驗證感測器210相對於驅動馬達160定位在正確位置處;該方法藉由同時計算所有偏移(亦即,不藉由反覆運算過程)來實現快速校準常式(典型地不到5分鐘);該方法包括確定自動儲存的校準值;該方法對於任何厚度的高度可調整的邊緣環(例如,無論環是新的或是被腐蝕的)都是有效的。該方法亦包括使用校準夾具200來確定例如驅動馬達160是否安裝在正確位置中和/或與正確極性連接,使得驅動馬達160移動環升降桿155以在所期望的方向上移動高度可調整的邊緣環106。
圖3是概括利用如本文所述的校準夾具200的方法的實施方式的流程圖300。方法300開始於302,其中腔室主體101打開,使得校準夾具200可以如圖2A和2B所述的來安裝。應當理解,方法300可以用於校準新的高度可調整的邊緣環106以及重校準使用過的(亦即,被腐蝕的)高度可調整的邊緣環106。
方法300可以在結束於340之前被分解為具有移動模式305、校準模式320和驗證模式335的簡化方法304。移動模式305包括量化驅動馬達160的操作和/或環升降桿155由驅動馬達160進行的移動。校準模式320包括高度可調整的邊緣環106與基板支撐組件104的夾緊表面165的調平。驗證模式335包括驗證在校準模式320中執行的校準是正確的。簡化方法304將用方法300的其餘部分更詳細地進行解釋。
在操作307處,移動模式305包括驗證校準夾具200正確地連接到系統控制器108。若校準夾具200未正確地連接到系統控制器108,則可以在軟體中設置錯誤。例如,若感測器210中的一個或多個指示小於4 mA的輸出,則可以確定校準夾具200未插入系統控制器108。例如,感測器210中的一個可以在連接時發送大於4 mA的低電流訊號,低電流訊號可以由系統控制器108讀取以指示感測器與系統控制器108之間的正確連接。
在操作309處,移動模式305亦包括用已知的偏移使高度可調整的邊緣環106歸位。驅動馬達160的控制是量測到的距離,使得系統控制器108可以藉由控制驅動馬達160來精確地控制環升降桿155的移動。最初,環升降桿155中的每個由驅動馬達160進行的移動是使用在移動模式305中的其它操作中環升降桿155由驅動馬達160進行的移動來從此起始位置計算的。歸位位置可以是高度可調整的邊緣環106的估計的製程位置,例如,高度可調整的邊緣環106相對於基板支撐組件104的夾緊表面165的位置。
在操作311處,移動模式305亦包括致動所有驅動馬達160以緩慢地向上移動環升降桿155,直到所有感測器210顯示高度可調整的邊緣環106的移動高於閾值(例如,大於約60微米,亦即,比感測器的雜訊水準高的可偵測值)為止。在操作313處移動模式305亦包括計算所有軸線之間的任何(一個或多個)偏移並使偏移歸一化。術語「偏移」被定義為在接觸邊緣環106之前環升降桿155中的每個由驅動馬達160移動的量(或距離)。
移動模式305在操作315處藉由施加所有偏移並致動所有驅動馬達160以向下移動所有環升降桿155結束。向下移動在環升降桿155中的每個的極限向下位置處的硬止擋件處終止(亦即,達環升降桿155不再能夠向下移動的位置)。因此,可以在將所有環升降桿155從上面在操作309處描述的「歸位」位置移動到極限向下位置之後找到「起始」位置。此確保了所有環升降桿155都在高度可調整的邊緣環106的底表面下方。
簡化方法304繼續到校準模式320。在操作322處,校準模式320包括緩慢地向上移動所有驅動馬達160,直到所有感測器210顯示移動超過閾值(亦即,約60微米)。
在操作324處,校準模式320包括確認位置比在操作315處的起始值更接近,以確保在操作313處的計算正確地完成。環升降桿155由驅動馬達160進行的移動現在被偵測為高於雜訊閾值水準,並且步進馬達(例如,驅動馬達160)上的已知的計數可以與高度可調整的邊緣環106的運動相關聯。
在操作326處,校準模式320包括基於由環升降桿155中的每個行進的距離的差值(亦即,完全地縮回和偏移;或環升降桿155恰好觸及環時的位置)而以新的偏移使所有驅動馬達160重歸位到新的零位置。例如,對於具有三個量測值(偏移)的三個感測器,例如,具有第一量測值V1 的第一感測器、具有第二量測值V2 的第二感測器以及具有第三量測值V3 的第三感測器,其中第一量測值大於第二量測值,並且第二量測值大於第三量測值(V1 >V2 >V3 ),可以計算新的偏移。可以藉由從高值V1 中減去中值V2 (V1 -V2 )來計算第一感測器的新的偏移VN1 。第二感測器的新的偏移VN2 等於舊值V2 。最後,可以藉由將中值V2 與低值V3 相加(V3 +V2 )來計算第三感測器的新的偏移VN3 。以此方式,用於調整馬達運動和桿位移的所有偏移可以使高度可調整的邊緣環106與夾緊表面165對準,亦即基本上平行。因此,在操作326中,得以達成高度可調整的邊緣環106與夾緊表面165的平面之間的平行性。
在操作328處,校準模式320包括致動所有驅動馬達160以緩慢地向上移動環升降桿155,直到複數個感測器210中的單個或第一感測器210顯示移動高於感測器210中的任何一個的雜訊閾值水準。執行操作328以確保環升降桿155接觸高度可調整的邊緣環106。
校準模式320在操作330處結束,其中計算總體偏移。在一些實施方式中,在操作330處,高度可調整的邊緣環106的主表面170的平面應當平行或基本上平行於基板支撐組件104的夾緊表面165的平面。總體偏移是高度可調整的邊緣環106的主表面170的平面在夾緊表面165(夾緊表面165適於電漿處理在基板夾緊表面165上的基板)上方的初始位置,並且驅動馬達160中的任何一個的致動將造成移動高度可調整的邊緣環106的相應的環升降桿155的移動。當操作326達成平行性時,操作330促進找到零偏移點,在此之後,高度可調整的邊緣環106恰好開始向上移動。
簡化方法304繼續到驗證模式335。在操作337處,驗證模式335包括一次一個地致動複數個驅動馬達160中的每個驅動馬達160,以驗證每個特定驅動馬達160是移動相應的環升降桿155的唯一的一個驅動馬達。若相應的環升降桿155沒有移動,則指定錯誤。由寄存了量測值的變化的感測器210來確定移動。對所有驅動馬達160重複操作337。例如,可以控制驅動馬達160中的每個以使相應的環升降桿155移動100微米,此由相應的感測器210來驗證。
在操作339處,驗證模式335包括在軟體中設置校準資訊並將值儲存在系統控制器108中。校準資訊為步進馬達設置了硬限制,以便防止損壞高度可調整的邊緣環106和/或製程腔室100。
本揭示案的各實例藉由校準和調平高度可調整的邊緣環106來顯著地減少處理腔室的停機時間。例如,與耗費數個小時直到一整天的常規的調平製程相比,高度可調整的邊緣環106的調平可以在五分鐘或更短的時間內執行。
儘管前述內容針對的是本揭示案的各實例,但是在不脫離本揭示案的基本範圍的情況下,可以設想本揭示案的其它和進一步態樣,並且本揭示案的範圍是由所附申請專利範圍確定。
100‧‧‧製程腔室 101‧‧‧腔室主體 102‧‧‧蓋 103‧‧‧電氣接地 104‧‧‧基板支撐組件 105‧‧‧基板 106‧‧‧邊緣環 107‧‧‧電感耦合電漿設備 108‧‧‧系統控制器 109‧‧‧電極 110‧‧‧偏置源 112‧‧‧匹配網路 114‧‧‧夾緊電源 116‧‧‧電漿 118‧‧‧第一線圈 120‧‧‧第二線圈 121‧‧‧RF電源 122‧‧‧匹配網路 124‧‧‧RF饋送結構 126‧‧‧功率分配器 128‧‧‧加熱器元件 130‧‧‧電源 132‧‧‧氣體面板 134‧‧‧進入埠 136‧‧‧閥 138‧‧‧真空泵 140‧‧‧中央處理單元 142‧‧‧記憶體 144‧‧‧支援電路 146‧‧‧基板升降桿 150‧‧‧升降組件 155‧‧‧環升降桿 160‧‧‧驅動馬達 165‧‧‧夾緊表面 170‧‧‧主表面 172‧‧‧感測器 200‧‧‧校準夾具 205‧‧‧透明板 210‧‧‧感測器 215‧‧‧第一表面 220‧‧‧安裝墊 225‧‧‧第二表面 230‧‧‧手柄 240‧‧‧升降桿開口 245‧‧‧對準指示器 250‧‧‧狹縫閥開口 255‧‧‧劃線 260‧‧‧直徑 265‧‧‧直徑 270‧‧‧開口 275‧‧‧運動控制器 280‧‧‧電源 285‧‧‧濾波器 290‧‧‧監視器 300‧‧‧流程圖/方法 304‧‧‧簡化方法 305‧‧‧移動模式 307‧‧‧操作 309‧‧‧操作 311‧‧‧操作 313‧‧‧操作 315‧‧‧操作 320‧‧‧校準模式 322‧‧‧操作 324‧‧‧操作 326‧‧‧操作 328‧‧‧操作 330‧‧‧操作 335‧‧‧驗證模式 337‧‧‧操作 339‧‧‧操作
為了能夠詳細地理解本揭示案的上述特徵所用方式,可以參考本揭示案的各態樣進行對上面簡要地概述的本揭示案的更特定的描述,其中一些在附圖中圖示。然而,應當注意,附圖僅圖示了本揭示案的典型的實施方式,並且因此不應當被視為對本揭示案的範圍的限制,因為本揭示案可以允許其它等效實施方式。
圖1是根據本揭示案的一個實例的製程腔室的示意性橫截面側視圖。
圖2A是用於調平高度可調整的邊緣環的校準夾具的示意性側視圖。
圖2B是沿著圖2A的線2B-2B的頂視圖,圖示圖1的製程腔室的一部分,該製程腔室的一部分中具有校準夾具。
圖3是概括利用如本文所述的校準夾具的方法的實施方式的流程圖。
為了便於理解,已經儘可能地使用相同的附圖標記指定各圖共有的相同元件。另外,一個實例中的要素可以有利地適於用於本文所述的其它實例。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
104‧‧‧基板支撐組件
106‧‧‧邊緣環
108‧‧‧系統控制器
155‧‧‧環升降桿
160‧‧‧驅動馬達
165‧‧‧夾緊表面
170‧‧‧主表面
200‧‧‧校準夾具
205‧‧‧透明板
210‧‧‧感測器
215‧‧‧第一表面
220‧‧‧安裝墊
225‧‧‧第二表面
230‧‧‧手柄
240‧‧‧升降桿開口
270‧‧‧開口
275‧‧‧運動控制器
280‧‧‧電源
285‧‧‧濾波器
290‧‧‧監視器

Claims (20)

  1. 一種用於相對於一參考表面定位一邊緣環的校準夾具,該校準夾具包括: 一透明板; 複數個感測器,該複數個感測器耦接到該透明板的一第一側;以及 複數個接觸墊,該複數個接觸墊耦接到該透明板的一相對的第二側。
  2. 如請求項1所述的校準夾具,其中該透明板包括形成為穿過該透明板的複數個開口,並且形成在該透明板中的該複數個開口中的每個開口與形成在該參考表面中的一相應的開口對準。
  3. 如請求項1所述的校準夾具,其中該透明板進一步包括一對準指示器。
  4. 如請求項3所述的校準夾具,其中該對準指示器包括形成為穿過該對準指示器的複數個開口,並且形成在該透明板中的該複數個開口中的每個開口與形成在該參考表面中的一相應的開口對準。
  5. 如請求項1所述的校準夾具,其中該透明板包括一劃線。
  6. 如請求項5所述的校準夾具,其中該複數個感測器中的每個感測器定位在該劃線的徑向外側。
  7. 如請求項5所述的校準夾具,其中該透明板包括比該劃線的一直徑更大的一直徑。
  8. 如請求項1所述的校準夾具,其中該透明板包括一手柄。
  9. 如請求項1所述的校準夾具,其中該透明板包括一對準特徵,該對準特徵包括一對準指示器和一劃線中的一個或它們兩者的一組合。
  10. 一種用於相對於一參考表面定位一邊緣環的校準夾具,該校準夾具包括: 一透明板,該透明板包括形成為穿過該透明板的複數個開口; 複數個感測器,該複數個感測器耦接到該透明板的一第一側;以及 複數個接觸墊,該複數個接觸墊耦接到該透明板的一相對的第二側,其中該透明板包括形成為穿過該透明板的複數個開口,並且形成在該透明板中的該複數個開口中的每個開口與形成在該參考表面中的一相應的開口對準。
  11. 如請求項10所述的校準夾具,其中該透明板包括一對準特徵,該對準特徵包括一對準指示器。
  12. 如請求項10所述的校準夾具,其中該透明板包括一對準特徵,該對準特徵包括一劃線。
  13. 如請求項12所述的校準夾具,其中該複數個感測器中的每個感測器定位在該劃線的徑向外側。
  14. 如請求項12所述的校準夾具,其中該透明板包括比該劃線的一直徑更大的一直徑。
  15. 如請求項10所述的校準夾具,其中該透明板包括一對手柄。
  16. 一種用於相對於一參考表面調平一邊緣環的方法,該方法包括以下步驟: 相對於該參考表面定位一校準夾具; 使用複數個環升降桿來相對於該參考表面移動該邊緣環,該複數個環升降桿各自耦接到相對於該邊緣環定位在三個位置處的一專用驅動馬達; 使用耦接到該校準夾具的感測器來感測該邊緣環的移動,每個感測器對應於一相應的環升降桿的一位置; 計算一偏移,該偏移包括該等環升降桿中的每個環升降桿之間的一移動量的一差值;以及 將一校準值儲存在一系統控制器中。
  17. 如請求項16所述的方法,其中該移動之步驟包括以下步驟:致動該驅動馬達以移動耦接到該邊緣環的一相應的升降桿。
  18. 如請求項17所述的方法,其中該移動之步驟進一步包括以下步驟:向上移動該升降桿。
  19. 如請求項18所述的方法,其中該移動之步驟進一步包括以下步驟:將該升降桿移動到一極限向下位置。
  20. 如請求項16所述的方法,其中該移動之步驟進一步包括以下步驟:單獨地致動該驅動馬達中的每個驅動馬達以驗證每個驅動馬達的操作,並且其中該感測之步驟進一步包括以下步驟:感測該驅動馬達的一電流或一電壓。
TW108120460A 2018-06-14 2019-06-13 校準夾具和校準方法 TWI738004B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862685004P 2018-06-14 2018-06-14
US62/685,004 2018-06-14

Publications (2)

Publication Number Publication Date
TW202002150A true TW202002150A (zh) 2020-01-01
TWI738004B TWI738004B (zh) 2021-09-01

Family

ID=67668020

Family Applications (2)

Application Number Title Priority Date Filing Date
TW108120460A TWI738004B (zh) 2018-06-14 2019-06-13 校準夾具和校準方法
TW108207506U TWM587362U (zh) 2018-06-14 2019-06-13 校準夾具

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW108207506U TWM587362U (zh) 2018-06-14 2019-06-13 校準夾具

Country Status (5)

Country Link
US (2) US11935773B2 (zh)
JP (2) JP3222783U (zh)
KR (1) KR102267396B1 (zh)
CN (2) CN209747490U (zh)
TW (2) TWI738004B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11057972B1 (en) * 2020-04-01 2021-07-06 Infineon Technologies Ag Controlling LED intensity based on a detected photocurrent value

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108369922B (zh) 2016-01-26 2023-03-21 应用材料公司 晶片边缘环升降解决方案
US11201037B2 (en) 2018-05-28 2021-12-14 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
US11393663B2 (en) * 2019-02-25 2022-07-19 Tokyo Electron Limited Methods and systems for focus ring thickness determinations and feedback control
KR20220094272A (ko) * 2020-12-28 2022-07-06 세메스 주식회사 기판 처리 장치

Family Cites Families (139)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0320898Y2 (zh) * 1984-11-08 1991-05-07
US5267607A (en) 1991-05-28 1993-12-07 Tokyo Electron Limited Substrate processing apparatus
JP2638443B2 (ja) 1993-08-31 1997-08-06 日本電気株式会社 ドライエッチング方法およびドライエッチング装置
US5730801A (en) 1994-08-23 1998-03-24 Applied Materials, Inc. Compartnetalized substrate processing chamber
US5762714A (en) 1994-10-18 1998-06-09 Applied Materials, Inc. Plasma guard for chamber equipped with electrostatic chuck
JP3020898U (ja) * 1995-07-24 1996-02-06 株式会社テレニクス 光学アライメント装置
JP2713276B2 (ja) 1995-12-07 1998-02-16 日本電気株式会社 半導体装置の製造装置およびこれを用いた半導体装置の製造方法
US5885428A (en) 1996-12-04 1999-03-23 Applied Materials, Inc. Method and apparatus for both mechanically and electrostatically clamping a wafer to a pedestal within a semiconductor wafer processing system
US5851140A (en) 1997-02-13 1998-12-22 Integrated Process Equipment Corp. Semiconductor wafer polishing apparatus with a flexible carrier plate
US5942078A (en) * 1997-07-17 1999-08-24 Mcms, Inc. Apparatus for calibrating surface mounting processes in printed circuit board assembly manufacturing
JP3020898B2 (ja) 1997-07-22 2000-03-15 株式会社エイ・ティ・アール人間情報通信研究所 アフィンカメラ補正による三次元位置の線形推定方法
JPH1197656A (ja) * 1997-09-22 1999-04-09 Fuji Electric Co Ltd 半導体光センサデバイス
DE59812627D1 (de) 1997-12-23 2005-04-07 Unaxis Balzers Ag Haltevorrichtung
US6773562B1 (en) 1998-02-20 2004-08-10 Applied Materials, Inc. Shadow frame for substrate processing
JP2000049144A (ja) 1998-07-28 2000-02-18 Hitachi Chem Co Ltd プラズマ処理装置用電極板
JP3234576B2 (ja) 1998-10-30 2001-12-04 アプライド マテリアルズ インコーポレイテッド 半導体製造装置におけるウェハ支持装置
US6022809A (en) 1998-12-03 2000-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Composite shadow ring for an etch chamber and method of using
US6709547B1 (en) 1999-06-30 2004-03-23 Lam Research Corporation Moveable barrier for multiple etch processes
US6206976B1 (en) 1999-08-27 2001-03-27 Lucent Technologies Inc. Deposition apparatus and related method with controllable edge exclusion
US6375748B1 (en) 1999-09-01 2002-04-23 Applied Materials, Inc. Method and apparatus for preventing edge deposition
US6589352B1 (en) 1999-12-10 2003-07-08 Applied Materials, Inc. Self aligning non contact shadow ring process kit
JP2001230239A (ja) 2000-02-15 2001-08-24 Tokyo Electron Ltd 処理装置及び処理方法
TW506234B (en) 2000-09-18 2002-10-11 Tokyo Electron Ltd Tunable focus ring for plasma processing
US6391787B1 (en) 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
JP3388228B2 (ja) * 2000-12-07 2003-03-17 株式会社半導体先端テクノロジーズ プラズマエッチング装置、及びプラズマエッチング方法
US7138014B2 (en) 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
US6744212B2 (en) 2002-02-14 2004-06-01 Lam Research Corporation Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions
US6776849B2 (en) 2002-03-15 2004-08-17 Asm America, Inc. Wafer holder with peripheral lift ring
US6896765B2 (en) 2002-09-18 2005-05-24 Lam Research Corporation Method and apparatus for the compensation of edge ring wear in a plasma processing chamber
US7252738B2 (en) 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
US7311784B2 (en) 2002-11-26 2007-12-25 Tokyo Electron Limited Plasma processing device
US6898558B2 (en) 2002-12-31 2005-05-24 Tokyo Electron Limited Method and apparatus for monitoring a material processing system
US20040261946A1 (en) 2003-04-24 2004-12-30 Tokyo Electron Limited Plasma processing apparatus, focus ring, and susceptor
TW200520632A (en) 2003-09-05 2005-06-16 Tokyo Electron Ltd Focus ring and plasma processing apparatus
KR100578129B1 (ko) 2003-09-19 2006-05-10 삼성전자주식회사 플라즈마 식각 장치
US7244336B2 (en) 2003-12-17 2007-07-17 Lam Research Corporation Temperature controlled hot edge ring assembly for reducing plasma reactor etch rate drift
US7338578B2 (en) 2004-01-20 2008-03-04 Taiwan Semiconductor Manufacturing Co., Ltd. Step edge insert ring for etch chamber
US20050189068A1 (en) 2004-02-27 2005-09-01 Kawasaki Microelectronics, Inc. Plasma processing apparatus and method of plasma processing
US20050263070A1 (en) 2004-05-25 2005-12-01 Tokyo Electron Limited Pressure control and plasma confinement in a plasma processing chamber
US7075323B2 (en) * 2004-07-29 2006-07-11 Applied Materials, Inc. Large substrate test system
US7138067B2 (en) 2004-09-27 2006-11-21 Lam Research Corporation Methods and apparatus for tuning a set of plasma processing steps
JP4006004B2 (ja) 2004-12-28 2007-11-14 株式会社東芝 半導体製造装置及び半導体装置の製造方法
KR20060117537A (ko) 2005-05-11 2006-11-17 삼성전자주식회사 리프트 핀 높이 정렬용 지그 및 이를 이용한 리프트 핀높이 정렬 방법
KR100964775B1 (ko) 2005-10-12 2010-06-21 파나소닉 주식회사 플라즈마 처리장치 및 플라즈마 처리방법
US7846257B2 (en) 2005-12-14 2010-12-07 Tokyo Electron Limited Method for cleaning substrate processing apparatus, substrate processing apparatus, program and recording medium having program recorded therein
KR20080015466A (ko) 2006-01-31 2008-02-19 동경 엘렉트론 주식회사 기판 처리 장치 및 그것에 이용되는 기판 탑재대,플라즈마에 노출되는 부재
US7572737B1 (en) 2006-06-30 2009-08-11 Lam Research Corporation Apparatus and methods for adjusting an edge ring potential substrate processing
JP2008078208A (ja) 2006-09-19 2008-04-03 Tokyo Electron Ltd フォーカスリング及びプラズマ処理装置
US20080066868A1 (en) 2006-09-19 2008-03-20 Tokyo Electron Limited Focus ring and plasma processing apparatus
US7589950B2 (en) 2006-10-13 2009-09-15 Applied Materials, Inc. Detachable electrostatic chuck having sealing assembly
CN101583736A (zh) 2007-01-19 2009-11-18 应用材料股份有限公司 浸没式等离子体室
US7968469B2 (en) 2007-01-30 2011-06-28 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with variable height ground return path to control plasma ion density uniformity
JP5317424B2 (ja) 2007-03-28 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置
US20080289766A1 (en) 2007-05-22 2008-11-27 Samsung Austin Semiconductor Lp Hot edge ring apparatus and method for increased etch rate uniformity and reduced polymer buildup
KR100963297B1 (ko) 2007-09-04 2010-06-11 주식회사 유진테크 샤워헤드 및 이를 포함하는 기판처리장치, 샤워헤드를이용하여 플라스마를 공급하는 방법
US8343305B2 (en) 2007-09-04 2013-01-01 Lam Research Corporation Method and apparatus for diagnosing status of parts in real time in plasma processing equipment
US7824146B2 (en) 2007-09-07 2010-11-02 Advanced Technology Development Facility Automated systems and methods for adapting semiconductor fabrication tools to process wafers of different diameters
US8999106B2 (en) 2007-12-19 2015-04-07 Applied Materials, Inc. Apparatus and method for controlling edge performance in an inductively coupled plasma chamber
US20090260982A1 (en) 2008-04-16 2009-10-22 Applied Materials, Inc. Wafer processing deposition shielding components
US8398777B2 (en) 2008-05-02 2013-03-19 Applied Materials, Inc. System and method for pedestal adjustment
US20100101729A1 (en) 2008-10-28 2010-04-29 Applied Materials, Inc. Process kit having reduced erosion sensitivity
JP5406067B2 (ja) 2009-02-16 2014-02-05 キヤノンアネルバ株式会社 トレイ及び真空処理装置
US9435029B2 (en) 2010-08-29 2016-09-06 Advanced Energy Industries, Inc. Wafer chucking system for advanced plasma ion energy processing systems
US20110011534A1 (en) 2009-07-17 2011-01-20 Rajinder Dhindsa Apparatus for adjusting an edge ring potential during substrate processing
JP5650935B2 (ja) 2009-08-07 2015-01-07 東京エレクトロン株式会社 基板処理装置及び位置決め方法並びにフォーカスリング配置方法
US8409995B2 (en) 2009-08-07 2013-04-02 Tokyo Electron Limited Substrate processing apparatus, positioning method and focus ring installation method
CN102550130A (zh) 2009-08-31 2012-07-04 朗姆研究公司 用于执行等离子体约束的多外围环装置
US8270141B2 (en) 2009-11-20 2012-09-18 Applied Materials, Inc. Electrostatic chuck with reduced arcing
JP5584517B2 (ja) 2010-05-12 2014-09-03 東京エレクトロン株式会社 プラズマ処理装置及び半導体装置の製造方法
JP5654297B2 (ja) 2010-09-14 2015-01-14 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US8633423B2 (en) 2010-10-14 2014-01-21 Applied Materials, Inc. Methods and apparatus for controlling substrate temperature in a process chamber
JP5690596B2 (ja) 2011-01-07 2015-03-25 東京エレクトロン株式会社 フォーカスリング及び該フォーカスリングを備える基板処理装置
JP6001529B2 (ja) 2011-03-29 2016-10-05 東京エレクトロン株式会社 プラズマエッチング装置及びプラズマエッチング方法
KR101744668B1 (ko) 2011-05-31 2017-06-08 어플라이드 머티어리얼스, 인코포레이티드 유도성 커플링된 플라즈마(icp) 반응기를 위한 동적인 이온 라디칼 시브 및 이온 라디칼 개구
JP5948026B2 (ja) 2011-08-17 2016-07-06 東京エレクトロン株式会社 半導体製造装置及び処理方法
KR101267459B1 (ko) 2011-09-08 2013-05-31 한국과학기술연구원 플라즈마 이온주입 장치 및 방법
US8933628B2 (en) 2011-10-28 2015-01-13 Applied Materials, Inc. Inductively coupled plasma source with phase control
US8988848B2 (en) 2011-12-15 2015-03-24 Applied Materials, Inc. Extended and independent RF powered cathode substrate for extreme edge tunability
US9287147B2 (en) 2013-03-14 2016-03-15 Applied Materials, Inc. Substrate support with advanced edge control provisions
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US9123661B2 (en) 2013-08-07 2015-09-01 Lam Research Corporation Silicon containing confinement ring for plasma processing apparatus and method of forming thereof
CN105493262B (zh) 2013-08-30 2019-02-15 应用材料公司 基板支撑系统
JP2015050156A (ja) 2013-09-04 2015-03-16 東京エレクトロン株式会社 基板載置台及びプラズマ処理装置
WO2015099892A1 (en) 2013-12-23 2015-07-02 Applied Materials, Inc. Extreme edge and skew control in icp plasma reactor
US9410249B2 (en) 2014-05-15 2016-08-09 Infineon Technologies Ag Wafer releasing
CN105336561B (zh) 2014-07-18 2017-07-21 中微半导体设备(上海)有限公司 等离子体刻蚀装置
JP6345030B2 (ja) 2014-08-11 2018-06-20 東京エレクトロン株式会社 プラズマ処理装置及びフォーカスリング
KR20160022458A (ko) 2014-08-19 2016-03-02 삼성전자주식회사 플라즈마 장비 및 이의 동작 방법
JP6383647B2 (ja) * 2014-11-19 2018-08-29 東京エレクトロン株式会社 測定システムおよび測定方法
CN105789010B (zh) 2014-12-24 2017-11-10 中微半导体设备(上海)有限公司 等离子体处理装置及等离子体分布的调节方法
US20170263478A1 (en) 2015-01-16 2017-09-14 Lam Research Corporation Detection System for Tunable/Replaceable Edge Coupling Ring
US11605546B2 (en) 2015-01-16 2023-03-14 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US10658222B2 (en) 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
JP6456177B2 (ja) 2015-02-12 2019-01-23 株式会社ディスコ ウェーハ処理システム
US10163610B2 (en) 2015-07-13 2018-12-25 Lam Research Corporation Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
US9761459B2 (en) 2015-08-05 2017-09-12 Lam Research Corporation Systems and methods for reverse pulsing
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
US9761414B2 (en) 2015-10-08 2017-09-12 Lam Research Corporation Uniformity control circuit for use within an impedance matching circuit
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
CN108140606B (zh) 2015-10-21 2022-05-24 住友大阪水泥股份有限公司 静电卡盘装置
US9881820B2 (en) 2015-10-22 2018-01-30 Lam Research Corporation Front opening ring pod
US10062599B2 (en) 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
US10124492B2 (en) 2015-10-22 2018-11-13 Lam Research Corporation Automated replacement of consumable parts using end effectors interfacing with plasma processing system
US20170115657A1 (en) 2015-10-22 2017-04-27 Lam Research Corporation Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
US10985078B2 (en) 2015-11-06 2021-04-20 Lam Research Corporation Sensor and adjuster for a consumable
US9601319B1 (en) 2016-01-07 2017-03-21 Lam Research Corporation Systems and methods for eliminating flourine residue in a substrate processing chamber using a plasma-based process
CN108369922B (zh) 2016-01-26 2023-03-21 应用材料公司 晶片边缘环升降解决方案
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US9966231B2 (en) 2016-02-29 2018-05-08 Lam Research Corporation Direct current pulsing plasma systems
US20170278679A1 (en) 2016-03-24 2017-09-28 Lam Research Corporation Method and apparatus for controlling process within wafer uniformity
US11011353B2 (en) 2016-03-29 2021-05-18 Lam Research Corporation Systems and methods for performing edge ring characterization
US10312121B2 (en) 2016-03-29 2019-06-04 Lam Research Corporation Systems and methods for aligning measurement device in substrate processing systems
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
JP6635888B2 (ja) 2016-07-14 2020-01-29 東京エレクトロン株式会社 プラズマ処理システム
US10921251B2 (en) 2016-08-22 2021-02-16 Applied Materials, Inc. Chamber component part wear indicator and a system for detecting part wear
US20180061696A1 (en) 2016-08-23 2018-03-01 Applied Materials, Inc. Edge ring or process kit for semiconductor process module
JP2018054500A (ja) 2016-09-29 2018-04-05 東京エレクトロン株式会社 位置検出システム及び処理装置
US10541168B2 (en) * 2016-11-14 2020-01-21 Lam Research Corporation Edge ring centering method using ring dynamic alignment data
JP6812224B2 (ja) 2016-12-08 2021-01-13 東京エレクトロン株式会社 基板処理装置及び載置台
US9947517B1 (en) 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
JP6869034B2 (ja) 2017-01-17 2021-05-12 東京エレクトロン株式会社 プラズマ処理装置
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
JP6812264B2 (ja) 2017-02-16 2021-01-13 東京エレクトロン株式会社 真空処理装置、及びメンテナンス装置
US11404249B2 (en) 2017-03-22 2022-08-02 Tokyo Electron Limited Substrate processing apparatus
JP6656200B2 (ja) 2017-04-12 2020-03-04 東京エレクトロン株式会社 位置検出システム及び処理装置
KR102535916B1 (ko) 2017-04-26 2023-05-23 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
JP7326275B2 (ja) 2017-12-01 2023-08-15 アプライド マテリアルズ インコーポレイテッド エッチング選択性の高いアモルファスカーボン膜
JP7033441B2 (ja) 2017-12-01 2022-03-10 東京エレクトロン株式会社 プラズマ処理装置
US11043400B2 (en) 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
JP7055054B2 (ja) 2018-04-11 2022-04-15 東京エレクトロン株式会社 プラズマ処理装置、プラズマ制御方法、及びプラズマ制御プログラム
JP6995008B2 (ja) 2018-04-27 2022-01-14 東京エレクトロン株式会社 基板処理装置
US11201037B2 (en) 2018-05-28 2021-12-14 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US11935773B2 (en) * 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
US11011351B2 (en) 2018-07-13 2021-05-18 Lam Research Corporation Monoenergetic ion generation for controlled etch

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11057972B1 (en) * 2020-04-01 2021-07-06 Infineon Technologies Ag Controlling LED intensity based on a detected photocurrent value

Also Published As

Publication number Publication date
TWI738004B (zh) 2021-09-01
JP6820972B2 (ja) 2021-01-27
KR102267396B1 (ko) 2021-06-18
US20190385880A1 (en) 2019-12-19
TWM587362U (zh) 2019-12-01
KR20190141603A (ko) 2019-12-24
CN209747490U (zh) 2019-12-06
JP3222783U (ja) 2019-08-22
US20240178035A1 (en) 2024-05-30
US11935773B2 (en) 2024-03-19
CN110610890A (zh) 2019-12-24
JP2019220691A (ja) 2019-12-26

Similar Documents

Publication Publication Date Title
TWI738004B (zh) 校準夾具和校準方法
US9428833B1 (en) Method and apparatus for backside deposition reduction by control of wafer support to achieve edge seal
TWI793180B (zh) 電漿處理裝置、聚焦環之升降控制方法及聚焦環之升降控制程式
CN110364411A (zh) 等离子体处理装置、等离子体控制方法和存储介质
KR20080076985A (ko) 정전 척의 타겟 메사 구성의 결정 방법
TW201834128A (zh) 使用環動態對準資料之邊緣環定心方法
KR100685216B1 (ko) 기판 처리 장치 및 기판 처리 방법
JP4462860B2 (ja) プラズマ処理装置
KR20220092161A (ko) 기판 처리장치
TWI258831B (en) Cassette and workpiece handler characterization tool
KR102269342B1 (ko) 기판 처리 장치 및 기판 처리 방법
KR20230069218A (ko) 기판 지지 조립체의 레벨 모니터링 및 능동 조정
KR102586622B1 (ko) 기판 처리 장치, 기판 처리 방법 및 기억 매체
KR20200089616A (ko) 플라즈마 처리 장치, 및 링 부재의 위치 어긋남 측정 방법
US11460290B2 (en) Measuring method and semiconductor structure forming method
KR102466924B1 (ko) 디스플레이 cvd 챔버의 서셉터의 평탄도의 측정
TWI756836B (zh) 量測方法和半導體結構的形成方法
TW202314778A (zh) 用於決定環在處理套件內的位置的方法和裝置
US20210087689A1 (en) Apparatus and Methods for Motor Shaft and Heater Leveling
KR102444121B1 (ko) 웨이퍼 처리 장치 및 이의 처리방법
WO2012148906A2 (en) Methods and apparatus to determine parameters in metal-containing films
KR101664110B1 (ko) 베어 웨이퍼의 연마 장치
TW202331869A (zh) 基板搬送位置之偏移量檢測方法及基板處理裝置
TW202307908A (zh) 聚焦環對準測量裝置、系統、方法及等離子體處理裝置
TW202303674A (zh) 基板處理裝置及基板搬送位置調整方法