CN209747490U - 校准夹具 - Google Patents

校准夹具 Download PDF

Info

Publication number
CN209747490U
CN209747490U CN201920891320.9U CN201920891320U CN209747490U CN 209747490 U CN209747490 U CN 209747490U CN 201920891320 U CN201920891320 U CN 201920891320U CN 209747490 U CN209747490 U CN 209747490U
Authority
CN
China
Prior art keywords
transparent plate
calibration
edge ring
calibration jig
ring
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201920891320.9U
Other languages
English (en)
Inventor
A·迈尔斯
D·M·库索
P·村冈
P·A·克里米诺儿
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of CN209747490U publication Critical patent/CN209747490U/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/3288Maintenance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32908Utilities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

本文描述了用于校准高度可调整的边缘环的设备。在一个示例中,提供一种用于相对于参考表面定位边缘环的校准夹具,所述校准夹具包括:透明板;多个传感器,所述多个传感器耦接到所述透明板的第一侧;以及多个接触垫,所述多个接触垫耦接到所述透明板的相对的第二侧。

Description

校准夹具
技术领域
本公开的各示例整体涉及用于处理诸如半导体基板之类的基板的设备和方法。更具体地,公开了一种校准夹具及其使用方法。
背景技术
在基板(诸如半导体基板和显示面板)的处理中,基板被放置在工艺腔室中的支撑件上,同时在工艺腔室中维持合适的工艺条件以在基板的表面上沉积、蚀刻、形成层或以其它方式处理基板的表面。在蚀刻工艺期间,驱动蚀刻工艺的等离子体可能不均匀地分布在基板表面上。不均匀性在基板表面的边缘处特别明显。这样的不均匀性产生不良处理结果。因此,一些工艺腔室使用边缘环,边缘环也可以被称为工艺配件环。这些边缘环可用于使等离子体鞘层在基板表面的边缘上方延伸,以便增加等离子体均匀性并提高工艺良率。
这些边缘环典型地与在腔室内的特定参考表面共面或平行,以便提供良好结果。然而,在处理期间,边缘环随时间而腐蚀。为了考虑到腐蚀,一些边缘环可相对于在工艺腔室内的参考表面移动。最终,边缘环腐蚀到需要更换的程度。然而,在边缘环中的紧密公差可能导致结合,从而造成边缘环在安装期间相对于参考表面错位。为了校正在安装期间的这种错位,边缘环相对于参考表面重定位,这常规地是耗时的。
因此,本领域中需要减少在边缘环更换期间的停机时间的方法和设备。
实用新型内容
本文描述用于校准高度可调整的边缘环的设备和方法。在一个示例中,提供一种用于相对于参考表面定位边缘环的校准夹具,所述校准夹具包括:透明板;多个传感器,所述多个传感器耦接到所述透明板的第一侧;以及多个接触垫,所述多个接触垫耦接到所述透明板的相对的第二侧。
在另一个示例中,提供一种用于相对于参考表面定位边缘环的校准夹具,所述校准夹具包括:透明板,所述透明板包括形成为穿过所述透明板的多个开口;多个传感器,所述多个传感器耦接到所述透明板的第一侧;以及多个接触垫,所述多个接触垫耦接到所述透明板的相对的第二侧。
在另一个示例中,提供一种用于相对于参考表面调平边缘环的方法,所述方法包括:相对于所述参考表面定位校准夹具;使用在三个轴线处耦接到所述边缘环的多个驱动电机来相对于所述参考表面移动所述边缘环;使用耦接到所述校准夹具的传感器来感测所述边缘环的移动,每个传感器对应于相应的驱动电机的位置;计算所有轴线之间的偏移;以及将校准值存储在系统控制器中。
附图说明
为了能够详细地理解本公开的上述特征所用方式,可以参考本公开的各方面进行对上面简要地概述的本公开的更特定的描述,其中一些在附图中示出。然而,应当注意,附图仅示出了本公开的典型的实施方式,并且因此不应当被视为对本公开的范围的限制,因为本公开可以允许其它等效实施方式。
图1是根据本公开的一个示例的工艺腔室的示意性横截面侧视图。
图2A是用于调平高度可调整的边缘环的校准夹具的示意性侧视图。
图2B是沿着图2A的线2B-2B的顶视图,示出图1的工艺腔室的一部分,所述工艺腔室的一部分中具有校准夹具。
图3是概括利用如本文所述的校准夹具的方法的实施方式的流程图。
为了便于理解,已经尽可能地使用相同的附图标记指定各图共有的相同元件。另外,一个示例中的要素可以有利地适于用于本文所述的其它示例。
具体实施方式
本文描述用于校准高度可调整的边缘环的设备和方法。在一个示例中,提供一种用于相对于参考表面定位边缘环的校准夹具,所述校准夹具包括:透明板;多个传感器,所述多个传感器耦接到所述透明板的第一侧;以及多个接触垫,所述多个接触垫耦接到所述透明板的相对的第二侧。如本文所公开的校准夹具显著地减少在工艺腔室中安装边缘环时的停机时间。
图1是根据本公开的一个示例的工艺腔室100的示意性截面图。工艺腔室100包括腔室主体101和设置在其上的盖102,它们两者一起限定内部容积。腔室主体101典型地耦接到电气接地103。基板支撑组件104设置在内部容积内,以在处理期间将基板105支撑在基板支撑组件104上。高度可调整的边缘环106围绕在基板支撑组件104上的基板105的周边定位。工艺腔室100还包括电感耦合等离子体设备107和系统控制器108,电感耦合等离子体设备107用于在工艺腔室100内产生反应物质的等离子体,系统控制器108适于控制工艺腔室100的系统和子系统。
基板支撑组件104包括一个或多个电极109,一个或多个电极109经由匹配网络112耦接到偏置源110,以促进在处理期间偏置基板105。偏置源110可以说明性地为在例如约13.56MHz的频率下高达约1000W(但是不限于约1000W)的RF能量的源,但是可以根据需要针对特定应用提供其它频率和功率。偏置源110可以是能够产生连续或脉冲功率中的任一个或两者的。在一些示例中,偏置源110可以是DC或脉冲DC源。在一些示例中,偏置源110可以是能够提供多个频率的。一个或多个电极109可以耦接到夹紧电源114,以促进在处理期间夹紧基板105。
电感耦合等离子体设备107设置在盖102上方,并且被配置为将RF功率电感耦合到工艺腔室100中,以在工艺腔室100内产生等离子体116。电感耦合等离子体设备107包括设置在盖102上方的第一线圈118和第二线圈120。每个线圈118、120的相对位置、直径比和/或每个线圈118、120中的匝数可以根据需要进行调整,以控制正在形成的等离子体116的分布或密度。第一线圈118和第二线圈120中的每个经由RF馈送结构124通过匹配网络122耦接到RF电源121。RF电源121可以说明性地能够在范围为从50kHz至13.56MHz的可调谐的频率下产生高达约4000W(但是不限约于4000W),但是可以根据需要针对特定应用利用其它频率和功率。
在一些示例中,可以在RF馈送结构124与RF电源121之间提供功率分配器126(诸如分压电容器),以控制提供到相应的第一线圈和第二线圈的RF功率的相对量。在一些示例中,功率分配器126可以结合到匹配网络122中。
加热器元件128可以设置在盖102上,以促进加热工艺腔室100的内部。加热器元件128可设置在盖102与第一线圈118和第二线圈120之间。在一些示例中,加热器元件128可以包括电阻加热元件,并且可以耦接到电源130(诸如AC电源),电源130被配置为提供足够的能量以将加热器元件128的温度控制在所期望的范围内。
在操作期间,基板105(诸如半导体晶片或适于等离子体处理的其它基板)被放置在基板支撑组件104上。基板升降杆146可移动地设置在基板支撑组件104中,以帮助将基板105传送到基板支撑组件104上。在基板105定位之后,工艺气体从气体面板132通过进入端口134供应到腔室主体101的内部容积中。通过从RF电源121向第一线圈118和第二线圈120施加功率,工艺气体在工艺腔室100中被点燃成等离子体116。在一些示例中,来自诸如RF或DC源之类的偏置源110的功率也可以通过匹配网络112提供到在基板支撑组件104内的电极109。在工艺腔室100的内部内的压力可以使用阀136和真空泵138进行控制。腔室主体101的温度可以使用延行穿过腔室主体101的容纳流体的导管(未示出)进行控制。
工艺腔室100包括系统控制器108,以控制在处理期间的工艺腔室100的操作。系统控制器108包括中央处理单元(CPU)140、存储器142和用于CPU 140的支持电路144,并且促进控制工艺腔室100的部件。系统控制器108可以是可在工业环境中使用以控制各种腔室和子处理器的任何形式的通用计算机处理器中的一种。存储器142存储软件(源或目标代码),所述软件可以被执行或调用从而以本文所述的方式来控制工艺腔室100的操作。
基板支撑组件104包括可相对于基板105移动的高度可调整的边缘环106。为了促进这样的移动,基板支撑组件104包括升降组件150,升降组件150包括耦接到相应的驱动电机160(在图1的视图中仅示出了两个)的至少三个环升降杆155。每个驱动电机160专用于一个环升降杆155,以便独立地提升或降低每个环升降杆155。环升降杆155的提升和降低使高度可调整的边缘环106相对于基板支撑组件104的夹紧表面165竖直地移动、以及使高度可调整的边缘环106相对于基板105竖直地移动。在处理期间移动高度可调整的边缘环106调谐等离子体116,这样可以增强工艺结果(例如,蚀刻均匀性和/或膜沉积均匀性)。高度可调整的边缘环106的移动可以使用与环升降杆155中的每个相邻地设置的传感器172进行测量。传感器172中的每个可以是编码器、位移传感器或其它类型的运动传感器。驱动电机160中的每个可以是步进电机、伺服电机、压电电机或能够提升和降低环升降杆155的其它类型的致动器。
然而,在处理期间,高度可调整的边缘环106的主表面170的平面应当平行或基本上平行于基板105的主表面的平面和/或基板支撑组件104的夹紧表面165的平面。高度可调整的边缘环106的主表面170的平面垂直于边缘环106的中心轴线。在一些工艺中,高度可调整的边缘环106可以基本上与基板105的主表面共面。如果高度可调整的边缘环106的主表面170不是基本上平行于基板支撑组件104的夹紧表面165,那么等离子体116可能是不均匀的。短语“基本上平行的”意指相对于参考基准平面(其可以是基板支撑组件104的夹紧表面165的平面)成小于0.03度,例如,小于约0.02度。此外,在高度可调整的边缘环106的任何移动期间,如果驱动电机160中的任何一个相对于其它驱动电机160中的一个显著地缺乏校准,那么高度可调整的边缘环106结合。
当安装高度可调整的边缘环106时,重要的是使高度可调整的边缘环106调平(例如,将高度可调整的边缘环106定位成与参考表面平行或基本上平行)。常规地,调平过程可能需要数个小时直到约一天,这是既耗时又昂贵的。然而,使用如本文所述的校准夹具,调平过程可耗费不到5分钟。
图2A是用于调平高度可调整的边缘环106的校准夹具200的示意性侧视图。图2B是沿着图2A的线2B-2B的顶视图,示出图1的工艺腔室100的一部分,所述工艺腔室100的一部分中具有校准夹具200。
校准夹具200包括透明板205,透明板205具有安装在透明板205的第一表面215上的至少三个传感器210。传感器210中的每个可以是光学或非接触位移传感器(诸如电容换能器或电容位移传感器)、激光位移换能器、电感位置传感器或测量线性位移的任何其它类型的非接触传感器。或者,传感器210中的每个可以是接触位移传感器,诸如线性可变差动变压器或其它合适的测量装置。
安装垫220定位在透明板205的相对的第二表面225上。透明板205可以由玻璃、透明塑料(诸如丙烯酸片)、石英或其它光学透明材料制成,使得传感器210可以透过透明板205查看高度可调整的边缘环106的主表面170。安装垫220被配置为接触基板支撑组件104的夹紧表面165的特定位置,并且用于将透明板205间隔在基板支撑组件104的夹紧表面165上方的特定距离或高度处。一个或多个手柄230耦接到透明板205的第一表面215,以帮助操纵校准夹具200。在图2A的基板支撑组件104中还示出了升降杆开口240,升降杆开口240中的每个升降杆开口的大小适于接纳图1中所示的基板升降杆146中的一个基本升降杆。
对准指示器245被包括在透明板205上,以帮助正确地对准腔室主体101内的校准夹具200。对准指示器245可以是文本、数字、方向箭头、其它标记或以上项的组合。对准指示器245用于在安装校准夹具200时告知人员校准夹具200相对于腔室主体101的特征(诸如腔室主体101的狭缝阀开口250)的正确定位。校准夹具200的正确定位也得到划线255帮助,划线255具有等于基板支撑组件104的夹紧表面165的直径260的直径。基板支撑组件104的夹紧表面165的直径260小于透明板205的直径265。另外,校准夹具200的正确定位也得到穿过透明板205形成的开口270帮助。透明板205的开口270被配置为与形成在基板支撑组件104中的升降杆开口240对准。
校准夹具200相对于腔室主体101的正确定位用于进行若干功能。一个功能包括相对于特定驱动电机160定位传感器210中的每个传感器。例如,当传感器210被激活并且校准夹具200在操作中时,来自传感器210中的一个传感器的反馈用于响应于最靠近传感器210的驱动电机160中的相应的一个驱动电机的操作而确认边缘环106的运动。以这样的方式,传感器210提供关于由传感器172提供的信息的准确性的反馈,由此使得电机160能够在夹具200移除后精确地定位边缘环106。校准夹具200的正确定位还确保安装垫220定位在基板支撑组件104的夹紧表面165上,使得通过安装垫220避开形成在基板支撑组件104的夹紧表面165上的任何结构。另外,透明板205的开口270相对于升降杆开口240进行定位。像这样来定位开口270允许基板升降杆146延伸通过开口270,以防基板升降杆146在校准高度可调整的边缘环106期间被意外地致动。
再次参考图2A,校准夹具200直接布线到系统控制器108的运动控制器275。运动控制器275耦接到电源280(电源280可以是DC电源),以向驱动电机160中的每个驱动电机提供电信号。诸如射频滤波器之类的滤波器285提供在电源280与运动控制器275之间。在一些实施方式中,多个传感器210和多个驱动电机160中的一者或两者的输出提供在耦接到系统控制器108的监视器290的显示屏幕上。传感器172(在图1中示出)还与运动控制器275通信。传感器172用于监测和/或量化在驱动电机160被致动时驱动电机160的操作。例如,传感器172用于向运动控制器275提供关于在驱动电机160被致动时驱动电机160的步数的反馈。
在校准工艺期间,传感器210光学地确定高度可调整的边缘环106的主表面170相对于参考表面的位置,所述参考表面在这个示例中是基板支撑组件104的夹紧表面165的平面。高度可调整的边缘环106的主表面170相对于夹紧表面165的平行性由传感器210确定。传感器210与用于确定高度可调整的边缘环106相对于夹紧表面165的位置(竖直性和平行性两者)的校准软件例程进行通信,以及向运动控制器275提供反馈。然后,运动控制器275将信号发送到驱动电机160,以便移动环升降杆155中的一个或多个来调整位置差值,使得边缘环106相对于夹紧表面165移动到平行取向。例如,传感器210确定高度可调整的边缘环106的主表面170相对于参考表面的平面度,并且传感器210以此边缘环的精确位置向监测器290提供输出。校准工艺很快,因为可以一次计算所有位置差值。如果高度可调整的边缘环106不与基板支撑组件104的夹紧表面165平行或不是基本上与基板支撑组件104的夹紧表面165平行,那么传感器210向运动控制器275提供度量以致动驱动电机160中的一个或多个来向上或向下移动相应的环升降杆155,从而调整边缘环106相对于夹紧表面165的倾斜度。传感器210的输出可以是表示驱动电机160中的一个或多个驱动电机所需的线性位移量的电信号,以便在高度可调整的边缘环106与基板支撑组件104的夹紧表面165之间达成平行。来自传感器210的电信号被运动控制器275中的软件转换成长度单位(诸如微米),以帮助向一个或多个驱动电机160提供位移值。电信号可以为被转换成微米的约4毫安(mA)至约20mA,并且可以计算微米以与驱动电机160的(一个或多个)步数对应。
下面描述校准高度可调整的边缘环106的方法。所述方法包括具有闭环反馈的软件例程,所述软件例程实现以下项中的一个或多个:所述方法验证传感器210相对于驱动电机160定位在正确位置处;所述方法通过同时计算所有偏移(即,不通过迭代过程)来实现快速校准例程(典型地不到5分钟);所述方法包括确定自动存储的校准值;所述方法对于任何厚度的高度可调整的边缘环(例如,无论环是新的或是被腐蚀的)都是有效的。所述方法还包括使用校准夹具200来确定例如驱动电机160是否安装在正确位置中和/或与正确极性连接,使得驱动电机160移动环升降杆155以在所期望的方向上移动高度可调整的边缘环106。
图3是概括利用如本文所述的校准夹具200的方法的实施方式的流程图300。方法300开始于302,其中腔室主体101打开,使得校准夹具200可以如图2A和2B所述的那样安装。应当理解,方法300可以用于校准新的高度可调整的边缘环106以及重校准使用过的(即,被腐蚀的)高度可调整的边缘环106。
方法300可以在结束于340之前被分解为具有移动模式305、校准模式320和验证模式335的简化方法304。移动模式305包括量化驱动电机160的操作和/或环升降杆155由驱动电机160进行的移动。校准模式320包括高度可调整的边缘环106与基板支撑组件104的夹紧表面165的调平。验证模式335包括验证在校准模式320中执行的校准是正确的。简化方法304将用方法300的其余部分更详细地进行解释。
在操作307处,移动模式305包括验证校准夹具200正确地连接到系统控制器108。如果校准夹具200未正确地连接到系统控制器108,那么可以在软件中设置错误。例如,如果传感器210中的一个或多个指示小于4mA的输出,那么可以确定校准夹具200未插入系统控制器108。例如,传感器210中的一个可以在连接时发送大于4mA的低电流信号,低电流信号可以由系统控制器108读取以指示传感器与系统控制器108之间的正确连接。
在操作309处,移动模式305还包括用已知的偏移使高度可调整的边缘环106归位。驱动电机160的控制是测量到的距离,使得系统控制器108可以通过控制驱动电机160来精确地控制环升降杆155的移动。最初,环升降杆155中的每个由驱动电机160进行的移动是使用在移动模式305中的其它操作中环升降杆155由驱动电机160进行的移动来从此起始位置计算的。归位位置可以是高度可调整的边缘环106的估计的工艺位置,例如,高度可调整的边缘环106相对于基板支撑组件104的夹紧表面165的位置。
在操作311处,移动模式305还包括致动所有驱动电机160以缓慢地向上移动环升降杆155,直到所有传感器210示出高度可调整的边缘环106的移动高于阈值(例如,大于约60微米,即,比传感器的噪声水平高的可检测值)为止。在操作313处移动模式305还包括计算所有轴线之间的任何(一个或多个)偏移并使偏移归一化。术语“偏移”被定义为在接触边缘环106之前环升降杆155中的每个由驱动电机160移动的量(或距离)。
移动模式305在操作315处通过施加所有偏移并致动所有驱动电机160以向下移动所有环升降杆155结束。向下移动在环升降杆155中的每个的极限向下位置处的硬止挡件处终止(即,达环升降杆155不再能够向下移动的位置)。因此,可以在将所有环升降杆155从上面在操作309处描述的“归位”位置移动到极限向下位置之后找到“起始”位置。这确保了所有环升降杆155都在高度可调整的边缘环106的底表面下方。
简化方法304继续到校准模式320。在操作322处,校准模式320包括缓慢地向上移动所有驱动电机160,直到所有传感器210示出移动超过阈值(即,约60微米)。
在操作324处,校准模式320包括确认位置比在操作315处的起始值更接近,以确保在操作313处的计算正确地完成。环升降杆155由驱动电机160进行的移动现在被检测为高于噪声阈值水平,并且步进电机(例如,驱动电机160)上的已知的计数可以与高度可调整的边缘环106的运动相关联。
在操作326处,校准模式320包括基于由环升降杆155中的每个行进的距离的差值(即,完全地缩回和偏移;或环升降杆155恰好触及环时的位置)而以新的偏移使所有驱动电机160重归位到新的零位置。例如,对于具有三个测量值(偏移)的三个传感器,例如,具有第一测量值V1的第一传感器、具有第二测量值V2的第二传感器、以及具有第三测量值V3的第三传感器,其中第一测量值大于第二测量值,并且第二测量值大于第三测量值(V1>V2>V3),可以计算新的偏移。可以通过从高值V1中减去中值V2(V1-V2)来计算第一传感器的新的偏移VN1。第二传感器的新的偏移VN2等于旧值V2。最后,可以通过将中值V2与低值V3相加(V3+V2)来计算第三传感器的新的偏移VN3。以这样的方式,用于调整电机运动和杆位移的所有偏移可以使高度可调整的边缘环106与夹紧表面165对准,即基本上平行。因此,在操作326中,得以达成高度可调整的边缘环106与夹紧表面165的平面之间的平行性。
在操作328处,校准模式320包括致动所有驱动电机160以缓慢地向上移动环升降杆155,直到多个传感器210中的单个或第一传感器210示出移动高于传感器210中的任何一个的噪声阈值水平。执行操作328以确保环升降杆155接触高度可调整的边缘环106。
校准模式320在操作330处结束,其中计算总体偏移。在一些实施方式中,在操作330处,高度可调整的边缘环106的主表面170的平面应当平行或基本上平行于基板支撑组件104的夹紧表面165的平面。总体偏移是高度可调整的边缘环106的主表面170的平面在夹紧表面165(所述夹紧表面165适于等离子体处理在基板夹紧表面165上的基板)上方的初始位置,并且驱动电机160中的任何一个的致动将造成移动高度可调整的边缘环106的相应的环升降杆155的移动。当操作326达成平行性时,操作330促进找到零偏移点,在此之后,高度可调整的边缘环106恰好开始向上移动。
简化方法304继续到验证模式335。在操作337处,验证模式335包括一次一个地致动多个驱动电机160中的每个驱动电机160,以验证每个特定驱动电机160是移动相应的环升降杆155的唯一的一个驱动电机。如果相应的环升降杆155没有移动,那么指定错误。由寄存了测量值的变化的传感器210来确定移动。对所有驱动电机160重复操作337。例如,可以控制驱动电机160中的每个以使相应的环升降杆155移动100微米,这由相应的传感器210来验证。
在操作339处,验证模式335包括在软件中设置校准信息并将值存储在系统控制器108中。校准信息为步进电机设置了硬限制,以便防止损坏高度可调整的边缘环106和/或工艺腔室100。
本公开的各示例通过校准和调平高度可调整的边缘环106来显著地减少处理腔室的停机时间。例如,与耗费数个小时直到一整天的常规的调平工艺相比,高度可调整的边缘环106的调平可以在五分钟或更短的时间内执行。
尽管前述内容针对的是本公开的各示例,但是在不脱离本公开的基本范围的情况下,可以设想本公开的其它和进一步方面,并且本公开的范围是由所附权利要求书确定。

Claims (15)

1.一种用于相对于参考表面定位边缘环的校准夹具,所述校准夹具包括:
透明板;
多个传感器,所述多个传感器耦接到所述透明板的第一侧;以及
多个接触垫,所述多个接触垫耦接到所述透明板的相对的第二侧。
2.如权利要求1所述的校准夹具,其中所述透明板包括形成为穿过所述透明板的多个开口,并且形成在所述透明板中的所述多个开口中的每个开口与形成在所述参考表面中的相应的开口对准。
3.如权利要求1所述的校准夹具,其中所述透明板进一步包括对准指示器。
4.如权利要求3所述的校准夹具,其中所述对准指示器包括形成为穿过所述对准指示器的多个开口,并且形成在所述透明板中的所述多个开口中的每个开口与形成在所述参考表面中的相应的开口对准。
5.如权利要求1所述的校准夹具,其中所述透明板包括划线。
6.如权利要求5所述的校准夹具,其中所述多个传感器中的每个传感器定位在所述划线的径向外侧。
7.如权利要求5所述的校准夹具,其中所述透明板包括比所述划线的直径更大的直径。
8.如权利要求1所述的校准夹具,其中所述透明板包括手柄。
9.如权利要求1所述的校准夹具,其中所述透明板包括对准特征,所述对准特征包括对准指示器和划线中的一个或它们两者的组合。
10.一种用于相对于参考表面定位边缘环的校准夹具,所述校准夹具包括:
透明板,所述透明板包括形成为穿过所述透明板的多个开口;
多个传感器,所述多个传感器耦接到所述透明板的第一侧;以及
多个接触垫,所述多个接触垫耦接到所述透明板的相对的第二侧,其中所述透明板包括形成为穿过所述透明板的多个开口,并且形成在所述透明板中的所述多个开口中的每个开口与形成在所述参考表面中的相应的开口对准。
11.如权利要求10所述的校准夹具,其中所述透明板包括对准特征,所述对准特征包括对准指示器。
12.如权利要求10所述的校准夹具,其中所述透明板包括对准特征,所述对准特征包括划线。
13.如权利要求12所述的校准夹具,其中所述多个传感器中的每个传感器定位在所述划线的径向外侧。
14.如权利要求12所述的校准夹具,其中所述透明板包括比所述划线的直径更大的直径。
15.如权利要求10所述的校准夹具,其中所述透明板包括一对手柄。
CN201920891320.9U 2018-06-14 2019-06-13 校准夹具 Active CN209747490U (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862685004P 2018-06-14 2018-06-14
US62/685,004 2018-06-14

Publications (1)

Publication Number Publication Date
CN209747490U true CN209747490U (zh) 2019-12-06

Family

ID=67668020

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201920891320.9U Active CN209747490U (zh) 2018-06-14 2019-06-13 校准夹具
CN201910513045.1A Pending CN110610890A (zh) 2018-06-14 2019-06-13 校准夹具和校准方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201910513045.1A Pending CN110610890A (zh) 2018-06-14 2019-06-13 校准夹具和校准方法

Country Status (5)

Country Link
US (2) US11935773B2 (zh)
JP (2) JP3222783U (zh)
KR (1) KR102267396B1 (zh)
CN (2) CN209747490U (zh)
TW (2) TWI738004B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110610890A (zh) * 2018-06-14 2019-12-24 应用材料公司 校准夹具和校准方法

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108369922B (zh) 2016-01-26 2023-03-21 应用材料公司 晶片边缘环升降解决方案
US11201037B2 (en) 2018-05-28 2021-12-14 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
US11393663B2 (en) * 2019-02-25 2022-07-19 Tokyo Electron Limited Methods and systems for focus ring thickness determinations and feedback control
US11057972B1 (en) * 2020-04-01 2021-07-06 Infineon Technologies Ag Controlling LED intensity based on a detected photocurrent value
KR20220094272A (ko) * 2020-12-28 2022-07-06 세메스 주식회사 기판 처리 장치

Family Cites Families (139)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0320898Y2 (zh) * 1984-11-08 1991-05-07
US5267607A (en) 1991-05-28 1993-12-07 Tokyo Electron Limited Substrate processing apparatus
JP2638443B2 (ja) 1993-08-31 1997-08-06 日本電気株式会社 ドライエッチング方法およびドライエッチング装置
US5730801A (en) 1994-08-23 1998-03-24 Applied Materials, Inc. Compartnetalized substrate processing chamber
US5762714A (en) 1994-10-18 1998-06-09 Applied Materials, Inc. Plasma guard for chamber equipped with electrostatic chuck
JP3020898U (ja) * 1995-07-24 1996-02-06 株式会社テレニクス 光学アライメント装置
JP2713276B2 (ja) 1995-12-07 1998-02-16 日本電気株式会社 半導体装置の製造装置およびこれを用いた半導体装置の製造方法
US5885428A (en) 1996-12-04 1999-03-23 Applied Materials, Inc. Method and apparatus for both mechanically and electrostatically clamping a wafer to a pedestal within a semiconductor wafer processing system
US5851140A (en) 1997-02-13 1998-12-22 Integrated Process Equipment Corp. Semiconductor wafer polishing apparatus with a flexible carrier plate
US5942078A (en) * 1997-07-17 1999-08-24 Mcms, Inc. Apparatus for calibrating surface mounting processes in printed circuit board assembly manufacturing
JP3020898B2 (ja) 1997-07-22 2000-03-15 株式会社エイ・ティ・アール人間情報通信研究所 アフィンカメラ補正による三次元位置の線形推定方法
JPH1197656A (ja) * 1997-09-22 1999-04-09 Fuji Electric Co Ltd 半導体光センサデバイス
DE59812627D1 (de) 1997-12-23 2005-04-07 Unaxis Balzers Ag Haltevorrichtung
US6773562B1 (en) 1998-02-20 2004-08-10 Applied Materials, Inc. Shadow frame for substrate processing
JP2000049144A (ja) 1998-07-28 2000-02-18 Hitachi Chem Co Ltd プラズマ処理装置用電極板
JP3234576B2 (ja) 1998-10-30 2001-12-04 アプライド マテリアルズ インコーポレイテッド 半導体製造装置におけるウェハ支持装置
US6022809A (en) 1998-12-03 2000-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Composite shadow ring for an etch chamber and method of using
US6709547B1 (en) 1999-06-30 2004-03-23 Lam Research Corporation Moveable barrier for multiple etch processes
US6206976B1 (en) 1999-08-27 2001-03-27 Lucent Technologies Inc. Deposition apparatus and related method with controllable edge exclusion
US6375748B1 (en) 1999-09-01 2002-04-23 Applied Materials, Inc. Method and apparatus for preventing edge deposition
US6589352B1 (en) 1999-12-10 2003-07-08 Applied Materials, Inc. Self aligning non contact shadow ring process kit
JP2001230239A (ja) 2000-02-15 2001-08-24 Tokyo Electron Ltd 処理装置及び処理方法
TW506234B (en) 2000-09-18 2002-10-11 Tokyo Electron Ltd Tunable focus ring for plasma processing
US6391787B1 (en) 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
JP3388228B2 (ja) * 2000-12-07 2003-03-17 株式会社半導体先端テクノロジーズ プラズマエッチング装置、及びプラズマエッチング方法
US7138014B2 (en) 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
US6744212B2 (en) 2002-02-14 2004-06-01 Lam Research Corporation Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions
US6776849B2 (en) 2002-03-15 2004-08-17 Asm America, Inc. Wafer holder with peripheral lift ring
US6896765B2 (en) 2002-09-18 2005-05-24 Lam Research Corporation Method and apparatus for the compensation of edge ring wear in a plasma processing chamber
US7252738B2 (en) 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
US7311784B2 (en) 2002-11-26 2007-12-25 Tokyo Electron Limited Plasma processing device
US6898558B2 (en) 2002-12-31 2005-05-24 Tokyo Electron Limited Method and apparatus for monitoring a material processing system
US20040261946A1 (en) 2003-04-24 2004-12-30 Tokyo Electron Limited Plasma processing apparatus, focus ring, and susceptor
TW200520632A (en) 2003-09-05 2005-06-16 Tokyo Electron Ltd Focus ring and plasma processing apparatus
KR100578129B1 (ko) 2003-09-19 2006-05-10 삼성전자주식회사 플라즈마 식각 장치
US7244336B2 (en) 2003-12-17 2007-07-17 Lam Research Corporation Temperature controlled hot edge ring assembly for reducing plasma reactor etch rate drift
US7338578B2 (en) 2004-01-20 2008-03-04 Taiwan Semiconductor Manufacturing Co., Ltd. Step edge insert ring for etch chamber
US20050189068A1 (en) 2004-02-27 2005-09-01 Kawasaki Microelectronics, Inc. Plasma processing apparatus and method of plasma processing
US20050263070A1 (en) 2004-05-25 2005-12-01 Tokyo Electron Limited Pressure control and plasma confinement in a plasma processing chamber
US7075323B2 (en) * 2004-07-29 2006-07-11 Applied Materials, Inc. Large substrate test system
US7138067B2 (en) 2004-09-27 2006-11-21 Lam Research Corporation Methods and apparatus for tuning a set of plasma processing steps
JP4006004B2 (ja) 2004-12-28 2007-11-14 株式会社東芝 半導体製造装置及び半導体装置の製造方法
KR20060117537A (ko) 2005-05-11 2006-11-17 삼성전자주식회사 리프트 핀 높이 정렬용 지그 및 이를 이용한 리프트 핀높이 정렬 방법
KR100964775B1 (ko) 2005-10-12 2010-06-21 파나소닉 주식회사 플라즈마 처리장치 및 플라즈마 처리방법
US7846257B2 (en) 2005-12-14 2010-12-07 Tokyo Electron Limited Method for cleaning substrate processing apparatus, substrate processing apparatus, program and recording medium having program recorded therein
KR20080015466A (ko) 2006-01-31 2008-02-19 동경 엘렉트론 주식회사 기판 처리 장치 및 그것에 이용되는 기판 탑재대,플라즈마에 노출되는 부재
US7572737B1 (en) 2006-06-30 2009-08-11 Lam Research Corporation Apparatus and methods for adjusting an edge ring potential substrate processing
JP2008078208A (ja) 2006-09-19 2008-04-03 Tokyo Electron Ltd フォーカスリング及びプラズマ処理装置
US20080066868A1 (en) 2006-09-19 2008-03-20 Tokyo Electron Limited Focus ring and plasma processing apparatus
US7589950B2 (en) 2006-10-13 2009-09-15 Applied Materials, Inc. Detachable electrostatic chuck having sealing assembly
CN101583736A (zh) 2007-01-19 2009-11-18 应用材料股份有限公司 浸没式等离子体室
US7968469B2 (en) 2007-01-30 2011-06-28 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with variable height ground return path to control plasma ion density uniformity
JP5317424B2 (ja) 2007-03-28 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置
US20080289766A1 (en) 2007-05-22 2008-11-27 Samsung Austin Semiconductor Lp Hot edge ring apparatus and method for increased etch rate uniformity and reduced polymer buildup
KR100963297B1 (ko) 2007-09-04 2010-06-11 주식회사 유진테크 샤워헤드 및 이를 포함하는 기판처리장치, 샤워헤드를이용하여 플라스마를 공급하는 방법
US8343305B2 (en) 2007-09-04 2013-01-01 Lam Research Corporation Method and apparatus for diagnosing status of parts in real time in plasma processing equipment
US7824146B2 (en) 2007-09-07 2010-11-02 Advanced Technology Development Facility Automated systems and methods for adapting semiconductor fabrication tools to process wafers of different diameters
US8999106B2 (en) 2007-12-19 2015-04-07 Applied Materials, Inc. Apparatus and method for controlling edge performance in an inductively coupled plasma chamber
US20090260982A1 (en) 2008-04-16 2009-10-22 Applied Materials, Inc. Wafer processing deposition shielding components
US8398777B2 (en) 2008-05-02 2013-03-19 Applied Materials, Inc. System and method for pedestal adjustment
US20100101729A1 (en) 2008-10-28 2010-04-29 Applied Materials, Inc. Process kit having reduced erosion sensitivity
JP5406067B2 (ja) 2009-02-16 2014-02-05 キヤノンアネルバ株式会社 トレイ及び真空処理装置
US9435029B2 (en) 2010-08-29 2016-09-06 Advanced Energy Industries, Inc. Wafer chucking system for advanced plasma ion energy processing systems
US20110011534A1 (en) 2009-07-17 2011-01-20 Rajinder Dhindsa Apparatus for adjusting an edge ring potential during substrate processing
JP5650935B2 (ja) 2009-08-07 2015-01-07 東京エレクトロン株式会社 基板処理装置及び位置決め方法並びにフォーカスリング配置方法
US8409995B2 (en) 2009-08-07 2013-04-02 Tokyo Electron Limited Substrate processing apparatus, positioning method and focus ring installation method
CN102550130A (zh) 2009-08-31 2012-07-04 朗姆研究公司 用于执行等离子体约束的多外围环装置
US8270141B2 (en) 2009-11-20 2012-09-18 Applied Materials, Inc. Electrostatic chuck with reduced arcing
JP5584517B2 (ja) 2010-05-12 2014-09-03 東京エレクトロン株式会社 プラズマ処理装置及び半導体装置の製造方法
JP5654297B2 (ja) 2010-09-14 2015-01-14 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US8633423B2 (en) 2010-10-14 2014-01-21 Applied Materials, Inc. Methods and apparatus for controlling substrate temperature in a process chamber
JP5690596B2 (ja) 2011-01-07 2015-03-25 東京エレクトロン株式会社 フォーカスリング及び該フォーカスリングを備える基板処理装置
JP6001529B2 (ja) 2011-03-29 2016-10-05 東京エレクトロン株式会社 プラズマエッチング装置及びプラズマエッチング方法
KR101744668B1 (ko) 2011-05-31 2017-06-08 어플라이드 머티어리얼스, 인코포레이티드 유도성 커플링된 플라즈마(icp) 반응기를 위한 동적인 이온 라디칼 시브 및 이온 라디칼 개구
JP5948026B2 (ja) 2011-08-17 2016-07-06 東京エレクトロン株式会社 半導体製造装置及び処理方法
KR101267459B1 (ko) 2011-09-08 2013-05-31 한국과학기술연구원 플라즈마 이온주입 장치 및 방법
US8933628B2 (en) 2011-10-28 2015-01-13 Applied Materials, Inc. Inductively coupled plasma source with phase control
US8988848B2 (en) 2011-12-15 2015-03-24 Applied Materials, Inc. Extended and independent RF powered cathode substrate for extreme edge tunability
US9287147B2 (en) 2013-03-14 2016-03-15 Applied Materials, Inc. Substrate support with advanced edge control provisions
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US9123661B2 (en) 2013-08-07 2015-09-01 Lam Research Corporation Silicon containing confinement ring for plasma processing apparatus and method of forming thereof
CN105493262B (zh) 2013-08-30 2019-02-15 应用材料公司 基板支撑系统
JP2015050156A (ja) 2013-09-04 2015-03-16 東京エレクトロン株式会社 基板載置台及びプラズマ処理装置
WO2015099892A1 (en) 2013-12-23 2015-07-02 Applied Materials, Inc. Extreme edge and skew control in icp plasma reactor
US9410249B2 (en) 2014-05-15 2016-08-09 Infineon Technologies Ag Wafer releasing
CN105336561B (zh) 2014-07-18 2017-07-21 中微半导体设备(上海)有限公司 等离子体刻蚀装置
JP6345030B2 (ja) 2014-08-11 2018-06-20 東京エレクトロン株式会社 プラズマ処理装置及びフォーカスリング
KR20160022458A (ko) 2014-08-19 2016-03-02 삼성전자주식회사 플라즈마 장비 및 이의 동작 방법
JP6383647B2 (ja) * 2014-11-19 2018-08-29 東京エレクトロン株式会社 測定システムおよび測定方法
CN105789010B (zh) 2014-12-24 2017-11-10 中微半导体设备(上海)有限公司 等离子体处理装置及等离子体分布的调节方法
US20170263478A1 (en) 2015-01-16 2017-09-14 Lam Research Corporation Detection System for Tunable/Replaceable Edge Coupling Ring
US11605546B2 (en) 2015-01-16 2023-03-14 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US10658222B2 (en) 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
JP6456177B2 (ja) 2015-02-12 2019-01-23 株式会社ディスコ ウェーハ処理システム
US10163610B2 (en) 2015-07-13 2018-12-25 Lam Research Corporation Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
US9761459B2 (en) 2015-08-05 2017-09-12 Lam Research Corporation Systems and methods for reverse pulsing
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
US9761414B2 (en) 2015-10-08 2017-09-12 Lam Research Corporation Uniformity control circuit for use within an impedance matching circuit
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
CN108140606B (zh) 2015-10-21 2022-05-24 住友大阪水泥股份有限公司 静电卡盘装置
US9881820B2 (en) 2015-10-22 2018-01-30 Lam Research Corporation Front opening ring pod
US10062599B2 (en) 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
US10124492B2 (en) 2015-10-22 2018-11-13 Lam Research Corporation Automated replacement of consumable parts using end effectors interfacing with plasma processing system
US20170115657A1 (en) 2015-10-22 2017-04-27 Lam Research Corporation Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
US10985078B2 (en) 2015-11-06 2021-04-20 Lam Research Corporation Sensor and adjuster for a consumable
US9601319B1 (en) 2016-01-07 2017-03-21 Lam Research Corporation Systems and methods for eliminating flourine residue in a substrate processing chamber using a plasma-based process
CN108369922B (zh) 2016-01-26 2023-03-21 应用材料公司 晶片边缘环升降解决方案
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US9966231B2 (en) 2016-02-29 2018-05-08 Lam Research Corporation Direct current pulsing plasma systems
US20170278679A1 (en) 2016-03-24 2017-09-28 Lam Research Corporation Method and apparatus for controlling process within wafer uniformity
US11011353B2 (en) 2016-03-29 2021-05-18 Lam Research Corporation Systems and methods for performing edge ring characterization
US10312121B2 (en) 2016-03-29 2019-06-04 Lam Research Corporation Systems and methods for aligning measurement device in substrate processing systems
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
JP6635888B2 (ja) 2016-07-14 2020-01-29 東京エレクトロン株式会社 プラズマ処理システム
US10921251B2 (en) 2016-08-22 2021-02-16 Applied Materials, Inc. Chamber component part wear indicator and a system for detecting part wear
US20180061696A1 (en) 2016-08-23 2018-03-01 Applied Materials, Inc. Edge ring or process kit for semiconductor process module
JP2018054500A (ja) 2016-09-29 2018-04-05 東京エレクトロン株式会社 位置検出システム及び処理装置
US10541168B2 (en) * 2016-11-14 2020-01-21 Lam Research Corporation Edge ring centering method using ring dynamic alignment data
JP6812224B2 (ja) 2016-12-08 2021-01-13 東京エレクトロン株式会社 基板処理装置及び載置台
US9947517B1 (en) 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
JP6869034B2 (ja) 2017-01-17 2021-05-12 東京エレクトロン株式会社 プラズマ処理装置
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
JP6812264B2 (ja) 2017-02-16 2021-01-13 東京エレクトロン株式会社 真空処理装置、及びメンテナンス装置
US11404249B2 (en) 2017-03-22 2022-08-02 Tokyo Electron Limited Substrate processing apparatus
JP6656200B2 (ja) 2017-04-12 2020-03-04 東京エレクトロン株式会社 位置検出システム及び処理装置
KR102535916B1 (ko) 2017-04-26 2023-05-23 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
JP7326275B2 (ja) 2017-12-01 2023-08-15 アプライド マテリアルズ インコーポレイテッド エッチング選択性の高いアモルファスカーボン膜
JP7033441B2 (ja) 2017-12-01 2022-03-10 東京エレクトロン株式会社 プラズマ処理装置
US11043400B2 (en) 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
JP7055054B2 (ja) 2018-04-11 2022-04-15 東京エレクトロン株式会社 プラズマ処理装置、プラズマ制御方法、及びプラズマ制御プログラム
JP6995008B2 (ja) 2018-04-27 2022-01-14 東京エレクトロン株式会社 基板処理装置
US11201037B2 (en) 2018-05-28 2021-12-14 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US11935773B2 (en) * 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
US11011351B2 (en) 2018-07-13 2021-05-18 Lam Research Corporation Monoenergetic ion generation for controlled etch

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110610890A (zh) * 2018-06-14 2019-12-24 应用材料公司 校准夹具和校准方法

Also Published As

Publication number Publication date
TWI738004B (zh) 2021-09-01
JP6820972B2 (ja) 2021-01-27
KR102267396B1 (ko) 2021-06-18
US20190385880A1 (en) 2019-12-19
TW202002150A (zh) 2020-01-01
TWM587362U (zh) 2019-12-01
KR20190141603A (ko) 2019-12-24
JP3222783U (ja) 2019-08-22
US20240178035A1 (en) 2024-05-30
US11935773B2 (en) 2024-03-19
CN110610890A (zh) 2019-12-24
JP2019220691A (ja) 2019-12-26

Similar Documents

Publication Publication Date Title
CN209747490U (zh) 校准夹具
CN103930972B (zh) 用于监控耦合至处理腔室的流量控制器的方法
CN106409741B (zh) 基于可视的晶片凹口的位置测量
CN109659216B (zh) 等离子体处理装置、聚焦环的升降控制方法和程序
KR20170015208A (ko) Ccd 카메라 및 로봇을 사용한 웨이퍼 정렬 및 센터링을 위한 시스템 및 방법
CN110462810B (zh) 用于基板处理系统中的基板支撑件的基板位置校准方法
CN103631098B (zh) 一种非接触式光刻机调平调焦系统、方法和光刻机
JP4462860B2 (ja) プラズマ処理装置
KR20220092161A (ko) 기판 처리장치
KR20230069218A (ko) 기판 지지 조립체의 레벨 모니터링 및 능동 조정
KR20200089616A (ko) 플라즈마 처리 장치, 및 링 부재의 위치 어긋남 측정 방법
KR102269342B1 (ko) 기판 처리 장치 및 기판 처리 방법
US20220172925A1 (en) Electrostatic chuck heater resistance measurement to approximate temperature
US20080061034A1 (en) Etching apparatus and etching method using the same
US11460290B2 (en) Measuring method and semiconductor structure forming method
KR102002215B1 (ko) 기판 처리 장치, 메인터넌스용 지그, 기판 처리 장치의 메인터넌스 방법 및 기억 매체
JP2020193352A (ja) スパッタ成膜装置及びスパッタ成膜方法
JPH10144771A (ja) 半導体製造装置
CN114530400A (zh) 操作装置与两个工件之间的分布式间距补偿方法
TWI756836B (zh) 量測方法和半導體結構的形成方法
US20210087689A1 (en) Apparatus and Methods for Motor Shaft and Heater Leveling
KR20070067894A (ko) 기판감지센서를 구비하는 기판처리장치 및 이를 이용한기판 슬라이딩감지방법
CN117822096A (zh) 线圈的定位方法及半导体工艺设备
TW202331869A (zh) 基板搬送位置之偏移量檢測方法及基板處理裝置
KR101664110B1 (ko) 베어 웨이퍼의 연마 장치

Legal Events

Date Code Title Description
GR01 Patent grant
GR01 Patent grant