TW201712824A - 獨立3d堆疊 - Google Patents

獨立3d堆疊 Download PDF

Info

Publication number
TW201712824A
TW201712824A TW105121277A TW105121277A TW201712824A TW 201712824 A TW201712824 A TW 201712824A TW 105121277 A TW105121277 A TW 105121277A TW 105121277 A TW105121277 A TW 105121277A TW 201712824 A TW201712824 A TW 201712824A
Authority
TW
Taiwan
Prior art keywords
level
package
die
rdl
tovs
Prior art date
Application number
TW105121277A
Other languages
English (en)
Other versions
TWI621228B (zh
Inventor
冠宇 賴
軍 翟
胡坤忠
Original Assignee
蘋果公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘋果公司 filed Critical 蘋果公司
Publication of TW201712824A publication Critical patent/TW201712824A/zh
Application granted granted Critical
Publication of TWI621228B publication Critical patent/TWI621228B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/568Temporary substrate used as encapsulation process aid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5385Assembly of a plurality of insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5389Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/89Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using at least one connector not provided for in any of the groups H01L24/81 - H01L24/86
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/10Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/10Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers
    • H01L25/105Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers the devices being of a type provided for in group H01L27/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/486Via connections through the substrate with or without pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68327Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68345Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during the manufacture of self supporting substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68359Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during manufacture of interconnect decals or build up layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/0805Shape
    • H01L2224/08057Shape in side view
    • H01L2224/08058Shape in side view being non uniform along the bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • H01L2224/08147Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked the bonding area connecting to a bonding area disposed in a recess of the surface of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/19Manufacturing methods of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/20Structure, shape, material or disposition of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8034Bonding interfaces of the bonding area
    • H01L2224/80357Bonding interfaces of the bonding area being flush with the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/922Connecting different surfaces of the semiconductor or solid-state body with connectors of different types
    • H01L2224/9222Sequential connecting processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06548Conductive via connections through the substrate, container, or encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • H01L23/14Mountings, e.g. non-detachable insulating substrates characterised by the material or its electrical properties
    • H01L23/147Semiconductor insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • H01L23/3128Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation the substrate having spherical bumps for external connection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/19Manufacturing methods of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/20Structure, shape, material or disposition of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L24/80 - H01L24/90
    • H01L24/92Specific sequence of method steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0652Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next and on each other, i.e. mixed assemblies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0655Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • H01L2924/186Material

Abstract

本發明描述封裝及3D晶粒堆疊程序。在一實施例中,一種封裝包括:一第二層級晶粒,其混合結合至一第一封裝層級,該第一封裝層級包括囊封於一氧化物層中之一第一層級晶粒及延伸穿過該氧化物層之複數個氧化物穿孔(TOV)。在一實施例中,該等TOV及該第一層級晶粒具有約20微米或更小的高度。

Description

獨立3D堆疊 相關申請案的交叉參考
本申請案主張2015年8月21日申請的美國臨時申請案第62/208,544號之優先權,該申請案以引用的方式併入本文中。
本文中所描述之實施例係關於半導體封裝。更特定而言,實施例係關於包括3D堆疊晶粒之封裝。
對於諸如行動電話、個人數位助理(PDA)、數位攝影機、攜帶型播放器、遊戲以及其他行動器件之攜帶型及行動電子器件的當前市場需求要求將更多效能及特徵整合至愈來愈小之空間中。另外,雖然半導體晶粒封裝之外觀尺寸(例如,厚度)及佔據面積(例如,面積)減小,但輸入/輸出(I/O)襯墊的數目增大。
諸如系統級封裝(SiP)及堆疊式封裝(PoP)之各種多晶粒封裝解決方案已變得愈加風行以滿足對於較高晶粒/組件密度器件之需求。在SiP中,若干不同晶粒圍封於封裝內作為單一模組。因此,SiP可執行電子系統之所有或大部分功能。
諸如晶圓上晶片(CoW)之3D堆疊實施包括將晶粒安裝至支撐晶圓上,後續接著單體化堆疊晶粒SiP。諸如晶圓至晶圓(W2W)之3D堆疊實施包括將頂部晶圓安裝至底部晶圓上,後續接著單體化堆疊晶粒SiP。該等習知3D堆疊實施兩者皆要求封裝層級層中之一者(例如,經 安裝晶粒或晶圓內的晶粒)較大或等於另一層。舉例而言,CoW可涉及支撐晶圓之單體化面積大於安裝於支撐晶圓上的晶粒,而W2W可涉及單體化晶圓之相等面積。
實施例描述半導體晶粒封裝。在一項實施例中,一封裝包括一第一層級重佈層(RDL)及位於該RDL上之一第一封裝層級的一前側。該第一封裝層級包括囊封於該RDL上之一間隙填充氧化物層內的一或多個第一層級晶粒。複數個氧化物穿孔(TOV)延伸穿過該間隙填充氧化物層。在一實施例中,該等TOV及該第一層級晶粒具有約20微米或更小的高度。一第二層級晶粒包括於一第二封裝層級中,且該第二層級晶粒混合結合至該第一封裝層級之一背側,其中該混合結合包括經直接結合之氧化物對氧化物表面及經直接結合之金屬對金屬表面。該第二層級晶粒可囊封於(例如)該第一封裝層級上的模製化合物中。在一實施例中,該RDL形成於該第一層級晶粒之一前側及該複數個TOV上且與其等電接觸。
在一實施例中,該第一封裝層級包括位於該第一層級晶粒及該間隙填充氧化物層之一背側上的一第一封裝層級RDL。該第二層級晶粒可混合結合至該第一封裝層級RDL之一平坦化後表面。舉例而言,該第一封裝層級RDL可包括一氧化物介電層及金屬重佈線,且該第二層級晶粒混合結合至該氧化物介電層及該金屬重佈線。該第一層級晶粒可包括複數個矽穿孔(TSV),其中該第一封裝層級RDL形成於該複數個TSV上且與其等電接觸。
根據一些實施例,該等TOV可配置成列。舉例而言,該複數個TOV可包括一第一列TOV及一第二列TOV。在一特定配置中,該第一列TOV及該第二列TOV側向地鄰近於該第一層級晶粒之一第一對側向對立側。一第二個第一層級晶粒及一第三個第一層級晶粒可經定位成 側向地鄰近於該第一層級晶粒之一第二對側向對立側。在此配置中,該RDL可形成於該第一層級晶粒之一前側、該第二個第一層級晶粒之一前側、該第三個第一層級晶粒之一前側、該第一列TOV及該第二列TOV上,且與其等電接觸。該第一層級晶粒可另外包括(例如)具有約10微米或更小之最大寬度的複數個TSV。
在一實施例中,一封裝包括一RDL及位於該RDL之一背側上的一第一封裝層級之一前側。一第一層級晶粒囊封於該RDL之該背側上的一間隙填充氧化物層中。一第一列TOV及一第二列TOV自該RDL之該背側凸起,且該第一層級晶粒經定位成側向地介於該第一列TOV及該第二列TOV之間。複數個第二層級晶粒混合結合至該第一封裝層級之一背側,具有直接結合氧化物對氧化物表面及直接結合金屬對金屬表面。
該第一封裝層級可另外包括位於該第一層級晶粒及該間隙填充氧化物層之一背側上的一第一封裝層級RDL。舉例而言,該第一封裝層級RDL可包括一氧化物介電層及一金屬重佈線,且該第二層級晶粒混合結合至該氧化物介電層及該金屬重佈線。
該第一封裝層級可另外包括側向地鄰近於該第一層級晶粒之對立側的一第二個第一層級晶粒及一第三個第一層級晶粒。該第一層級晶粒、第二個第一層級晶粒及第三個第一層級晶粒可全部位於該RDL上,且與其電接觸。在一實施例中,該第一層級晶粒係矩形的,該第一列TOV及該第二列TOV側向地鄰近於該第一層級晶粒之一第一對側向對立側,且該第二個第一層級晶粒及該第三個第一層級晶粒側向地鄰近於該第一層級晶粒之一第二對側向對立側。根據實施例,該第一層級晶粒、該第一列TOV及該第二列TOV可全部具有20微米或更小之高度。根據實施例,複數個TSV可位於該第一層級晶粒內,其中每一TSV具有10微米或更小的最大寬度。
在一實施例中,一種形成一封裝之方法包括:將一第一封裝層級形成於一載體基板上,該第一封裝層級包括囊封於一間隙填充氧化物層中之一第一層級晶粒,及複數個氧化物穿孔(TOV)。該等TOV可具有約20微米或更小的高度。將一第二層級晶粒混合結合至該第一封裝層級,具有直接結合氧化物對氧化物表面及金屬對金屬表面。將該第二層級晶粒囊封於該第一封裝層級之一背側上。移除該載體基板,且將一RDL形成於該第一封裝層級之一前側上。
在一實施例中,形成該封裝之該方法另外包括:將該第一層級晶粒附接至該載體基板;將該間隙填充氧化物層沈積於該第一層級晶粒上方;將該間隙填充氧化物層平坦化;及將該複數個TOV形成於該間隙填充氧化物層中。在一實施例中,該第一層級晶粒被研磨以在將該第一層級晶粒附接至該載體基板之後且在將該間隙填充氧化物層沈積於該第一層級晶粒上方之前減小該第一層級晶粒之厚度。在一實施例中,將一第一層級RDL形成於該平坦化間隙填充氧化物層及第一層級晶粒上,且將該第一層級RDL平坦化,且將該第二層級晶粒混合結合至該平坦化第一層級RDL。
100‧‧‧封裝
101‧‧‧第一載體基板
102‧‧‧脫模層
105A‧‧‧側向對立側
105B‧‧‧側向對立側
108A‧‧‧側向對立側
108B‧‧‧側向對立側
110‧‧‧第一層級晶粒
110A‧‧‧第一層級晶粒
110B‧‧‧第二個第一層級晶粒
110C‧‧‧第三個第一層級晶粒
111‧‧‧前側
114‧‧‧基底矽基板
115‧‧‧背側
116‧‧‧頂部磊晶矽層
117‧‧‧基板
118‧‧‧互連層
119‧‧‧盲介層孔
120‧‧‧矽穿孔
121‧‧‧主動器件
122‧‧‧氧化物絕緣層
123‧‧‧曝露表面
124‧‧‧介電層
126‧‧‧金屬層
127‧‧‧介層孔
128A‧‧‧焊盤
128B‧‧‧焊盤
130‧‧‧間隙填充氧化物層
131‧‧‧後表面
134‧‧‧氧化物穿孔(TOV)
135‧‧‧表面
136A‧‧‧第一列TOV
136B‧‧‧第二列TOV
150‧‧‧第一封裝層級
150A‧‧‧第一封裝層級
150B‧‧‧第一封裝層級
160‧‧‧第一層級RDL
162‧‧‧金屬重佈線
164‧‧‧絕緣層
165‧‧‧背側
165B‧‧‧背側
170A‧‧‧前側
203‧‧‧鄰近邊緣
210‧‧‧第二層級晶粒
210A‧‧‧第一個第二層級晶粒
210B‧‧‧第二個第二層級晶粒
211‧‧‧前表面/前側
215‧‧‧背側
240‧‧‧第二層級模製化合物
250‧‧‧第二封裝層級
260‧‧‧堆積結構
262‧‧‧金屬層
264‧‧‧絕緣層
300‧‧‧重佈層(RDL)
302‧‧‧重佈線
304‧‧‧介電層
311‧‧‧前側
315‧‧‧背側
350‧‧‧導電凸塊
1010‧‧‧步驟
1012‧‧‧步驟
1014‧‧‧步驟
1016‧‧‧步驟
1018‧‧‧步驟
圖1為說明根據一實施例的形成封裝之方法的流程圖。
圖2為根據一實施例的包括盲介層孔之第一層級晶粒之示意性橫截面側視圖說明。
圖3為根據一實施例的附接至載體基板之第一層級晶粒之橫截面側視圖說明。
圖4為根據一實施例的薄化之第一層級晶粒之橫截面側視圖說明。
圖5為根據一實施例的形成於薄化之第一層級晶粒上方之間隙填充氧化物層的橫截面側視圖說明。
圖6為根據一實施例的包括氧化物穿孔之平坦化間隙填充氧化物層的橫截面側視圖說明。
圖7為根據一實施例的形成於包括氧化物穿孔之平坦化間隙填充氧化物層上方之第一層級重佈層的橫截面側視圖說明。
圖8為根據一實施例的包括平坦化第一層級重佈層之第一封裝層級的橫截面側視圖說明。
圖9為根據一實施例的包括混合結合至第一封裝層級之第二層級晶粒之近視圖的橫截面側視圖說明。
圖10為根據一實施例的位於第一封裝層級上之經囊封第二層級晶粒的橫截面側視圖說明。
圖11為根據一實施例的包括混合結合之第二層級晶粒之封裝的橫截面側視圖說明。
圖12為根據一實施例的包括薄化之第二封裝層級之封裝的橫截面側視圖說明。
圖13為根據一實施例的包括堆疊晶粒、氧化物穿孔及矽穿孔之封裝的示意性仰視圖說明。
圖14為說明根據一實施例的形成封裝之方法的流程圖。
圖15A至圖15D為根據一實施例的形成具有兩個以上封裝層級之封裝之方法的橫截面側視圖說明。
圖16為根據一實施例的說明形成封裝之方法的流程圖。
圖17A至圖17D為根據一實施例的形成封裝之方法的橫截面側視圖說明。
圖17E為根據一實施例的具有兩個以上封裝層級之封裝的橫截面側視圖說明。
圖18為根據一實施例的晶粒堆疊配置之示意性仰視圖說明及一列氧化物穿孔之近距透視圖。
圖19A為根據一實施例的沿圖18中之線A-A截得之封裝的橫截面側視圖說明。
圖19B為根據一實施例的沿圖18中之線B-B截得之封裝的橫截面側視圖說明。
實施例描述半導體封裝及異質堆疊晶粒之封裝程序。根據實施例,可在任何封裝層級中獨立於晶粒面積或厚度達成異質晶粒整合之靈活性。在此態樣中,SiP結構內的系統單晶片(SoC)晶粒分割可為可能的,其中可在整個封裝中自由隔離智慧財產權(IP)核心。
在各種實施例中,參考諸圖進行描述。然而,某些實施例可在沒有此等特定細節中之一或多者的情況下或與其他已知方法及組態組合而實踐。在以下描述中,闡述諸多特定細節(諸如,特定組態、尺寸及程序等)以便提供對實施例之充分理解。在其他情況下,尚未以特定細節描述熟知之半導體製程及製造技術以免不必要地混淆實施例。貫穿本說明書參考「一項實施例」意謂結合實施例描述之特定特徵、結構、組態或特性包括於至少一項實施例中。因此,在貫穿本說明書之各種地方出現的片語「在一項實施例中」未必係指相同實施例。此外,可在一或多項實施例中以任何合適的方式組合特定特徵、結構、組態或特性。
如本文中所使用之術語「頂部」、「底部」、「前」、「後」、「在…之上」、「至」、「之間」及「在…上」可指一個層相對於其他層之相對位置。在另一層「之上」或「上」或結合「至」另一層或與另一層「接觸」之一個層可直接地與另一層接觸或可具有一或多個介入層。在若干層「之間」的一個層可與該等層直接接觸或可具有一或多個介入層。
在一項實施例中,封裝包括第一封裝層級,其包括囊封於間隙 填充氧化物層內之一或多個第一層級晶粒及橫跨於該一或多個第一層級晶粒及該間隙填充氧化物層上的第一層級RDL。第二層級晶粒之平坦化前表面經混合結合至第一層級RDL之平坦化表面,該平坦化表面可包括共面的金屬與氧化物表面。根據實施例,混合結合包括位於第二層級晶粒與第一層級RDL之間的氧化物對氧化物結合及金屬對金屬結合。在此態樣中,可藉由排除用於結合之介面材料來實現顯著的封裝z高度節省。此外,混合結合可允許高連接密度。
根據實施例,可視情況穿過一或多個第一層級晶粒形成矽穿孔(TSV),且可穿過將該一或多個第一層級晶粒囊封於第一封裝層級內的間隙填充氧化物層形成氧化物穿孔(TOV)。根據實施例,第一層級晶粒、間隙填充氧化物層及TOV的厚度可減少至約20μm或更少,諸如2μm至20μm或5μm至10μm。以此方式,不僅實現z高度節省,還有可能形成窄的TSV及TOV而不使高度成為對TSV及TOV之最小寬度之實際限制因素。在此態樣中,在穿過第一封裝層級的幾乎任何位置處,至第二層級封裝內之第二層級晶粒的直接及短的通信路徑為可能的。此可另外允許歸因於佈線長度之最小佈線損失,及任何封裝層級中之晶粒對電力分配的完全存取。根據實施例,TSV及/或TOV之組合及混合結合允許異質晶粒整合中的顯著靈活性。
在一項態樣中,實施例描述SiP結構(例如,3D記憶體封裝)內的系統單晶片(SoC)晶粒分割及/或晶粒分裂,其中可遍及封裝自由隔離諸如CPU、GPU、IO、DRAM、SRAM、快取記憶體、ESD、功率管理及整合式被動元件之IP核心,同時亦減少封裝之總z高度。不同IP核心可經隔離至封裝內的不同晶粒中。另外,晶粒分割可允許不同程序節點整合至單獨晶粒中。同樣,可在不同程序節點處處理不同晶粒中之不同IP核心。借助於實例,中央處理單元(CPU)及一般處理單元(GPU)可為在不同程序節點處進行處理之單獨晶粒。可藉由在任何地 方存取電力供應線之能力促進晶粒分割之靈活性。晶粒分割的靈活性亦可減輕整個系統的熱約束。
在一實施例中,第一層級晶粒為包括得益於減少之佈線密度及短佈線路徑的主動IP核心之主動晶粒,諸如中央處理單元/一般處理單元(CPU/GPU)晶粒。在一實施例中,封裝為3D記憶體封裝,諸如寬的I/O DRAM封裝。在一實施例中,一或多個第二層級晶粒為記憶體晶粒,諸如(但不限於)DRAM。在一實施例中,諸如第二個第一層級晶粒及第三個第一層級晶粒的額外第一層級晶粒為經分割之IP核心,諸如(但不限於)經分裂I/O晶粒。
根據實施例,第一層級晶粒及TOV之厚度或高度為約20μm或更小,諸如5至10μm。以此方式,不僅實現z高度節省,還有可能形成窄的TOV。在一實施例中,例示性TOV為約10μm寬,但可形成較窄或較寬TOV,例如,多半位於10:1(高度:直徑)縱橫比內。在一實施例中,例示性TOV為約2μm寬。在此態樣中,第一層級晶粒之厚度減少允許形成相比於諸如傳統內插件中之彼等TSV的共同TSV具有實質上較小寬度(或直徑)的TOV。
根據實施例,TOV及視需要存在之TSV可用以在封裝層級之間提供短的垂直通信路徑。根據實施例,TOV亦可配置成列,以提供自第二層級晶粒至第一層級晶粒(例如,主動晶粒)之邊緣(例如,每一邊緣)的短佈線路徑,其亦可允許高佈線密度,而佈線擁擠(routing jam)得以減輕。在例示性實施例中,一列TOV中的TOV間的間距可具有為1:1的TOV對TOV間氧化物的間隙比。借助於實例,例示性的10μm寬TOV具有20μm間距(在x及/或y維度上)。此可對應於50×50/mm2(或2500/mm2)的密度。實施例不限於此等例示性間隙比、TOV間距及TOV密度。舉例而言,TOV間氧化物的量可增大至超過1:1間隙比。亦可實施較大間距,諸如40μm至70μm。另外,可製造較窄TOV。 在另一例示性實施例中,TOV為2μm寬。假定1:1間隙比,此可對應於4μm之間距及250×250/mm2(或62500/mm2)之密度。
在一項態樣中,實施例描述可具有相對較低的禁入區域(KOZ)之嵌入型TSV第一層級晶粒組態。已觀察到,諸如穿過矽晶粒之銅TSV的TSV可在周圍晶粒區域中產生應力。因此,主動器件經配置於TSV周圍的側向KOZ外部,以減輕TSV誘發之應力對主動器件的作用,諸如影響主動器件中之載流子行動性。根據實施例,嵌入型第一層級(例如,主動)晶粒之厚度減少可允許形成相比於諸如傳統內插件中之彼等TSV的共同TSV具有實質上較小寬度(或直徑)的TSV。在一些實施例中,第一層級晶粒厚度:TSV最大寬度的至多為10:1的縱橫比完全在處理參數範圍內。舉例而言,具有2至10μm或較小之最大寬度(或直徑)的TSV係可能的。出於說明之目的,表1中提供TSV尺寸及縱橫比之例示性清單。
TSV高度減少可允許TSV最大寬度(或直徑)減少,以及TSV密度增大及KOZ較小。在一些實施例中,250×250/mm2(例如,62500/mm2)之TSV密度係可能的,其可大於可以用傳統插入件達成的約10×10/mm2(例如,100/mm2)的密度。在一些實施例中,小於約5μm之KOZ係可能的。在一實施例中,穿過第一層級晶粒之TSV與第一層級晶粒中之主動器件(例如,電晶體)相距不超過5μm。在一項態樣中,此可允許主動器件之定位以及TSV之定位及密度的較大自由度,以提供至堆疊第二層級晶粒的較短及較直接的佈線。根據實施例,堆疊第二層級晶粒可具有至封裝之底部焊盤或導電凸塊的相對筆直的佈 線,其中電源平面位於(例如)電路板上。
現參考圖1,提供說明根據一實施例的形成封裝之方法的流程圖。為了明確,關於本文中所描述之其他圖式中所發現的參考特徵進行圖1之以下描述。在操作1010處,將第一封裝層級150形成於載體基板101、103上。第一封裝層級150可包括囊封於間隙填充氧化物層130中之第一層級晶粒110,及複數個氧化物穿孔(TOV)134。在一實施例中,TOV 134具有約20μm或較小的高度。隨後在操作1012處將第二層級晶粒210混合結合至第一封裝層級150,以形成經直接結合的氧化物對氧化物表面(例如,用於層164、264)及金屬對金屬表面(例如,用於層162、262)(參見圖9)。在操作1014處,將第二層級晶粒210囊封於第一封裝層級150之背側165上,後續接著在操作1016處移除載體基板101、103。可接著在操作1018處將RDL 300形成於第一封裝層級150之前側170上。
根據實施例,一或多個第一層級晶粒110可為主動晶粒,但此並非為所要求的。在其他實施例中,可用矽插入件或矽整合式被動器件(IPD)替換第一層級晶粒110。現參看圖2,提供根據一實施例的包括盲介層孔119之第一層級晶粒110之示意圖橫截面側視圖。根據實施例,第一層級晶粒110可為包括主動組件(諸如(但不限於)微處理器、記憶體、RF收發器及混合信號組件)之主動晶粒,諸如邏輯晶粒或SOC晶粒。在所說明之特定實施例中,借助於實例展示主動組件之主動器件121(例如,電晶體)。如所示,主動器件121可形成於基板117上,諸如矽基板或絕緣體上矽(SOI)基板。在一實施例中,主動器件121形成於頂部磊晶矽層116中,形成於基底矽基板114上方。在一實施例中,KOZ小於5μm,且盲介層孔119與主動器件121相距不超過5μm(側向地)。可出於佈線之目的形成一或多個互連層118,以將主動器件121及盲介層孔119連接至第一層級晶粒110之焊盤128(其包括前 側111上的128A、128B兩者)。互連層118可包括一或多個金屬層126及/或介電層124。在所說明之實施例中,盲介層孔119(其將變為TSV 120)穿插於第一層級晶粒110中之主動器件121之間。
金屬層126可提供側向互連路徑,其中介層孔127提供垂直連接。根據實施例,第一層級晶粒110之前側111可包括絕緣層122(例如,氧化物或聚合物)、連接至盲介層孔119之焊盤128B,及/或連接至第一層級晶粒110之主動器件121的焊盤128A。在所說明之實施例中,盲介層孔119形成於主動器件121之作用層(例如,頂部磊晶層116)中。盲介層孔119可完全延伸穿過作用層(例如,磊晶層116),且視需要延伸至基底基板114中。盲介層孔119之深度可至少為有待形成之最終TSV 120的深度。在一實施例中,盲介層孔119可視情況至少部分延伸穿過互連層118。舉例而言,盲介層孔119可穿過互連層118延伸至焊盤128A,或在一實施例中延伸至金屬層126。在一實施例中,盲介層孔119可不接觸前側111上的焊盤(例如,128A、128B),且改為穿過互連層118中之一或多個金屬層126及介層孔127與主動器件121連接。以此方式,有待形成之TSV 120可直接連接至第一層級晶粒110內的主動器件121。
現參看圖3,一或多個第一層級晶粒110被安裝於諸如玻璃板、矽晶圓、金屬板等的載體基板101上。載體基板101可包括用於安裝第一層級晶粒之脫模層102。在一實施例中,脫模層102為氧化物層,且使用氧化物對氧化物結合(例如,與氧化物絕緣層122結合)將第一層級晶粒110安裝於載體基板101上。在一實施例中,脫模層102為用於安裝第一層級晶粒110之黏著劑(例如,聚合物)或膠帶層。如所示,第一層級晶粒110面朝下安裝至載體基板101上,使得包括絕緣層122及焊盤128(128A、128B)之前側111面朝下。如所示,一或多個第一層級110可為具有不同厚度及面積的不同晶粒,其包括不同組件。第一 層級晶粒110中之一或多者可為主動晶粒。盲介層孔119視需要形成於第一層級晶粒110中之一或多者內,但此並非為所要求的。
一或多個第一層級晶粒110可接著使用諸如化學機械拋光(CMP)之合適技術研磨,以減小第一層級晶粒110之厚度,如圖4中所示。根據實施例,第一層級晶粒110之薄化可曝露盲介層孔119,導致第一層級晶粒110之背側115包括TSV 120之曝露表面123。在一實施例中,第一層級晶粒110薄化至約20μm或更小,諸如2μm至20μm,或5μm至10μm。
參看圖5中所說明之實施例,可接著將間隙填充氧化物層130形成於薄化之第一層級晶粒110上方。在一實施例中,使用諸如化學氣相沈積(CVD)之合適技術沈積間隙填充氧化物層130,但可使用其他技術。歸因於第一層級晶粒110之厚度減少,可使用CVD沈積優質間隙填充氧化物層130,其可輔助混合結合。
現參看圖6,TOV 134可形成為穿過間隙填充氧化物層130。舉例而言,間隙填充氧化物層130可經平坦化、圖案化,且TOV 134形成於平坦化間隙填充氧化物層130內。亦可視需要形成TSV 120。舉例而言,可在此階段在盲介層孔119並非事先形成於第一層級晶粒110中的實施例中形成TSV 120。在一實施例中,薄化之第一層級晶粒110不包括TSV 120。在圖6中所說明之特定實施例中,間隙填充氧化物層130之後表面131及第一層級晶粒110之背側115經平坦化,從而曝露TOV 134之表面135,且視需要曝露TSV 120之表面123。
第一層級RDL 160可視需要如圖7中所說明的形成於間隙填充氧化物層130及薄化之第一層級晶粒110上方。第一層級RDL可形成於複數個TOV 134及/或TSV 120上,且與其等電接觸。如所示,第一層級RDL 160可包括一或多條金屬重佈線162(例如,銅)及絕緣層164。在一實施例中,一或多個絕緣層164由氧化物(例如,SiO2)形成,以供後 續混合結合。間隙填充氧化物層130、TOV 134、第一層級晶粒110,及可選第一層級RDL 160一起形成第一封裝層級150。如圖8中所說明,可使用諸如CMP之合適技術來平坦化第一封裝層級150(例如,第一層級RDL 160)之背側165,以形成用於混合結合之平坦表面。
可接著將一或多個第二層級晶粒210混合結合至第一封裝層級150,如圖9中所說明之實施例中所示。在所說明之特定實施例中,第二層級晶粒210被面朝下混合結合,其中第二層級晶粒210之(例如,平坦的)前側211混合結合至第一封裝層級150之背側165(例如,平坦後表面)。更確切而言,前表面211可混合結合至第一層級RDL 160(若存在)。圖9中之混合結合之近視圖展示:第一層級RDL 160之絕緣層164(例如,SiO2)與用於第二層級晶粒210之堆積結構260的絕緣層264(例如,SiO2)之直接結合氧化物對氧化物表面;及第一層級RDL 160之重佈線162(例如,銅)與用於第二層級晶粒210之堆積結構260的金屬層262(例如,銅)之直接結合金屬對金屬表面。
第二層級晶粒210隨後囊封於第一封裝層級150之背側165上的第二層級模製化合物240中。舉例而言,第二層級模製化合物240可包括熱固型交聯樹脂(例如,環氧樹脂),但可使用如電子封裝中所已知的其他材料。可使用合適技術來實現囊封,諸如但不限於轉注模製、壓縮模製及層壓。在所說明之實施例中,第二層級模製化合物240覆蓋第二層級晶粒210之背側215。較厚的第二層級模製化合物240可在後續處理期間提供結構支撐。
現參看圖11,移除載體基板101,且可將RDL 300形成於第一封裝層級150之前側170上。具體而言,可將RDL 300形成於間隙填充氧化物層130及第一層級晶粒110之前側111上。如所示,亦可將RDL 300形成於複數個TOV 134上且與其等電接觸。RDL 300可包括單條重佈線302或多條重佈線302及介電層304。RDL 300可藉由逐層程序形 成,且可使用薄膜技術形成。在一實施例中,RDL 300具有小於50μm之總厚度,或更確切而言,小於30μm,諸如約20μm。在一實施例中,RDL 300包括嵌入型重佈線302(嵌入型跡線)。舉例而言,可藉由首先形成晶種層,隨後形成金屬(例如銅)圖案而產生重佈線302。替代地,可藉由沈積(例如,濺鍍)及蝕刻形成重佈線302。重佈線302之材料可包括(但不限於)金屬材料,諸如銅、鈦、鎳、金及其組合或合金。重佈線302之金屬圖案接著嵌入於視情況經圖案化之介電層304中。該(等)介電層304可為任何合適之材料,諸如氧化物或聚合物(例如聚醯亞胺)。在形成RDL 300之後,可將複數個導電凸塊350(例如,焊料凸塊或柱形凸塊)形成於RDL 300之前側311上。可接著自經重配基板單體化個別封裝100。在一些實施例中,可在單體化之前使用諸如CMP之合適技術來減小包括第二層級模製化合物240及第二層級晶粒210之第二封裝層級250的厚度。在圖12中所說明之實施例中,可減小第二封裝層級250之厚度以曝露一或多個第二層級晶粒210的背側215。
圖13為根據實施例的封裝100之示意性仰視圖說明,其說明自包括第一層級晶粒110之第一封裝層級150至包括第二層級晶粒210之第二封裝層級250的多種TOV 134及(視需要)TSV 120連接。圖13亦說明在實施例中可為可能的封裝層級內之晶粒大小(x、y維度)及定位(x、y位置)之自由度。根據實施例,異質晶粒可整合至多個封裝層級中,而無需一個封裝層級必須大於另一封裝層級。因此,特定晶粒無需封裝至主要載體封裝層級中。此外,可達成封裝層級之間的短通信路徑。根據實施例,介層孔(TOV或TSV)可位於第一封裝層級150之整個面中的任何位置處,其可允許第一層級晶粒110及第二層級晶粒210兩者對電力分配之完全存取。根據實施例,可另外在晶粒重疊處提供第一層級晶粒110與第二層級晶粒210之間的短通信路徑長度。在一項實 施例中,第一層級晶粒110可為橋接晶粒,其包括位於兩個單獨第二層級晶粒210正下方且與其通信的TSV 120。
圖14為說明根據一實施例的形成封裝之方法的流程圖,該方法可視情況包括形成兩個以上封裝層級。在圖14之以下描述中,對在圖3至圖12及圖15A至圖15D中提供之橫截面側視圖說明中所發現的特徵進行參考。參看圖14,在操作1410處,將第一層級晶粒110附接至載體基板101,類似於先前關於圖3A所描述的。在操作1412處,減小第一層級晶粒110之厚度,類似於關於圖4所描述的。在操作1414處,將間隙填充氧化物層130沈積在薄化之第一層級晶粒110上,類似於關於圖5所描述的。在操作1416處,將間隙填充氧化物層130(及視需要第一層級晶粒110)平坦化,類似於關於圖6所描述的。在操作1418處,穿過間隙填充氧化物層130形成TOV 134,類似於關於圖6所描述的。在操作1420處,將第一層級RDL 160形成於間隙填充氧化物層130及第一層級晶粒110上方,類似於關於圖7至圖8所描述的,從而產生圖15B中所說明之結構。
在操作1422處,將第二層級晶粒210或視需要第一層級晶粒110混合結合至第一層級RDL 160,類似於關於圖9所描述的,從而產生圖15C中所說明之結構。在此階段,可一或多次地重複操作1412至1422以形成額外封裝層級150A、150B等。在操作1424處,將第二層級晶粒210囊封於第一封裝層級之背側上,類似於關於圖10所描述的。在操作1426處,移除載體基板101,且在操作1428處,將RDL形成於第一封裝層級之前側上,類似於關於圖11所描述的。可接著減小第二封裝層級250之厚度,類似於關於圖12所描述的。參考圖15D,說明一程序流程,其中,形成兩個封裝層級150A、150B,將第二層級晶粒210囊封於第一封裝層級150B之背側165B上,且將RDL 300形成於第一封裝層級150A之前側170A上。
圖16為說明根據一實施例的形成封裝之方法的流程圖。在圖16之以下描述中,對在圖3至圖12及圖17A至圖17E中提供之橫截面側視圖說明中所發現的特徵進行參考。參看圖16,在操作1610處,將第一層級晶粒110附接至第一載體基板101,類似於先前關於圖3所描述的。在操作1612處,減小第一層級晶粒110之厚度,類似於關於圖4所描述的。在操作1614處,將間隙填充氧化物層130沈積在薄化之第一層級晶粒110上,類似於關於圖5所描述的。在操作1618處,穿過間隙填充氧化物層130形成TOV 134,類似於關於圖6所描述的,從而產生圖17A中所說明之結構。
在操作1620處,將第二載體基板103附接至薄化之第一層級晶粒110及間隙填充氧化物層130。可接著在操作1622處移除第一載體基板101,且在操作1624處將第一層級RDL 160形成於間隙填充氧化物層130及第一層級晶粒110上方,從而產生圖17B中所說明之結構。在此階段,第一層級晶粒110之前側111面朝上向著第一封裝層級150中之第一層級RDL 160。
在操作1626處,將第二層級晶粒210混合結合至第一層級RDL 160,類似於關於圖9所描述的,從而產生圖17C中所說明之結構。在此階段,可一或多次地重複操作1412至1422或1612至1626以形成額外封裝層級150A、150B等。在操作1628處,將第二層級晶粒210囊封於第一封裝層級之背側上,類似於關於圖10所描述的。在操作1630處,移除第二載體基板103,且在操作1632處,將RDL形成於第一封裝層級之前側上,類似於關於圖11所描述的。可接著減小第二封裝層級250之厚度,類似於關於圖12所描述的。參看圖17D,說明一程序流程,其中形成一個第一封裝層級150,其中第一層級晶粒110之前側111及第二層級晶粒210之前側211面向彼此。參考圖17E,說明一程序流程,其中,形成兩個封裝層級150A、150B,將第二層級晶粒210囊 封於第一封裝層級150B之背側165B上,且將RDL 300形成於第一封裝層級150A之前側170A上。在圖17E中所說明之實施例中,第一封裝層級150A內的第一層級晶粒110A之前側111與第一封裝層級150B內的第一層級晶粒110B之前側111面向彼此。替代地,第一層級晶粒110A或110B中之任一者的定向可反轉。
現參看圖18,根據一實施例提供晶粒堆疊配置之示意性仰視圖說明及一列TOV之近距透視圖。圖19A為根據一實施例的沿圖18中之線A-A截得之封裝的橫截面側視圖說明。圖19B為根據一實施例的沿圖18中之線B-B截得之封裝的橫截面側視圖說明。在所說明之實施例中,封裝100包括第一層級晶粒110A、第二個第一層級晶粒110B、及第三個第一層級晶粒110C、TOV 134之第一列136A,及TOV 134之第二列136B。第二個第一層級晶粒110B及第三個第一層級晶粒110C側向地鄰近於第一層級晶粒110A之對立側。參看圖18,第一層級晶粒110A係矩形的,但根據實施例其他形狀係可能的。如所示,TOV 134之第一列136A及第二列136B側向地鄰近於(且平行於)第一層級晶粒110A之第一對側向對立側105A、105B。如所示,第二個第一層級晶粒110B及第三個第一層級晶粒110C分別側向地鄰近於(且平行於)第一層級主動晶粒110A之第二對側向對立側108A、108B。
參看圖18及圖19A至圖19B,第一個第二層級晶粒210A及第二個第二層級晶粒210B並列配置在第一層級晶粒上。TOV 134之第一列136A位於第一個第二層級晶粒210A下方,且TOV 134之第二列136B位於第二個第二層級晶粒210B下方。TOV 134之列136A、136B可平行於對應第二層級晶粒210A、210B之鄰近邊緣203。在一實施例中,第一層級(例如,主動)晶粒210A之背側115面向側向地介於TOV 134之第一列136A及第二列136B之間的第一個第二層級晶粒210A及第二個第二層級晶粒210B之前側111。在此組態中,可達成至第一層級主動 晶粒110A之每一不同邊緣的短電佈線路徑(藉由圖18中之箭頭說明)。舉例而言,RDL 300(例如,參見圖19A至圖19B)可形成於第一層級主動晶粒110A、TOV 134之第一列136A及第二列136B,及第二個第一層級晶粒110B及第三個第一層級晶粒110C上且與其等電接觸。
在一實施例中,封裝100包括RDL 300及位於RDL 300之背側315上的第一封裝層級150之前側170。第一層級晶粒110A囊封於RDL 300之背側315上的間隙填充氧化物層130中。另外,第二個第一層級晶粒110B及第三個第一層級晶粒110C可定位為側向地鄰近於第一層級晶粒110A之對立側。第一層級晶粒110A、110B、110C可全部位於RDL 300上且與其電接觸。TOV 134之第一列136A及TOV 134之第二列136B自RDL 300之背側315凸起,且第一層級晶粒110A側向地定位於TOV 134之第一列136A及第二列136B之間。在一實施例中,RDL 300可形成於第一層級晶粒110A、110B、110C及TOV之第一列136A及第二列136B之前側111上,且與其等電接觸。複數個第二層級晶粒210A、210B混合結合至第一封裝層級150之背側165,具有直接結合氧化物對氧化物表面及直接結合金屬對金屬表面。第一封裝層級150可另外包括位於第一層級晶粒110A及間隙填充氧化物層130之背側115上的第一封裝層級RDL 160。
應瞭解,一對第二層級晶粒210A、210B及一對第二個第一層級晶粒110B及第三個第一層級晶粒110C的特定配置係例示性的。雖然特定配置可用以形成至第一層級晶粒110A之每一側的短的電佈線路徑,但其他組態係可能的。另外,第一層級晶粒110A、第二個第一層級晶粒110B及/或第三個第一層級晶粒110C可包括如先前所描述的TSV 120。
雖然分別描述及說明若干封裝變化,但許多結構特徵及處理序列可組合於單一實施例中。在利用實施例之各種態樣中,對於熟習此 項技術者將變得顯而易見,以上實施例之組合或變化有可能用於形成包括異質堆疊晶粒之封裝。儘管已經用對於結構特徵及/或方法動作而言特定之語言描述實施例,但應理解,所附申請專利範圍不必受限於所描述之特定特徵或動作。所揭示之特定特徵及動作應替代地理解為申請專利範圍的對於說明有用之實施例。
1010‧‧‧步驟
1012‧‧‧步驟
1014‧‧‧步驟
1016‧‧‧步驟
1018‧‧‧步驟

Claims (20)

  1. 一種封裝,其包含:一重佈層(RDL);位於該RDL上之一第一封裝層級的一前側,該第一封裝層級包括:囊封於該RDL上之一間隙填充氧化物層中的一第一層級晶粒;及延伸穿過該間隙填充氧化物層的複數個氧化物穿孔(TOV);其中該等TOV及該第一層級晶粒具有約20微米或更小的高度;及一第二封裝層級,其包括混合結合至該第一封裝層級之一背側的一第二層級晶粒,該混合結合包括直接結合氧化物對氧化物表面及直接結合金屬對金屬表面。
  2. 如請求項1之封裝,其中該第一封裝層級包括位於該第一層級晶粒及該間隙填充氧化物層之一背側上的一第一封裝層級RDL,且該複數個TOV在該RDL與該第一封裝層級RDL之間提供一電連接。
  3. 如請求項2之封裝,其中該第二層級晶粒混合結合至該第一封裝層級RDL之一平坦化後表面。
  4. 如請求項3之封裝,其中該第一封裝層級RDL包括一氧化物介電層及金屬重佈線,且該第二層級晶粒混合結合至該氧化物介電層及該金屬重佈線。
  5. 如請求項2之封裝,其中該第一層級晶粒包括複數個矽穿孔(TSV),且該第一封裝層級RDL形成於該複數個TSV上且與其等電接觸。
  6. 如請求項1之封裝,其中該RDL形成於該第一層級晶粒之一前側及該複數個TOV上,且與其等電接觸。
  7. 如請求項1之封裝,其中該第二層級晶粒囊封於該第一封裝層級上的一模製化合物中。
  8. 如請求項1之封裝,其進一步包含:一第二列TOV;其中該複數個TOV包含一第一列TOV,且該第一列TOV及該第二列TOV側向地鄰近於該第一層級晶粒之一第一對側向對立側;一第二個第一層級晶粒及一第三個第一層級晶粒,其側向地鄰近於該第一層級晶粒之一第二對側向對立側;其中該RDL形成於該第一層級晶粒之一前側、該第二個第一層級晶粒之一前側、該第三個第一層級晶粒之一前側、該第一列TOV及該第二列TOV上,且與其等電接觸。
  9. 如請求項8之封裝,其進一步包含位於該第一層級晶粒內的複數個TSV,其中每一TSV具有約10μm或更小的最大寬度。
  10. 一種封裝,其包含:一重佈層(RDL);位於該RDL之一背側上的一第一封裝層級之一前側,該第一封裝層級包括:一第一層級晶粒,其囊封於該RDL之該背側上的一間隙填充氧化物層中;一第一列氧化物穿孔(TOV),其自該RDL之該背側突出;一第二列氧化物穿孔(TOV),其自該RDL之該背側突出;其中該第一層級晶粒經定位成側向地介於該第一列TOV及該第二列TOV之間;及複數個第二層級晶粒,其混合結合至該第一封裝層級之一背 側,該混合結合包括直接結合氧化物對氧化物表面及直接結合金屬對金屬表面。
  11. 如請求項10之封裝,其中該第一封裝層級包括位於該第一層級晶粒及該間隙填充氧化物層之一背側上的一第一封裝層級RDL,且該複數個TOV在該RDL與該第一封裝層級RDL之間提供一電連接。
  12. 如請求項11之封裝,其中該第一封裝層級RDL包括一氧化物介電層及一金屬重佈線,且該第二層級晶粒混合結合至該氧化物介電層及該金屬重佈線。
  13. 如請求項10之封裝,其進一步包含側向地鄰近於該第一層級晶粒之對立側的一第二個第一層級晶粒及一第三個第一層級晶粒,其中該第一層級晶粒、該第二個第一層級晶粒及該第三個第一層級晶粒位於該RDL上,且與其電接觸。
  14. 如請求項13之封裝,其中該第一層級晶粒係矩形的,該第一列TOV及該第二列TOV側向地鄰近於該第一層級晶粒之一第一對側向對立側,且該第二個第一層級晶粒及該第三個第一層級晶粒側向地鄰近於該第一層級晶粒之一第二對側向對立側。
  15. 如請求項14之封裝,其中該第一層級晶粒、該第一列TOV,及該第二列TOV具有約20μm或更小的高度。
  16. 如請求項15之封裝,其進一步包含位於該第一層級晶粒內的複數個TSV,其中每一TSV具有約10μm或更小的最大寬度。
  17. 一種形成一封裝之方法,其包含:將一第一封裝層級形成於一載體基板上,該第一封裝層級包括囊封於一間隙填充氧化物層中之一第一層級晶粒,及複數個氧化物穿孔(TOV),其中該等TOV具有約20μm或更小的高度;將一第二層級晶粒混合結合至該第一封裝層級,其中該混合 結合包括直接結合氧化物對氧化物表面及金屬對金屬表面;將該第二層級晶粒囊封於該第一封裝層級之一背側上;移除該載體基板;及將一重佈層(RDL)形成於該第一封裝層級之一前側上。
  18. 如請求項17之方法,其中將該第一封裝層級形成於該載體基板上包含:將該第一層級晶粒附接至該載體基板;將該間隙填充氧化物層沈積於該第一層級晶粒上;將該間隙填充氧化物層平坦化;及將該複數個TOV形成於該間隙填充氧化物層中。
  19. 如請求項18之方法,其進一步包含在將該第一層級晶粒附接至該載體基板之後及將該間隙填充氧化物層沈積於該第一層級晶粒上之前研磨該第一層級晶粒以減小該第一層級晶粒之厚度。
  20. 如請求項17之方法:其中將該第一封裝層級形成於該載體基板上包含:將一第一層級RDL形成於該平坦化間隙填充氧化物層及第一層級晶粒上;及將該第一層級RDL平坦化;及其中將該第二層級晶粒混合結合至該第一封裝層級包含:將該第二層級晶粒混合結合至該平坦化第一層級RDL。
TW105121277A 2015-08-21 2016-07-05 半導體封裝及用於形成該半導體封裝的方法 TWI621228B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562208544P 2015-08-21 2015-08-21
US62/208,544 2015-08-21
US14/935,310 US9559081B1 (en) 2015-08-21 2015-11-06 Independent 3D stacking
US14/935,310 2015-11-06

Publications (2)

Publication Number Publication Date
TW201712824A true TW201712824A (zh) 2017-04-01
TWI621228B TWI621228B (zh) 2018-04-11

Family

ID=57867523

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105121277A TWI621228B (zh) 2015-08-21 2016-07-05 半導體封裝及用於形成該半導體封裝的方法

Country Status (5)

Country Link
US (1) US9559081B1 (zh)
KR (1) KR102033865B1 (zh)
CN (1) CN107851615B (zh)
TW (1) TWI621228B (zh)
WO (1) WO2017034654A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI672791B (zh) * 2018-05-07 2019-09-21 財團法人工業技術研究院 晶片封裝結構及其製造方法
US10861808B2 (en) 2018-11-21 2020-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding structure of dies with dangling bonds

Families Citing this family (121)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
US20150262902A1 (en) 2014-03-12 2015-09-17 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
US11069734B2 (en) 2014-12-11 2021-07-20 Invensas Corporation Image sensor device
US9741620B2 (en) 2015-06-24 2017-08-22 Invensas Corporation Structures and methods for reliable packages
US10886250B2 (en) 2015-07-10 2021-01-05 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
US10078183B2 (en) * 2015-12-11 2018-09-18 Globalfoundries Inc. Waveguide structures used in phonotics chip packaging
US9852988B2 (en) 2015-12-18 2017-12-26 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding
US10446532B2 (en) 2016-01-13 2019-10-15 Invensas Bonding Technologies, Inc. Systems and methods for efficient transfer of semiconductor elements
US20170338204A1 (en) * 2016-05-17 2017-11-23 Taiwan Semiconductor Manufacturing Company, Ltd. Device and Method for UBM/RDL Routing
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
US10332841B2 (en) * 2016-07-20 2019-06-25 Taiwan Semiconductor Manufacturing Company, Ltd. System on integrated chips and methods of forming the same
US10446487B2 (en) 2016-09-30 2019-10-15 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10580735B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Stacked IC structure with system level wiring on multiple sides of the IC die
TWI822659B (zh) 2016-10-27 2023-11-21 美商艾德亞半導體科技有限責任公司 用於低溫接合的結構和方法
TWI623049B (zh) * 2016-11-04 2018-05-01 英屬開曼群島商鳳凰先驅股份有限公司 封裝基板及其製作方法
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
US10796936B2 (en) 2016-12-22 2020-10-06 Invensas Bonding Technologies, Inc. Die tray with channels
EP3563411B1 (en) 2016-12-28 2021-04-14 Invensas Bonding Technologies, Inc. Method of processing a substrate on a temporary substrate
US20180182665A1 (en) 2016-12-28 2018-06-28 Invensas Bonding Technologies, Inc. Processed Substrate
WO2018126052A1 (en) 2016-12-29 2018-07-05 Invensas Bonding Technologies, Inc. Bonded structures with integrated passive component
US10522499B2 (en) 2017-02-09 2019-12-31 Invensas Bonding Technologies, Inc. Bonded structures
US10629577B2 (en) 2017-03-16 2020-04-21 Invensas Corporation Direct-bonded LED arrays and applications
US10515913B2 (en) 2017-03-17 2019-12-24 Invensas Bonding Technologies, Inc. Multi-metal contact structure
US10508030B2 (en) 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
US10784191B2 (en) 2017-03-31 2020-09-22 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10008454B1 (en) * 2017-04-20 2018-06-26 Nxp B.V. Wafer level package with EMI shielding
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10529634B2 (en) 2017-05-11 2020-01-07 Invensas Bonding Technologies, Inc. Probe methodology for ultrafine pitch interconnects
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10446441B2 (en) 2017-06-05 2019-10-15 Invensas Corporation Flat metal features for microelectronics applications
US10217720B2 (en) 2017-06-15 2019-02-26 Invensas Corporation Multi-chip modules formed using wafer-level processing of a reconstitute wafer
US10276551B2 (en) * 2017-07-03 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device package and method of forming semiconductor device package
CN107507816A (zh) * 2017-08-08 2017-12-22 中国电子科技集团公司第五十八研究所 扇出型晶圆级多层布线封装结构
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
WO2019065668A1 (ja) * 2017-09-29 2019-04-04 株式会社村田製作所 高周波モジュールおよび通信装置
US11031285B2 (en) 2017-10-06 2021-06-08 Invensas Bonding Technologies, Inc. Diffusion barrier collar for interconnects
US10622342B2 (en) * 2017-11-08 2020-04-14 Taiwan Semiconductor Manufacturing Company Ltd. Stacked LED structure and associated manufacturing method
US10658313B2 (en) 2017-12-11 2020-05-19 Invensas Bonding Technologies, Inc. Selective recess
US11011503B2 (en) 2017-12-15 2021-05-18 Invensas Bonding Technologies, Inc. Direct-bonded optoelectronic interconnect for high-density integrated photonics
US10217708B1 (en) * 2017-12-18 2019-02-26 Apple Inc. High bandwidth routing for die to die interposer and on-chip applications
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11256004B2 (en) 2018-03-20 2022-02-22 Invensas Bonding Technologies, Inc. Direct-bonded lamination for improved image clarity in optical devices
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US10790262B2 (en) 2018-04-11 2020-09-29 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US11244916B2 (en) 2018-04-11 2022-02-08 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10964664B2 (en) 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
US10727203B1 (en) * 2018-05-08 2020-07-28 Rockwell Collins, Inc. Die-in-die-cavity packaging
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11276676B2 (en) * 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
US11171117B2 (en) 2018-06-12 2021-11-09 Invensas Bonding Technologies, Inc. Interlayer connection of stacked microelectronic components
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
EP3807927A4 (en) 2018-06-13 2022-02-23 Invensas Bonding Technologies, Inc. TSV AS A HIDEPAD
US10910344B2 (en) 2018-06-22 2021-02-02 Xcelsis Corporation Systems and methods for releveled bump planes for chiplets
WO2020010056A1 (en) 2018-07-03 2020-01-09 Invensas Bonding Technologies, Inc. Techniques for joining dissimilar materials in microelectronics
US11462419B2 (en) 2018-07-06 2022-10-04 Invensas Bonding Technologies, Inc. Microelectronic assemblies
US11158606B2 (en) 2018-07-06 2021-10-26 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
US11515291B2 (en) 2018-08-28 2022-11-29 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US11296044B2 (en) 2018-08-29 2022-04-05 Invensas Bonding Technologies, Inc. Bond enhancement structure in microelectronics for trapping contaminants during direct-bonding processes
US11011494B2 (en) * 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
US11282761B2 (en) 2018-11-29 2022-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and methods of manufacturing the same
US11244920B2 (en) 2018-12-18 2022-02-08 Invensas Bonding Technologies, Inc. Method and structures for low temperature device bonding
KR20210104742A (ko) 2019-01-14 2021-08-25 인벤사스 본딩 테크놀로지스 인코포레이티드 접합 구조체
KR20200092566A (ko) 2019-01-25 2020-08-04 에스케이하이닉스 주식회사 브리지 다이를 포함한 반도체 패키지
US10770433B1 (en) 2019-02-27 2020-09-08 Apple Inc. High bandwidth die to die interconnect with package area reduction
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US10854578B2 (en) 2019-03-29 2020-12-01 Invensas Corporation Diffused bitline replacement in stacked wafer memory
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
JP2022528592A (ja) 2019-04-15 2022-06-15 長江存儲科技有限責任公司 プロセッサおよびダイナミック・ランダムアクセス・メモリを有する接合半導体デバイスおよびそれを形成する方法
CN112614831B (zh) * 2019-04-15 2023-08-08 长江存储科技有限责任公司 具有处理器和异构存储器的一体化半导体器件及其形成方法
WO2020211272A1 (en) * 2019-04-15 2020-10-22 Yangtze Memory Technologies Co., Ltd. Unified semiconductor devices having processor and heterogeneous memories and methods for forming the same
CN110731012B (zh) 2019-04-15 2021-01-29 长江存储科技有限责任公司 具有处理器和异构存储器的一体化半导体器件及其形成方法
US11355404B2 (en) 2019-04-22 2022-06-07 Invensas Bonding Technologies, Inc. Mitigating surface damage of probe pads in preparation for direct bonding of a substrate
US11562982B2 (en) * 2019-04-29 2023-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit packages and methods of forming the same
KR20210114016A (ko) 2019-04-30 2021-09-17 양쯔 메모리 테크놀로지스 씨오., 엘티디. 프로세서 및 낸드 플래시 메모리를 갖는 접합된 반도체 소자 및 이를 형성하는 방법
WO2020232678A1 (zh) * 2019-05-22 2020-11-26 华为技术有限公司 一种3dic芯片的制作方法及3dic芯片
US11385278B2 (en) 2019-05-23 2022-07-12 Invensas Bonding Technologies, Inc. Security circuitry for bonded structures
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
KR102661671B1 (ko) * 2019-07-25 2024-04-29 삼성전자주식회사 적층된 반도체 칩들을 포함하는 반도체 패키지
CN110739292A (zh) * 2019-09-02 2020-01-31 上海先方半导体有限公司 一种3d封装结构及其制作方法
US11856800B2 (en) 2019-09-20 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices with system on chip devices
DE102020106799A1 (de) * 2019-09-20 2021-03-25 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleiterbauelemente und verfahren zur herstellung
DE102020108481B4 (de) 2019-09-27 2023-07-06 Taiwan Semiconductor Manufacturing Company, Ltd. Halbleiter-Die-Package und Herstellungsverfahren
US11004819B2 (en) 2019-09-27 2021-05-11 International Business Machines Corporation Prevention of bridging between solder joints
US11264314B2 (en) * 2019-09-27 2022-03-01 International Business Machines Corporation Interconnection with side connection to substrate
US11476201B2 (en) * 2019-09-27 2022-10-18 Taiwan Semiconductor Manufacturing Company. Ltd. Package-on-package device
US11587905B2 (en) * 2019-10-09 2023-02-21 Industrial Technology Research Institute Multi-chip package and manufacturing method thereof
US11410968B2 (en) * 2019-10-18 2022-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
DE102020113986B4 (de) * 2019-10-18 2023-07-27 Taiwan Semiconductor Manufacturing Co., Ltd. Integrierter-schaltkreis-package und verfahren
US11532533B2 (en) 2019-10-18 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit package and method
TWI701777B (zh) * 2019-10-22 2020-08-11 財團法人工業技術研究院 影像感測器封裝件及其製造方法
US11862602B2 (en) 2019-11-07 2024-01-02 Adeia Semiconductor Technologies Llc Scalable architecture for reduced cycles across SOC
WO2021092779A1 (zh) * 2019-11-12 2021-05-20 华为技术有限公司 芯片堆叠封装结构、电子设备
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11876076B2 (en) 2019-12-20 2024-01-16 Adeia Semiconductor Technologies Llc Apparatus for non-volatile random access memory stacks
CN115088068A (zh) 2019-12-23 2022-09-20 伊文萨思粘合技术公司 用于接合结构的电冗余
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
US11742314B2 (en) 2020-03-31 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Reliable hybrid bonded apparatus
US11804469B2 (en) * 2020-05-07 2023-10-31 Invensas Llc Active bridging apparatus
WO2021236361A1 (en) 2020-05-19 2021-11-25 Invensas Bonding Technologies, Inc. Laterally unconfined structure
US11728254B2 (en) * 2020-05-22 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Giga interposer integration through chip-on-wafer-on-substrate
US11631647B2 (en) 2020-06-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages with integrated device die and dummy element
US11710688B2 (en) 2020-07-07 2023-07-25 Mediatek Inc. Semiconductor package structure
US11728273B2 (en) 2020-09-04 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11764177B2 (en) 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die
US20220148953A1 (en) * 2020-11-09 2022-05-12 Qualcomm Incorporated Hybrid reconstituted substrate for electronic packaging
US11764171B2 (en) * 2021-04-27 2023-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit structure and method
US11735529B2 (en) 2021-05-21 2023-08-22 International Business Machines Corporation Side pad anchored by next adjacent via
CN113544827A (zh) * 2021-05-21 2021-10-22 广东省科学院半导体研究所 一种芯片的封装方法及封装结构
CN115547981A (zh) * 2021-06-30 2022-12-30 联发科技股份有限公司 半导体封装结构
CN114937633B (zh) * 2022-07-25 2022-10-18 成都万应微电子有限公司 一种射频芯片系统级封装方法及射频芯片系统级封装结构

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8263434B2 (en) 2009-07-31 2012-09-11 Stats Chippac, Ltd. Semiconductor device and method of mounting die with TSV in cavity of substrate for electrical interconnect of Fi-PoP
US8518746B2 (en) 2010-09-02 2013-08-27 Stats Chippac, Ltd. Semiconductor device and method of forming TSV semiconductor wafer with embedded semiconductor die
US9947609B2 (en) 2012-03-09 2018-04-17 Honeywell International Inc. Integrated circuit stack
US9818734B2 (en) * 2012-09-14 2017-11-14 STATS ChipPAC Pte. Ltd. Semiconductor device and method of forming build-up interconnect structures over a temporary substrate
US9385052B2 (en) * 2012-09-14 2016-07-05 STATS ChipPAC Pte. Ltd. Semiconductor device and method of forming build-up interconnect structures over carrier for testing at interim stages
KR101419597B1 (ko) 2012-11-06 2014-07-14 앰코 테크놀로지 코리아 주식회사 반도체 디바이스 및 그 제조 방법
KR101419601B1 (ko) 2012-11-20 2014-07-16 앰코 테크놀로지 코리아 주식회사 Emc 웨이퍼 서포트 시스템을 이용한 반도체 디바이스 및 이의 제조방법
US8946784B2 (en) * 2013-02-18 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for image sensor packaging
US9331032B2 (en) 2013-03-06 2016-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding and apparatus for performing the same
US9728453B2 (en) * 2013-03-15 2017-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for hybrid wafer bonding integrated with CMOS processing
US9087821B2 (en) * 2013-07-16 2015-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bonding with through substrate via (TSV)
KR20150014214A (ko) 2013-07-29 2015-02-06 삼성전기주식회사 반도체 패키지용 몰딩 조성물 및 이를 이용한 반도체 패키지
US9379078B2 (en) 2013-11-07 2016-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. 3D die stacking structure with fine pitches
US9293437B2 (en) * 2014-02-20 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Functional block stacked 3DIC and method of making same
US9666520B2 (en) * 2014-04-30 2017-05-30 Taiwan Semiconductor Manufactuing Company, Ltd. 3D stacked-chip package
KR101729378B1 (ko) * 2014-05-30 2017-04-21 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 디바이스 및 반도체 디바이스 제조 방법

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI672791B (zh) * 2018-05-07 2019-09-21 財團法人工業技術研究院 晶片封裝結構及其製造方法
US10950588B2 (en) 2018-05-07 2021-03-16 Industrial Technology Research Institute Chip package structure and manufacturing method thereof
US10861808B2 (en) 2018-11-21 2020-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding structure of dies with dangling bonds
TWI735008B (zh) * 2018-11-21 2021-08-01 台灣積體電路製造股份有限公司 積體電路裝置的封裝及其形成方法
US11908817B2 (en) 2018-11-21 2024-02-20 Taiwan Semiconductor Manufacturing Company, Ltd Bonding structure of dies with dangling bonds

Also Published As

Publication number Publication date
US9559081B1 (en) 2017-01-31
CN107851615B (zh) 2021-01-05
KR102033865B1 (ko) 2019-10-17
CN107851615A (zh) 2018-03-27
KR20180030147A (ko) 2018-03-21
US20170053897A1 (en) 2017-02-23
WO2017034654A1 (en) 2017-03-02
TWI621228B (zh) 2018-04-11

Similar Documents

Publication Publication Date Title
TWI621228B (zh) 半導體封裝及用於形成該半導體封裝的方法
US11469218B2 (en) Devices employing thermal and mechanical enhanced layers and methods of forming same
US11217563B2 (en) Fully interconnected heterogeneous multi-layer reconstructed silicon device
TWI627716B (zh) 系統級封裝扇出堆疊架構及製程流程
TWI725065B (zh) 積體晶片的系統及其形成方法
US10032749B2 (en) Three-dimensional chip-to-wafer integration
US11195816B2 (en) Integrated circuit packages comprising a plurality of redistribution structures and methods of forming the same
TWI556349B (zh) 半導體裝置的結構及其製造方法
US10049928B2 (en) Embedded 3D interposer structure
TWI550808B (zh) 3d互連結構、3d封裝體及形成一雙鑲嵌3d互連結構之方法
TW201834086A (zh) 封裝結構及其形成方法
US11380653B2 (en) Die stack structure and manufacturing method thereof
TW201721771A (zh) 整合式扇出封裝及製造方法
TW201205699A (en) Embedded wafer-level bonding approaches
US9478499B2 (en) Semiconductor package structure and method for manufacturing the same
TW202137475A (zh) 半導體裝置及其製作方法
TWI830360B (zh) 半導體封裝及其製造方法與封裝裝置
US20130056865A1 (en) Method of Three Dimensional Integrated Circuit Assembly
TWI407540B (zh) 具矽通道之多晶片堆疊結構及其製法
TW201112385A (en) Package process and package structure
TW202310166A (zh) 用於半導體晶粒總成之結合墊及相關聯之方法及系統
TW202115858A (zh) 多晶片封裝件及其製造方法
US11823980B2 (en) Package structure and manufacturing method thereof
US20230361048A1 (en) Semiconductor package and method of fabricating semiconductor package
TW202343707A (zh) 封裝結構