TW201642320A - 原子層成長裝置 - Google Patents

原子層成長裝置 Download PDF

Info

Publication number
TW201642320A
TW201642320A TW105114481A TW105114481A TW201642320A TW 201642320 A TW201642320 A TW 201642320A TW 105114481 A TW105114481 A TW 105114481A TW 105114481 A TW105114481 A TW 105114481A TW 201642320 A TW201642320 A TW 201642320A
Authority
TW
Taiwan
Prior art keywords
mask
inert gas
susceptor
gas supply
substrate
Prior art date
Application number
TW105114481A
Other languages
English (en)
Other versions
TWI684205B (zh
Inventor
Tatsuya Matsumoto
Keisuke Washio
Original Assignee
Japan Steel Works Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Japan Steel Works Ltd filed Critical Japan Steel Works Ltd
Publication of TW201642320A publication Critical patent/TW201642320A/zh
Application granted granted Critical
Publication of TWI684205B publication Critical patent/TWI684205B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • C23C16/45521Inert gas curtains the gas, other than thermal contact gas, being introduced the rear of the substrate to flow around its periphery
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4558Perforated rings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本發明是在使用遮罩、基座的原子層成長裝置中,防止粒子對遮罩或基座的附著。原子層成長裝置在基板上形成薄膜,包括:成膜容器;載台,設置於成膜容器內;基座,在載台上保持基板;遮罩,配置於基板上,且為包圍基板的大小;遮罩銷,可支持遮罩而上下可動;以及遮罩銷孔,上下貫穿載台及基座,供遮罩銷可上下移動地插通,基座包括具有基板保持面的基座本體、及位於基座本體周圍且高度比保持面低的基座周緣部,遮罩銷孔在基座周緣部開口,在基座周緣部,在遮罩的包圍區域內,且在保持面的周圍設有將氣體朝上方側排出的惰性氣體供給口,在惰性氣體供給口連接供給惰性氣體的惰性氣體供給路徑。

Description

原子層成長裝置
本發明是有關於一種在基板上形成薄膜的原子層成長裝置。
原子層成長法是將構成欲形成之薄膜的元素的氣體(gas)交替供給至基板上,於基板上以原子層為單位形成薄膜,其作為均勻地形成薄膜的技術而為人知曉。原子層成長法與一般的化學氣相沈積(Chemical Vapor Deposition,CVD)法相比,階梯覆蓋性(Step Coverage),及膜厚控制性優異。
以往的濺鍍(sputter)成膜裝置中,可利用如專利文獻1般的遮罩(mask)。濺鍍成膜由於膜的階梯覆蓋性低,因此在遮罩背面的成膜量小,因而顆粒(particle)產生量小,維護週期亦長。然而,在原子層成長成膜中,由於膜的階梯覆蓋性高,因此對遮罩背面的附膜量多。侵入至微細間隙內的氣體成為厚膜及粉,從而成為顆粒的主要因素。尤其,為了維持遮罩的平坦性,不能增大遮罩的表面粗糙度,因此遮罩更換頻率增大。
因此,在利用遮罩的原子層成長成膜時,來自基座(susceptor)周邊的惰性氣體沖洗(purge)變得有效。專利文獻2中,在載台(stage)上設置有氣體供給口,從基座的背面供給惰性氣體。 [現有技術文獻] [專利文獻]
[專利文獻1]日本專利特開2004-339581號公報 [專利文獻2]日本專利特開2000-243711號公報
[發明所欲解決之課題] 然而,依照專利文獻2所示的裝置,若在載台本體上設置氣體供給口,則載台的設計及製作將變得繁瑣。因而,在變更基板尺寸的情況下,需要變更載台自身的結構,因此存在通用性低的問題。
本發明是以所述情況為背景而完成,其目的之一在於提供一種原子層成長裝置,能夠抑制對遮罩背面的附膜,且可容易地應對基板尺寸的變更。 [解決課題之手段]
本發明的原子層成長裝置中,第1形態是一種原子層成長裝置,其在基板上形成薄膜,所述原子層成長裝置的特徵在於包括: 成膜容器; 載台,設置於所述成膜容器內; 基座,在所述載台上保持所述基板; 遮罩,配置於所述基板上,且為包圍所述基板的大小; 遮罩銷,可支持所述遮罩而上下可動;以及 遮罩銷孔,上下貫穿所述載台及所述基座,供所述遮罩銷可上下移動地插通, 所述基座包括具有所述基板的保持面的基座本體、及位於所述基座本體的周圍且高度比所述保持面低的基座周緣部, 所述遮罩銷孔在所述基座周緣部開口, 在所述基座周緣部,在所述遮罩的包圍區域內,且在所述保持面的周圍設有將氣體朝上方側排出的惰性氣體供給口,在所述惰性氣體供給口連接有供給惰性氣體的惰性氣體供給路徑。
第2形態的原子層成長裝置的本發明如所述形態的本發明,其特徵在於,以所述基座本體為基準而在所述遮罩銷孔更外側,設有所述惰性氣體供給口。
第3形態的原子層成長裝置的本發明如所述形態的本發明,其特徵在於,所述惰性氣體供給口是在所述保持面的周圍沿著整周而形成有多個或者遍及整周而連續地形成。
第4形態的原子層成長裝置的本發明如所述形態的本發明,其特徵在於,所述惰性氣體供給口相對於所述惰性氣體供給路徑而具有簇射頭結構。
第5形態的原子層成長裝置的本發明如所述形態的本發明,其特徵在於,形成在所述遮罩與所述基座周緣部之間的間隙為0.1 mm以上且10 mm以下。
第6形態的原子層成長裝置的本發明如所述形態的本發明,其特徵在於,所述遮罩的周緣端與所述惰性氣體供給口的接近側的距離為1 mm以上且200 mm以下。
第7形態的原子層成長裝置的本發明如所述形態的本發明,其特徵在於,在所述基座周緣部的上表面,設置有防附材。
第8形態的原子層成長裝置的本發明如所述形態的本發明,其特徵在於,所述惰性氣體是以與載台面溫度為±10%以內的溫度而從所述惰性氣體供給口排出。 (發明的效果)
根據本發明,可抑制對遮罩背面的附膜,從而容易應對基板尺寸的變更,因此可降低遮罩及基座的清潔(cleaning)頻率,且可應對各種基板尺寸、製程(process)條件。
首先,參照圖1來說明本實施形態的原子層成長裝置的結構。圖1是表示本實施形態的原子層成長裝置的一例的概略結構圖。 本實施形態的原子層成長裝置10交替地供給原料氣體與反應氣體,在基板13上以原子層為單位形成薄膜。此時,為了提高反應活性,可對基板13進行加熱。本實施形態中,使用三甲基鋁(Tri-Methyl Aluminum,TMA)來作為原料氣體,此時,為了提高反應活性,亦可產生電漿(plasma)。本實施形態中,是使用平行平板電極來產生電漿,但並不限定於該方式。 成膜容器11具備:導入原料氣體、反應氣體、沖洗氣體的氣體導入部20和排氣部30;載台14;平板電極12;高頻電源15;基座16;基板搬入口17;以及配置於基板13上的遮罩56。氣體導入部20具有從成膜容器11外側設置的注射器(injector)21以及從成膜容器11內側設置的注射器防附材22,排氣部30具有從成膜容器11內側設置的排氣防附材31以及從成膜容器11外側設置的排氣配管連接部32。
載台14具備加熱器(heater)(未圖示),可調整基板13的溫度。例如,在電漿原子層成長成膜的情況下,將基板13加熱至50℃~200℃。 平板電極12是與高頻電源15連接。藉由高頻電源15供給規定頻率的高頻電流,從而在平板電極12與載台14之間產生電漿。 基板13是由頂升銷(lift pin)18從載台14的下方予以支持。頂升銷18藉由載台14的升降,而可在搬送室空間61內進行基板13的交接。 導入原料氣體、反應氣體、沖洗氣體的氣體導入部20將原料氣體、反應氣體、沖洗氣體供給至成膜容器11內。排氣部30將原料氣體、反應氣體、沖洗氣體從成膜容器11排出至外部。 圖1表示原子層成長裝置的基本結構,以下說明的一部分結構予以省略。
(實施形態1) 圖2是從與氣流方向平行的成膜室側面觀察時的基座16外周的放大圖,圖3是表示基座周邊的一部分的放大平面圖。 基座16具有:基座本體16A,被支持於載台14,且在上表面具有保持基板13的保持面160;以及基座周緣部16B,位於該基座本體16A周圍且高度面低於保持面160。保持面160具有配合基板13的大小的形狀。
遮罩56被設置於基座16上,且具有包圍基板13的大小。以本發明來說,超過基板13的大小並無特別限定,但本實施形態中,將超過基板13的大小設為50 mm以下。 基座周緣部16B在靠近保持面160的一側的上表面,形成有惰性氣體供給口48,本實施形態中,以凹槽形狀且以包圍基座本體16A的方式而形成。進而,在凹槽內,以在槽的底面與槽的內外周面之間具有小間隙的方式,而設置有環板狀的簇射板(shower plate)49。
簇射板49例如在下表面形成不連續的突部,藉此可與槽底面確保間隙,而且,藉由以比槽的內外周寬度窄的寬度而形成,從而可在與槽的內外周面之間形成小間隙。而且,在簇射板上,例如亦可以規定間隔(例如100 mm間距)而形成規定直徑(例如1 mm直徑)的噴吹孔49A。 另外,簇射板49較佳為覆蓋基板13的周圍。 藉由所述簇射板49,惰性氣體供給口48具有簇射頭(shower head)結構。藉由簇射頭結構,可在基座本體16A周圍均等地噴吹惰性氣體。
基座周緣部16B在內部具有連通於所述惰性氣體供給口48的惰性氣體供給路徑47,該惰性氣體供給路徑47的末端到達基座周緣部16B的外周壁。惰性氣體供給路徑47亦可形成一條或多條,例如能以3 mm直徑而形成。 在惰性氣體供給路徑47上,連接有配置在基座16外周側的惰性氣體供給管46,惰性氣體供給管46的另一端側連接於成膜容器11上所設的惰性氣體通氣口45。在惰性氣體通氣口45上,連接有未圖示的惰性氣體供給部。 惰性氣體供給管46例如可由不鏽鋼製管、波紋柔性管(bellows flexible tube)等構成。在將基板13搬入成膜容器11內或從成膜容器11內搬出時,載台14上下,而惰性氣體供給管46必須追隨於該上下運行。惰性氣體供給管46亦構成惰性氣體供給路徑的一部分。
而且,在基座周緣部16B,在惰性氣體供給口48的外周側,形成有貫穿載台14及基座周緣部16B的遮罩銷孔40,在遮罩銷孔40內,插入有可上下運行而可使遮罩56上下移動的遮罩銷41。 而且,在基座周緣部16B,除了惰性氣體供給口48及遮罩銷孔40以外,在上表面的露出面,包覆有基座防附板19。理想的是,基座防附板19的上表面高度是與簇射板49的上表面高度齊平。
此處,由遮罩56下表面與基座防附板19上表面所形成的距離a較佳為0.1 mm以上且10 mm以下,進而較佳為1 mm。距離a越小,則越可抑制侵入至間隙內的原料氣體及反應氣體量,所需的惰性氣體供給量亦降低。遮罩較佳為絕緣體,但亦可為不鏽鋼等金屬。但是,在遮罩為金屬的情況下,有可能在所述間隙內產生放電。此時,利用帕申定律(Paschen's law),以使所述距離a與所述間隙的壓力之積不滿足放電條件,來進行所述間隙的壓力調整。
而且,遮罩56的外周緣端與基座防附板19的重合距離b,即,與惰性氣體供給口48的外周側端部的距離較佳為1 mm以上且200 mm以下,進而較佳為20 mm。其原因在於,若距離b小,則即使供給惰性氣體,亦有可能因原料氣體及反應氣體的擴散而侵入至簇射板49。若值過大,則遮罩及真空容器尺寸亦變大,因而欠佳。
從惰性氣體供給部供給的惰性氣體通過惰性氣體通氣口45、惰性氣體供給管46、惰性氣體供給路徑47、惰性氣體供給口48,並經由簇射板49而通過簇射板49與惰性氣體供給口48的間隙或噴吹孔49A而噴吹。惰性氣體通過由遮罩56與基座防附板19所形成的間隙而排出至基座16的外周側,並通過排氣部30而排出。 而且,較佳為將基座防附板19設置於基座周緣部16B上,但亦可僅為基座周緣部16B。此時,基座周緣部16B上表面與遮罩56下表面的距離為a,從遮罩56外周端直至簇射板49的外周端側為止的距離為b。
而且,較佳為,惰性氣體在被加熱至與載台溫度為±10%以內的溫度為止後,從所述惰性氣體供給口48放出。例如,在將載台加熱至100℃的情況下,若供給常溫的惰性氣體,則基座16外周會受到冷卻,因此基板13的溫度分佈亦會下降,膜厚均勻性及膜質均勻性下降。因而,為了確保基座16的溫度為固定,較佳為例如供給90℃~110℃的惰性氣體。
(實施形態2) 圖4是將圖2的惰性氣體供給口的設置位置最佳化的基座結構。 在遮罩銷孔40無法完全密封(seal)成膜空間60與搬送室空間61的情況下,若兩者存在壓力差,則氣體將會流向任一者。 載台14、基座16及搬送室空間61通常難以實施維護。因而,若在該些部分產生顆粒,則顆粒的去除將變得困難。例如,在遮罩銷孔40內存在顆粒的狀態下,若搬送室空間61為正壓、成膜空間60為負壓,則顆粒會乘著氣流而放出至成膜空間60,顆粒將會附著於基板13,因而欠佳。因而,較佳為成膜空間60為正壓、搬送室空間61為負壓。
然而,在圖2所示的基座結構的情況下,由於成膜空間60與搬送室空間61的壓力差,從惰性氣體供給口48供給的惰性氣體被吸入遮罩銷孔40,同時有可能吸入原料氣體及反應氣體。即使為同壓,亦有可能因擴散而導致原料氣體及反應氣體擴散至遮罩銷孔。被吸入的原料氣體及反應氣體會發生反應,因此會在遮罩銷孔內產生顆粒。因而,較佳為,惰性氣體供給口如圖4般較遮罩銷孔40而設置在外周側。
基於圖4來說明依照所述的原子層成長裝置的結構。另外,原子層成長裝置的基本結構如圖1所示,與所述實施形態1為同樣,因而省略或簡化其記載。 圖4是從與氣流方向平行的成膜室側面觀察時的基座16外周的放大圖,圖5是表示基座周邊的一部分的放大平面圖。 基座16是與所述實施形態1同樣,具有:基座本體16A,被支持於載台14,且具有保持基板13的保持面160;以及基座周緣部16B,其位於該基座本體16A周圍,且高度低於保持面160。保持面160具有配合基板13的大小的形狀。 遮罩56被設置於基座16上,且具有可包圍基板13的大小。超過基板13的大小亦與實施形態1同樣設為50 mm以下。
基座周緣部16B在靠近保持面160的位置的上表面,形成有多個貫穿載台14及基座周緣部16B的遮罩銷孔40,在遮罩銷孔40內,插入有可上下運行而使遮罩56上下移動的遮罩銷41。 以基座本體16A為基準而在遮罩銷孔40的外周側,以凹槽形狀且以包圍基座本體16A的方式而形成有惰性氣體供給口50,在該凹槽內,以與槽的底面和內外周面具有小間隙的方式而設置有簇射板51。簇射板51例如在下表面形成不連續的突部,藉此可與槽底面確保間隙。而且,在簇射板51上,例如亦可以規定間隔(例如10 mm~200 mm間距)而形成規定直徑(例如1 mm~3 mm直徑)的噴吹孔51A。
另外,簇射板51較佳為覆蓋基板13的周圍。 藉由所述簇射板51,惰性氣體供給口50具有簇射頭結構。 而且,簇射頭結構中,既可在基座周緣部16B設置噴吹孔以製作簇射部,亦可獨立製作簇射板並安裝於基座周緣部16B。 另外,在基座周緣部16B,除了遮罩銷孔40及惰性氣體供給口50以外,在上表面的露出面包覆有基座防附板19A。理想的是,基座防附板19A的上表面高度與簇射板51的上表面高度為齊平。
基座周緣部16B在內部具有連通於所述惰性氣體供給口50的惰性氣體供給路徑47,該惰性氣體供給路徑47的末端到達基座周緣部16B的外周壁。惰性氣體供給路徑47亦可形成一條或多條,例如能以3 mm直徑而形成。 在惰性氣體供給路徑47上,連接有配置在基座16外周側的惰性氣體供給管46,惰性氣體供給管46的另一端側連接於成膜容器11上所設的惰性氣體通氣口45。在惰性氣體通氣口45上,連接有未圖示的惰性氣體供給部。惰性氣體供給管46例如可由不鏽鋼製管、波紋柔性管等所構成。
由遮罩56下表面與基座防附板19A上表面所形成的距離a較佳為0.1 mm以上且10 mm以下,進而較佳為1 mm。距離a越小,則越可抑制侵入至間隙內的原料氣體及反應氣體量,所需的惰性氣體供給量亦降低。遮罩較佳為絕緣體,但亦可為不鏽鋼等金屬。但是,在遮罩為金屬的情況下,有可能在所述間隙內產生放電。此時,利用帕申定律,以使所述距離a與所述間隙的壓力之積不滿足放電條件,來進行所述間隙的壓力調整。
而且,遮罩56的外周緣端部與基座防附板19A的重合距離b,即,與惰性氣體供給口50的外周端部的距離較佳為1 mm以上且200 mm以下,進而較佳為20 mm。其原因在於,若距離b小,則即使供給惰性氣體,亦有可能因原料氣體及反應氣體的擴散而侵入至簇射板51。若值過大,則遮罩及真空容器尺寸亦變大,因而欠佳。 但是,本實施形態中,在遮罩銷40的外周側噴吹惰性氣體,抑制原料氣體或反應氣體的擴散的作用大。
從惰性氣體供給部供給的惰性氣體通過惰性氣體通氣口45、惰性氣體供給管46、惰性氣體供給路徑47、惰性氣體供給口50,並經由簇射板51而通過與惰性氣體供給口50的間隙或噴吹孔51A而噴吹,並通過由遮罩56與基座防附板19A所形成的間隙而供給至排氣部30。 而且,較佳為將基座防附板19A設置於基座周緣部16B上,但亦可僅為基座周緣部16B。此時,基座周緣部16B上表面與遮罩56下表面的距離為a,從遮罩56外周端直至簇射板51的外周端側為止的距離為b。
在所述各實施形態中變更基板13的尺寸的情況下,可藉由變更基座16的尺寸來應對,而無須變更載台結構。而且,在製程條件變更時,會伴隨惰性氣體供給條件,但其亦可僅為惰性氣體供給口、簇射板的變更。
接下來,對所述原子層成長裝置10中的處理程序進行說明。 圖6是表示本實施形態的原子層堆積方法的一例的流程圖。圖7A~圖7D是表示在基板S上形成薄膜的步驟的圖。
首先,原料氣體供給部對成膜容器11的內部供給原料氣體(步驟s1)。具體而言,對氣體導入部20供給原料氣體。原料氣體被供給至成膜容器11的內部。原料氣體例如以0.1秒鐘供給至成膜容器11的內部。如圖7A所示,藉由步驟s1,對成膜容器11的內部供給原料氣體110,原料氣體110吸附於基板S上,形成吸附層102。
而且,在步驟s1中,對注射器21的內表面及注射器防附材22的外表面供給惰性氣體。而且,在排出部30中,亦對排氣防附材31及排氣配管連接部32供給惰性氣體。進而,在基座周緣部16B中,亦供給惰性氣體。 本實施形態中,不僅在步驟s1中,後述的步驟s2~步驟s4亦包括在內,始終供給惰性氣體。因此,在步驟s1中,當對成膜容器11的內部供給原料氣體時,可抑制原料氣體進入成膜容器11與注射器防附材22的間隙、成膜容器11與排氣防附材31的間隙、以及遮罩56與基座16的間隙內。
接下來,停止原料氣體的供給,利用氣體導入部來供給沖洗氣體(步驟s2)。沖洗氣體被供給至成膜容器11的內部。原料氣體從排氣部30排出至成膜容器11的外部。 沖洗氣體例如以0.1秒鐘供給至成膜容器11的內部。排氣部30排出成膜容器11內部的原料氣體110或沖洗氣體112。排氣部30例如以2秒鐘排出成膜容器11內部的原料氣體110或沖洗氣體112。如圖7B所示,藉由步驟s2,沖洗氣體112被供給至成膜容器11的內部,從成膜容器11中沖洗掉未吸附於基板S上的原料氣體110。
接下來,對成膜容器11的內部供給反應氣體(步驟s3)。具體而言,通過氣體導入部20來供給反應氣體。反應氣體通過氣體導入部20的通路而被供給至成膜容器11的內部。反應氣體例如以1秒鐘供給至成膜容器11的內部。如圖7C所示,藉由步驟s3,對成膜容器11的內部供給反應氣體114。
而且,在步驟s3中,亦對注射器21的內表面、注射器防附材22的外表面或排氣部30、基座周緣部16B供給惰性氣體。因此,在步驟S3中,當對成膜容器11的內部供給反應氣體時,可抑制反應氣體進入成膜容器11與注射器防附材22的間隙、成膜容器11與排氣防附材31的間隙、以及遮罩56與基座16的間隙內。
接下來,停止反應氣體的供給,對氣體導入部20供給沖洗氣體(步驟s4)。沖洗氣體被供給至成膜容器11的內部。沖洗氣體從排氣部30排出至成膜容器11的外部。沖洗氣體例如以0.1秒鐘供給至成膜容器11的內部。排氣部30排出成膜容器11內部的反應氣體114或沖洗氣體112。如圖7D所示,藉由步驟s4,對成膜容器11的內部供給沖洗氣體112,從成膜容器11中沖洗掉反應氣體114。
藉由以上說明的步驟s1~步驟s4,在基板S上形成一原子層的薄膜層104。以下,藉由將步驟s1~步驟s4以規定次數反覆進行,從而可形成所需膜厚的薄膜層104。
本實施形態的原子層成長裝置10中,惰性氣體流經注射器21的內表面及注射器防附材22的外表面,因此可抑制原料氣體或反應氣體進入成膜容器11與注射器21的間隙。因此,可抑制薄膜附著於成膜容器11與注射器21的間隙。而且,亦同樣防止薄膜附著於排氣部30。 進而,惰性氣體在基座周緣部16B流出,因此防止對遮罩底面或基板側面及基板底面、基座等的附膜。
而且,例如使用TMA來作為原料氣體並使用O3 來作為反應氣體而形成的氧化鋁膜可藉由BCl3 氣體來進行氣體蝕刻(gas etching)。為了藉由BCl3 氣體來對氧化鋁膜進行氣體蝕刻,例如必須加熱至500℃左右的高溫。 在載台14上設有加熱器(未圖示),位於該加熱器附近的成膜容器11的內壁可藉由加熱器而加熱至500℃左右的高溫。因此,在位於加熱器附近的成膜容器11的內壁上附著的薄膜可藉由氣體蝕刻而去除。
如上所述,根據本實施形態,可抑制薄膜附著於成膜容器11的內壁或基座等上,而且,可藉由氣體蝕刻來去除附著於內壁或基座的薄膜,因此可降低藉由濕式蝕刻(wet etching)的清潔頻率。 [實施例1]
使用圖1、圖4所示的原子層成長裝置,於370 mm×470 mm的G2玻璃基板上形成AlON薄膜。本原子層成長裝置的各種值如下。 a:1 mm b:20 mm 簇射部孔徑:1 mm 簇射部間距:100 mm 載台溫度:100℃ 惰性氣體溫度:100℃ 惰性氣流量:500 sccm
作為液體原料(Al源)使用TMA(三甲基鋁),作為反應氣體使用氧電漿及氮電漿。成膜採用圖6所示的序列。成膜容器內壓力設為100 Pa,從惰性氣體供給部供給500 sccm的氮,在成膜序列中,設為始終供給。 實施20 μm的成膜後,藉由目測來觀察對遮罩56下表面與基座防附板19A上表面的附膜量,確認未觀測到因薄膜造成的干涉膜,其膜量為50 nm以下。因而,確認為可容易地應對基板尺寸變更、製程條件變更的結構,並且可降低遮罩及基座的清潔頻率。
以上,基於所述實施形態對本發明進行了說明,但只要不脫離本發明的範圍,便可進行適當的變更。
10‧‧‧原子層成長裝置
11‧‧‧成膜容器
12‧‧‧平板電極
13‧‧‧基板
14‧‧‧載台
15‧‧‧高頻電源
16‧‧‧基座
16A‧‧‧基座本體
16B‧‧‧基座周緣部
17‧‧‧基板搬入口
18‧‧‧頂升銷
19、19A‧‧‧基座防附板
20‧‧‧氣體導入部
21‧‧‧注射器
22‧‧‧注射器防附材
30‧‧‧排氣部
31‧‧‧排氣防附材
32‧‧‧排氣配管連接部
40‧‧‧遮罩銷孔
41‧‧‧遮罩銷
45‧‧‧惰性氣體通氣口
46‧‧‧惰性氣體供給管
47‧‧‧惰性氣體供給路徑
48、50‧‧‧惰性氣體供給口
49、51‧‧‧簇射板
49A、50A‧‧‧噴吹孔
56‧‧‧遮罩
60‧‧‧成膜空間
61‧‧‧搬送室空間
102‧‧‧吸附層
104‧‧‧薄膜層
110‧‧‧原料氣體
112‧‧‧沖洗氣體
114‧‧‧反應氣體
160‧‧‧保持面
a、b‧‧‧距離
S‧‧‧基板
s1~s4‧‧‧步驟
圖1是對本發明的一實施形態的原子層成長裝置省略一部分的概略結構圖。 圖2是表示本發明的一實施形態的原子層成長裝置的、從與氣流方向平行的成膜室側面觀察時的基座周邊的放大圖。 圖3是本發明的一實施形態的基座周邊的放大的平面圖。 圖4是表示對於另一實施形態中的原子層成長裝置,從與氣流方向平行的成膜室側面觀察時的基座周邊的放大圖。 圖5是另一實施形態的基座周邊的放大的平面圖。 圖6是表示本發明的一實施形態中的原子層成長方法的一例的流程圖。 圖7A、圖7B、圖7C、圖7D是表示本發明的一實施形態的、在基板上形成薄膜的步驟的圖。
16A‧‧‧基座本體
16B‧‧‧基座周緣部
19‧‧‧基座防附板
40‧‧‧遮罩銷孔
41‧‧‧遮罩銷
48、50‧‧‧惰性氣體供給口
49‧‧‧簇射板
49A‧‧‧噴吹孔
160‧‧‧保持面

Claims (8)

  1. 一種原子層成長裝置,其在基板上形成薄膜,所述原子層成長裝置的特徵在於包括: 成膜容器; 載台,設置於所述成膜容器內; 基座,在所述載台上保持所述基板; 遮罩,配置於所述基板上,且為包圍所述基板的大小; 遮罩銷,可支持所述遮罩而上下可動;以及 遮罩銷孔,上下貫穿所述載台及所述基座,供所述遮罩銷可上下移動地插通, 所述基座包括具有所述基板的保持面的基座本體、及位於所述基座本體的周圍且高度比所述保持面低的基座周緣部, 所述遮罩銷孔在所述基座周緣部開口, 在所述基座周緣部,在所述遮罩的包圍區域內,且在所述保持面的周圍設有將氣體朝上方側排出的惰性氣體供給口,在所述惰性氣體供給口連接有供給惰性氣體的惰性氣體供給路徑。
  2. 如申請專利範圍第1項所述的原子層成長裝置,其中以所述基座本體為基準而在所述遮罩銷孔更外側,設有所述惰性氣體供給口。
  3. 如申請專利範圍第1項或第2項所述的原子層成長裝置,其中所述惰性氣體供給口是在所述保持面的周圍沿著整周而形成有多個或者遍及整周而連續地形成。
  4. 如申請專利範圍第1項至第3項中任一項所述的原子層成長裝置,其中所述惰性氣體供給口相對於所述惰性氣體供給路徑而具有簇射頭結構。
  5. 如申請專利範圍第1項至第4項中任一項所述的原子層成長裝置,其中形成在所述遮罩與所述基座周緣部之間的間隙為0.1 mm以上且10 mm以下。
  6. 如申請專利範圍第1項至第5項中任一項所述的原子層成長裝置,其中所述遮罩的周緣端與所述惰性氣體供給口的接近側的距離為1 mm以上且200 mm以下。
  7. 如申請專利範圍第1項至第6項中任一項所述的原子層成長裝置,其中在所述基座周緣部的上表面,設置有防附材。
  8. 如申請專利範圍第1項至第7項中任一項所述的原子層成長裝置,其中所述惰性氣體是以與載台面溫度為±10%以內的溫度而從所述惰性氣體供給口排出。
TW105114481A 2015-05-26 2016-05-11 原子層成長裝置 TWI684205B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2015-106856 2015-05-26
JP2015106856A JP6054470B2 (ja) 2015-05-26 2015-05-26 原子層成長装置

Publications (2)

Publication Number Publication Date
TW201642320A true TW201642320A (zh) 2016-12-01
TWI684205B TWI684205B (zh) 2020-02-01

Family

ID=57393136

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105114481A TWI684205B (zh) 2015-05-26 2016-05-11 原子層成長裝置

Country Status (5)

Country Link
US (1) US10633737B2 (zh)
JP (1) JP6054470B2 (zh)
CN (1) CN107615460B (zh)
TW (1) TWI684205B (zh)
WO (1) WO2016190005A1 (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6778553B2 (ja) * 2016-08-31 2020-11-04 株式会社日本製鋼所 原子層成長装置および原子層成長方法
JP6723116B2 (ja) * 2016-08-31 2020-07-15 株式会社日本製鋼所 原子層成長装置および原子層成長方法
JP6857522B2 (ja) * 2017-03-17 2021-04-14 株式会社日本製鋼所 成膜方法および電子装置の製造方法並びにマスク保持体
JP7093850B2 (ja) * 2018-12-03 2022-06-30 株式会社アルバック 成膜装置及び成膜方法
KR102244438B1 (ko) * 2018-12-17 2021-04-27 어드밴스드 마이크로 패브리케이션 이큅먼트 인코퍼레이티드. 차이나 플라즈마 처리 장치에 사용되는 rf 전극 조립품 및 플라즈마 처리 장치
JP2020147795A (ja) * 2019-03-13 2020-09-17 東京エレクトロン株式会社 プラズマ処理装置
CN114026268A (zh) * 2019-06-25 2022-02-08 皮考逊公司 衬底背面保护
JP7239549B2 (ja) * 2020-12-10 2023-03-14 キヤノントッキ株式会社 成膜装置、成膜方法及び電子デバイスの製造方法
CN116892016A (zh) * 2023-09-11 2023-10-17 上海星原驰半导体有限公司 工艺腔室装置及晶圆处理设备

Family Cites Families (138)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61163279A (ja) 1985-01-09 1986-07-23 Nec Corp Cvd装置
JPH06953B2 (ja) 1986-09-18 1994-01-05 日本電気株式会社 薄膜形成装置
US5044314A (en) 1986-10-15 1991-09-03 Advantage Production Technology, Inc. Semiconductor wafer processing apparatus
US4793283A (en) 1987-12-10 1988-12-27 Sarkozy Robert F Apparatus for chemical vapor deposition with clean effluent and improved product yield
JPH01183113A (ja) 1988-01-18 1989-07-20 Fujitsu Ltd 気相成長装置
JPH0752716B2 (ja) 1990-06-05 1995-06-05 松下電器産業株式会社 熱分解セル
JP3105990B2 (ja) * 1991-06-26 2000-11-06 株式会社東芝 X線マスクおよびx線マスクの製造方法
JP2763222B2 (ja) 1991-12-13 1998-06-11 三菱電機株式会社 化学気相成長方法ならびにそのための化学気相成長処理システムおよび化学気相成長装置
JPH06244269A (ja) 1992-09-07 1994-09-02 Mitsubishi Electric Corp 半導体製造装置並びに半導体製造装置におけるウエハ真空チャック装置及びガスクリーニング方法及び窒化膜形成方法
JP3118737B2 (ja) 1992-10-23 2000-12-18 東京エレクトロン株式会社 被処理体の処理方法
US5326725A (en) 1993-03-11 1994-07-05 Applied Materials, Inc. Clamping ring and susceptor therefor
US5578132A (en) 1993-07-07 1996-11-26 Tokyo Electron Kabushiki Kaisha Apparatus for heat treating semiconductors at normal pressure and low pressure
JP2875458B2 (ja) 1993-07-16 1999-03-31 大日本スクリーン製造株式会社 基板の熱処理装置
US5457298A (en) 1993-07-27 1995-10-10 Tulip Memory Systems, Inc. Coldwall hollow-cathode plasma device for support of gas discharges
JP3207993B2 (ja) 1993-12-28 2001-09-10 株式会社荏原製作所 半導体製造装置
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US6200389B1 (en) 1994-07-18 2001-03-13 Silicon Valley Group Thermal Systems Llc Single body injector and deposition chamber
US5643394A (en) 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5732298A (en) * 1994-12-09 1998-03-24 Nikon Corporation Picture frame switching mechanism
JPH08186081A (ja) 1994-12-29 1996-07-16 F T L:Kk 半導体装置の製造方法及び半導体装置の製造装置
US5599371A (en) 1994-12-30 1997-02-04 Corning Incorporated Method of using precision burners for oxidizing halide-free, silicon-containing compounds
JP3982844B2 (ja) 1995-01-12 2007-09-26 株式会社日立国際電気 半導体製造装置及び半導体の製造方法
US5772770A (en) 1995-01-27 1998-06-30 Kokusai Electric Co, Ltd. Substrate processing apparatus
JP3477953B2 (ja) * 1995-10-18 2003-12-10 東京エレクトロン株式会社 熱処理装置
KR100267418B1 (ko) 1995-12-28 2000-10-16 엔도 마코토 플라스마처리방법및플라스마처리장치
JPH09251935A (ja) 1996-03-18 1997-09-22 Applied Materials Inc プラズマ点火装置、プラズマを用いる半導体製造装置及び半導体装置のプラズマ点火方法
JP3512968B2 (ja) * 1996-04-11 2004-03-31 株式会社日本自動車部品総合研究所 半導体装置の製造方法
US5788799A (en) 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
JP3696983B2 (ja) 1996-06-17 2005-09-21 キヤノン株式会社 プラズマ処理方法およびプラズマ処理装置
US5992463A (en) 1996-10-30 1999-11-30 Unit Instruments, Inc. Gas panel
US6293310B1 (en) 1996-10-30 2001-09-25 Unit Instruments, Inc. Gas panel
US5935283A (en) 1996-12-31 1999-08-10 Atmi Ecosys Corporation Clog-resistant entry structure for introducing a particulate solids-containing and/or solids-forming gas stream to a gas processing system
JPH11335849A (ja) 1998-05-27 1999-12-07 Ebara Corp 成膜装置
US6040011A (en) * 1998-06-24 2000-03-21 Applied Materials, Inc. Substrate support member with a purge gas channel and pumping system
JP4317608B2 (ja) 1999-01-18 2009-08-19 東京エレクトロン株式会社 成膜装置
JP2000243711A (ja) 1999-02-24 2000-09-08 Kokusai Electric Co Ltd 基板処理装置
JP4252702B2 (ja) 2000-02-14 2009-04-08 株式会社荏原製作所 反応副生成物の配管内付着防止装置及び付着防止方法
JP4567148B2 (ja) 2000-06-23 2010-10-20 東京エレクトロン株式会社 薄膜形成装置
JP2002093598A (ja) 2000-07-11 2002-03-29 Daihen Corp プラズマ発生装置
KR100372251B1 (ko) 2001-02-09 2003-02-15 삼성전자주식회사 반도체 설비용 가스 분배장치
US6852167B2 (en) 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
JP2002302770A (ja) * 2001-04-09 2002-10-18 Hitachi Kokusai Electric Inc 基板処理装置
JP2002334868A (ja) 2001-05-10 2002-11-22 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
JP2002359229A (ja) 2001-06-01 2002-12-13 Mitsubishi Electric Corp 半導体装置の製造方法および半導体装置の製造装置
US6527911B1 (en) 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
JP4963336B2 (ja) 2001-08-28 2012-06-27 東京エレクトロン株式会社 熱処理装置
JP2003074468A (ja) 2001-08-31 2003-03-12 Toshiba Corp 真空排気システム及びその監視・制御方法
TW573053B (en) 2001-09-10 2004-01-21 Anelva Corp Surface processing apparatus
JP2003179045A (ja) 2001-12-13 2003-06-27 Tokyo Electron Ltd プラズマ処理装置及びその制御方法
US20030124842A1 (en) 2001-12-27 2003-07-03 Applied Materials, Inc. Dual-gas delivery system for chemical vapor deposition processes
US6827815B2 (en) 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
US7163587B2 (en) 2002-02-08 2007-01-16 Axcelis Technologies, Inc. Reactor assembly and processing method
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US20030213560A1 (en) 2002-05-16 2003-11-20 Yaxin Wang Tandem wafer processing system and process
KR100481874B1 (ko) 2003-02-05 2005-04-11 삼성전자주식회사 집적회로 제조에 사용되는 확산로 및 확산로의 냉각방법
JP4268429B2 (ja) 2003-03-17 2009-05-27 東京エレクトロン株式会社 基板処理装置および基板処理方法
US7118781B1 (en) 2003-04-16 2006-10-10 Cree, Inc. Methods for controlling formation of deposits in a deposition system and deposition methods including the same
JP2004339581A (ja) 2003-05-16 2004-12-02 Matsushita Electric Ind Co Ltd 薄膜形成装置
US7682454B2 (en) 2003-08-07 2010-03-23 Sundew Technologies, Llc Perimeter partition-valve with protected seals and associated small size process chambers and multiple chamber systems
WO2005024928A1 (ja) 2003-09-03 2005-03-17 Tokyo Electron Limited ガス処理装置および放熱方法
JP4513329B2 (ja) 2004-01-16 2010-07-28 東京エレクトロン株式会社 処理装置
JP4451221B2 (ja) 2004-06-04 2010-04-14 東京エレクトロン株式会社 ガス処理装置および成膜装置
JP5519105B2 (ja) 2004-08-02 2014-06-11 ビーコ・インストゥルメンツ・インコーポレイテッド 化学気相成長の方法及び化学気相成長リアクタ用のガス供給システム
JP2006080148A (ja) 2004-09-07 2006-03-23 Hitachi Kokusai Electric Inc 基板処理装置
KR100782369B1 (ko) 2004-11-11 2007-12-07 삼성전자주식회사 반도체 제조장치
JP4410119B2 (ja) * 2005-02-03 2010-02-03 東京エレクトロン株式会社 洗浄装置、塗布、現像装置及び洗浄方法
US20060185590A1 (en) 2005-02-18 2006-08-24 General Electric Company High temperature chemical vapor deposition apparatus
US8163087B2 (en) 2005-03-31 2012-04-24 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US20060281310A1 (en) * 2005-06-08 2006-12-14 Applied Materials, Inc. Rotating substrate support and methods of use
JP4492963B2 (ja) 2005-06-14 2010-06-30 ルネサスエレクトロニクス株式会社 薄膜の成膜方法、気相成長装置、プログラム
JP4749785B2 (ja) 2005-07-19 2011-08-17 東京エレクトロン株式会社 ガス処理装置
US8454749B2 (en) 2005-12-19 2013-06-04 Tokyo Electron Limited Method and system for sealing a first assembly to a second assembly of a processing system
JP5127147B2 (ja) * 2006-03-08 2013-01-23 東京エレクトロン株式会社 基板吸着脱離方法
US20070218702A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
JP2007251078A (ja) * 2006-03-20 2007-09-27 Nuflare Technology Inc 気相成長装置
JP4877748B2 (ja) 2006-03-31 2012-02-15 東京エレクトロン株式会社 基板処理装置および処理ガス吐出機構
JP2007281150A (ja) * 2006-04-05 2007-10-25 Tokyo Electron Ltd 処理装置
KR20080027009A (ko) * 2006-09-22 2008-03-26 에이에스엠지니텍코리아 주식회사 원자층 증착 장치 및 그를 이용한 다층막 증착 방법
KR100850275B1 (ko) 2006-12-20 2008-08-04 삼성전자주식회사 반도체 디바이스 제조설비의 가스 박스 모듈
US20080206987A1 (en) 2007-01-29 2008-08-28 Gelatos Avgerinos V Process for tungsten nitride deposition by a temperature controlled lid assembly
JP5034594B2 (ja) 2007-03-27 2012-09-26 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP2008270595A (ja) 2007-04-23 2008-11-06 Texas Instr Japan Ltd 反応生成物剥離防止構造及びその製作方法、並びに当該構造を用いる半導体装置の製造方法
JP5141141B2 (ja) 2007-08-23 2013-02-13 東京エレクトロン株式会社 気化器、気化器を用いた原料ガス供給システム及びこれを用いた成膜装置
JP5077748B2 (ja) 2007-09-06 2012-11-21 富士電機株式会社 成膜装置
JP5347294B2 (ja) * 2007-09-12 2013-11-20 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP2009088229A (ja) 2007-09-28 2009-04-23 Tokyo Electron Ltd 成膜装置、成膜方法、記憶媒体及びガス供給装置
JP2009147171A (ja) * 2007-12-14 2009-07-02 Tokyo Electron Ltd プラズマ処理装置
JP4929199B2 (ja) 2008-02-01 2012-05-09 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
FI122941B (fi) 2008-06-12 2012-09-14 Beneq Oy Sovitelma ALD-reaktorin yhteydessä
US20100047447A1 (en) 2008-08-25 2010-02-25 Cook Robert C Multiple substrate item holder and reactor
JP5357486B2 (ja) 2008-09-30 2013-12-04 東京エレクトロン株式会社 プラズマ処理装置
US9328417B2 (en) 2008-11-01 2016-05-03 Ultratech, Inc. System and method for thin film deposition
JP5445044B2 (ja) * 2008-11-14 2014-03-19 東京エレクトロン株式会社 成膜装置
KR101190750B1 (ko) 2009-02-19 2012-10-12 엘지전자 주식회사 실리콘 박막 제조방법 및 제조장치
JP4564570B2 (ja) 2009-03-10 2010-10-20 三井造船株式会社 原子層堆積装置
JP4523661B1 (ja) 2009-03-10 2010-08-11 三井造船株式会社 原子層堆積装置及び薄膜形成方法
JP5260395B2 (ja) * 2009-04-14 2013-08-14 常陽工学株式会社 封止装置
US7985188B2 (en) 2009-05-13 2011-07-26 Cv Holdings Llc Vessel, coating, inspection and processing apparatus
KR101569796B1 (ko) * 2009-06-23 2015-11-20 주성엔지니어링(주) 기판 정렬 장치, 이를 포함하는 기판 처리 장치 및 기판 정렬 방법
CN102239544A (zh) * 2009-09-17 2011-11-09 东京毅力科创株式会社 等离子体处理装置以及等离子体处理装置用气体供给机构
US9540731B2 (en) 2009-12-04 2017-01-10 Applied Materials, Inc. Reconfigurable multi-zone gas delivery hardware for substrate processing showerheads
JP5812606B2 (ja) 2010-02-26 2015-11-17 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
KR101321690B1 (ko) * 2010-04-28 2013-10-23 가부시키가이샤 알박 진공 처리 장치, 기판과 얼라인먼트 마스크의 이동 방법 및 위치 맞춤 방법 그리고 성막 방법
WO2012008440A1 (ja) 2010-07-12 2012-01-19 株式会社アルバック 成膜装置
JP5743266B2 (ja) 2010-08-06 2015-07-01 キヤノンアネルバ株式会社 成膜装置及びキャリブレーション方法
US9129913B2 (en) * 2010-10-21 2015-09-08 Veeco Ald Inc. Formation of barrier layer on device using atomic layer deposition
JP2012126977A (ja) 2010-12-16 2012-07-05 Ulvac Japan Ltd 真空成膜装置及び成膜方法
JP2012175055A (ja) 2011-02-24 2012-09-10 Mitsui Eng & Shipbuild Co Ltd 原子層堆積装置
KR101969611B1 (ko) 2011-10-07 2019-04-16 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
KR101326108B1 (ko) * 2012-03-09 2013-11-06 에이피시스템 주식회사 히터 블럭 및 이를 포함하는 열처리 장치
JP5772736B2 (ja) * 2012-06-18 2015-09-02 株式会社デンソー 原子層蒸着装置
KR101435100B1 (ko) * 2012-06-20 2014-08-29 주식회사 엠티에스나노테크 원자층 증착 장치
JP2014158009A (ja) 2012-07-03 2014-08-28 Hitachi High-Technologies Corp 熱処理装置
KR101411993B1 (ko) 2012-09-25 2014-06-26 (주)젠 안테나 어셈블리 및 이를 구비한 플라즈마 처리 챔버
JP6123208B2 (ja) 2012-09-28 2017-05-10 東京エレクトロン株式会社 成膜装置
JP6134522B2 (ja) 2013-01-30 2017-05-24 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
JP6078419B2 (ja) * 2013-02-12 2017-02-08 株式会社日立ハイテクノロジーズ プラズマ処理装置の制御方法、プラズマ処理方法及びプラズマ処理装置
TWI473903B (zh) 2013-02-23 2015-02-21 Hermes Epitek Corp 應用於半導體設備的噴射器與上蓋板總成
KR20150130524A (ko) * 2013-03-15 2015-11-23 어플라이드 머티어리얼스, 인코포레이티드 Ald 플래튼 서셉터의 위치 및 온도 모니터링
JP6040075B2 (ja) 2013-03-27 2016-12-07 株式会社アルバック 真空成膜装置及び成膜方法
WO2014200815A1 (en) * 2013-06-14 2014-12-18 Veeco Ald Inc. Performing atomic layer deposition on large substrate using scanning reactors
US10781516B2 (en) 2013-06-28 2020-09-22 Lam Research Corporation Chemical deposition chamber having gas seal
US20150004798A1 (en) 2013-06-28 2015-01-01 Lam Research Corporation Chemical deposition chamber having gas seal
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
JP2015073021A (ja) 2013-10-03 2015-04-16 三井造船株式会社 原子層堆積装置および原子層堆積方法
JP2015073020A (ja) 2013-10-03 2015-04-16 三井造船株式会社 原子層堆積装置および原子層堆積方法
JP6334880B2 (ja) 2013-10-03 2018-05-30 Jswアフティ株式会社 原子層堆積装置および原子層堆積方法
KR102194821B1 (ko) * 2013-10-17 2020-12-24 삼성디스플레이 주식회사 유기물 증착 장치 및 유기물 증착 방법
JP6010771B2 (ja) * 2013-11-18 2016-10-19 パナソニックIpマネジメント株式会社 スクリーン印刷機及び部品実装ライン
KR20150078306A (ko) * 2013-12-30 2015-07-08 삼성디스플레이 주식회사 원자층 증착 장치 및 원자층 증착 방법
KR102302720B1 (ko) * 2014-01-21 2021-09-14 어플라이드 머티어리얼스, 인코포레이티드 저압 툴 교체를 허용하는 원자 층 증착 프로세싱 챔버
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
JP6354539B2 (ja) 2014-11-25 2018-07-11 東京エレクトロン株式会社 基板処理装置、基板処理方法、記憶媒体
JP6297509B2 (ja) 2015-01-26 2018-03-20 東京エレクトロン株式会社 基板処理装置
JP6778553B2 (ja) 2016-08-31 2020-11-04 株式会社日本製鋼所 原子層成長装置および原子層成長方法
JP6723116B2 (ja) 2016-08-31 2020-07-15 株式会社日本製鋼所 原子層成長装置および原子層成長方法
TW201842590A (zh) 2017-05-01 2018-12-01 美商應用材料股份有限公司 具有真空隔離和預處理環境的高壓退火腔室
JP2019033236A (ja) 2017-08-10 2019-02-28 株式会社日本製鋼所 原子層成長装置並びに原子層成長装置を使用した成膜方法および原子層成長装置のクリーニング方法

Also Published As

Publication number Publication date
CN107615460A (zh) 2018-01-19
JP2016225325A (ja) 2016-12-28
WO2016190005A1 (ja) 2016-12-01
US10633737B2 (en) 2020-04-28
JP6054470B2 (ja) 2016-12-27
TWI684205B (zh) 2020-02-01
US20180155823A1 (en) 2018-06-07
CN107615460B (zh) 2020-07-14

Similar Documents

Publication Publication Date Title
TWI684205B (zh) 原子層成長裝置
TWI643976B (zh) 沉積裝置及具有該沉積裝置的沉積系統
US10312076B2 (en) Application of bottom purge to increase clean efficiency
KR101576135B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
TWI691613B (zh) 包含流動隔離環的處理套組
JP6778553B2 (ja) 原子層成長装置および原子層成長方法
TWI441941B (zh) 用於腔室抽氣之偏置襯墊
TWI736687B (zh) 處理裝置及蓋構件
TW201810354A (zh) 基板支撐組件、具有其之處理腔室以及處理基板之方法
US10600624B2 (en) System and method for substrate processing chambers
JP2004006794A (ja) 枚葉式cvd装置及び方法
TW201303955A (zh) 電漿生成用電極及電漿處理裝置
TWI693299B (zh) 電漿原子層成長裝置
TW201608659A (zh) 基板處理裝置,半導體裝置之製造方法,記錄媒體
CN109312459B (zh) 原子层生长装置及原子层生长方法
TWI695902B (zh) 基板支撐組件、具有其之處理腔室以及處理基板的方法
JP6309598B2 (ja) 原子層成長装置
TW202326905A (zh) 電漿沉積設備
TW201641739A (zh) 原子層成長裝置以及原子層成長裝置排氣部
JP7326106B2 (ja) スパッタリング装置
JP2019075516A (ja) プラズマ処理装置及びガス流路が形成される部材
KR20200078383A (ko) 기판 프로세싱 챔버들 및 기판 프로세싱 챔버들을 배기시키는 방법들
JP2021005629A (ja) プラズマ処理装置およびプラズマ処理方法