JP2004006794A - 枚葉式cvd装置及び方法 - Google Patents

枚葉式cvd装置及び方法 Download PDF

Info

Publication number
JP2004006794A
JP2004006794A JP2003103579A JP2003103579A JP2004006794A JP 2004006794 A JP2004006794 A JP 2004006794A JP 2003103579 A JP2003103579 A JP 2003103579A JP 2003103579 A JP2003103579 A JP 2003103579A JP 2004006794 A JP2004006794 A JP 2004006794A
Authority
JP
Japan
Prior art keywords
substrate
susceptor
reaction chamber
gas
shower head
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2003103579A
Other languages
English (en)
Inventor
Akira Shimizu
清水 亮
Hideaki Fukuda
福田 秀明
Masushige Kouno
河野 培栄
Kazuo Sato
佐藤 和男
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM Japan KK
Original Assignee
ASM Japan KK
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM Japan KK filed Critical ASM Japan KK
Publication of JP2004006794A publication Critical patent/JP2004006794A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • C23C16/45521Inert gas curtains the gas, other than thermal contact gas, being introduced the rear of the substrate to flow around its periphery
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

【課題】メンテナンスに係るダウンタイムを減らし、且つ安定な成膜プロセス装置を提供する。
【解決手段】枚葉式CVD装置であって、(a)反応チャンバを備え、該反応チャンバは、(i)該反応チャンバ内にあって、成膜時に基板の裏面、外周を経て該反応チャンバ内にガスを流出させるための少なくとも一つのガス吐出孔を備えるサセプタ、(ii)シャワーヘッド、(iii)前記シャワーヘッドの周辺近傍に位置し、前記反応チャンバの内壁面に沿って環状に設けられた排気ダクト、及び(iv)前記排気ダクト手段と同軸でその底面と僅かに隙間を形成するように設置された環状の分離板とから成り、及び(b)前記シャワーヘッドを所定の温度に温度制御する為の温度制御装置、を有する装置である。
【選択図】図1

Description

【0001】
本出願は、米国仮出願No.60/372,624、出願日2002年4月12日、を基礎とする優先権の利益を享受する出願である。
【0002】
【発明の属する技術分野】
本発明は半導体基板である被処理体を一枚ずつ成膜を行う枚葉式CVD(化学気相成長)に関する。
【0003】
【従来技術及び発明が解決しようとする課題】
半導体装置の高集積化により従来使用されていたAl配線からより電気抵抗の低いCuを使用した配線が用いられるようになっている。またCuを用いる際にはCuの拡散を防止するためのバリアーメタルとしてTiN、TaN等従来用いられていない金属膜の成膜が必要となってきている。現状ではこれらバリアーメタルはスパッタによる成膜が主流であり、Cu配線ではスパッタによる薄膜のシードレイヤーを形成した後メッキによりCuの堆積が行われている。しかしながら今後更なる高集積化は避けられずPVD(物理蒸着、スパッタ)より段差被覆性に優れたCVDによる成膜が重要となる。これらの金属膜や半導体装置への影響が明らかになっていない金属膜を従来のCVD装置で成膜を行った場合、被処理体裏面やエッジ部分からのメタル汚染により半導体製造ラインの汚染を引き起こし歩留まりの低下が起こる可能性が高い。このような問題を解決する為に従来は金属膜成膜後基板裏面やエッジ部のクリーニングを行う装置が専用で必要となっていた。このため半導体基板のエッジ部を機械的にクランプし裏面及びエッジの成膜を抑える方法もとられているが、半導体基板に傷がついたり、パーティクルが発生するなどの新たな問題が発生する。さらにまた、これら金属膜は成膜装置内のクリーニングも従来の方法が使用できず極力反応チャンバ内に堆積させないことが生産性向上のために重要である。
【0004】
【課題を解決するための手段】
上記問題を達成する為に本発明に係る一態様としての装置は以下の手段からなる。
【0005】
一枚ずつ半導体基板を成膜する枚葉式CVD装置であって、
反応チャンバを備え、該反応チャンバは、(i)該反応チャンバ内にあって基板を載置しかつ加熱する為のサセプタであって、その内に設けられ、成膜時に基板の裏面、外周を経て該反応チャンバ内にガスを流出させるための少なくとも一つのガス吐出孔を備え、垂直方向に移動可能なサセプタ、(ii)前記反応チャンバ内にあって、前記サセプタと対向し、それと平行に設置され前記基板に反応ガスを噴射する為のシャワーヘッド、(iii)前記シャワーヘッドの周辺近傍に位置し、前記反応チャンバの内壁面に沿って環状に設けられた排気ダクト、及び(iv)前記排気ダクト手段と同軸でその底面と僅かに隙間を形成するように設置された環状の分離板であって、該分離板下部は密閉部を有し、サセプタが上昇した時にサセプタ外周部を該密閉部によりシールし、前記反応チャンバと該反応チャンバの下部に設置され得る基板搬送チャンバとを完全に分離する環状分離板、及び前記シャワーヘッドを所定の温度に温度制御する為の温度制御装置、を有する装置である。
【0006】
一態様によれば、密閉部はO−リングからなる。また、上記の装置は分離板の内周に沿って被処理体外周部の非成膜領域を被うために設置された環状のガードリングを更に備えてもよい。環状のガードリングは分離板の内周部に設けられ、ガス吐出孔から流出するガスがガードリングと基板の間の隙間を通って反応チャンバ中へ流出するようにしてもよい。また、分離板と排気ダクトの底面との隙間は分離板の厚みを変えることにより、約0.1mm〜約5mmの範囲(他の範囲や好ましい範囲は後述する)で調整可能としてもよい。一態様によれば温度制御による所定温度は約50℃〜約400℃(他の範囲や好ましい範囲は後述する)である。また、一態様によれば、ガードリングは、被処理体外周部の非成膜領域であって該処理体エッジ部より約0.5mm〜約3mm(他の範囲や好ましい範囲は後述する)の環状の領域をカバーするように設置することができる。更に、一態様によれば、前記温度制御機構は、前記シャワーヘッドに近接配置された一つ若しくはそれ以上の加熱手段と、前記シャワーヘッドに近接配置された一つ若しくはそれ以上の冷却手段と、温度測定手段と、前記加熱手段と、冷却手段及び温度測定手段に結合された温度調節手段とから成っている。更には、成膜中の圧力測定手段であって、被処理体近傍の圧力を、前記分離板とサセプタとの隙間及び反応ガス流量をパラメータとする計算により前記排気ダクト内の圧力を算出して求める手段を更に備えてもよい。
【0007】
なお、サセプタは複数の基板リフトピンを有することでき、該リフトピンの孔をガス吐出孔として用いると構造上、簡単にサセプタからのガス吐出を実現することができる。ガス吐出孔はそれに限らず、基板リフトピン以外にサセプタに設けられた孔であってもよい。
【0008】
なお、別の態様によれば、上記の装置において、ガス吐出孔が、前記サセプタ内に設けられ、成膜時に被処理体の外周を経て反応チャンバ内にガスを流出させるべく、被処理体の載置位置の外側に設けられていてもよく、その場合、環状の分離板は、該分離板底面の該密閉手段より内周部分が前記ガス吐出孔を覆う位置に設けられていてもよい。このような装置においては、分離板の内周に沿って被処理体外周部の非成膜領域を被うために設置された環状のガードリングを更に備え、該環状ガードリングは分離板の内周部に設けられ、ガス吐出孔から流出するガスがガードリングと基板の間の隙間を通って反応チャンバ中へ流出するようにしてもよい。上記の態様においては、ガス吐出孔から吐出するガスは被処理体(基板)の裏面を通らずに、分離板との重なり部分に吐出され、基板の外周を経て、反応チャンバ内に流出する。この態様においても、不要部分への成膜を効果的に防止することができる。
【0009】
なお、本発明は成膜方法にも効果的に適用できる。即ち、本発明の一態様によれば、成膜方法は、(a)反応チャンバとその下部に設けられた基板搬送チャンバを備えた枚葉式CVD装置の、該基板搬送チャンバ内に位置したサセプタ上に基板を載置する工程、(b)サセプタを上昇し基板を反応チャンバに位置させる工程、(c)該位置にサセプタが移動したときに、反応チャンバと基板搬送チャンバの間に設けられサセプタと同軸の環状分離板とサセプタの外周部を密着させ反応チャンバと基板搬送チャンバを分離する工程、(d)反応チャンバ内に設置されたシャワーヘッドから該被処理体に反応ガスを噴射する工程、(e)基板への成膜処理を行う工程、(f)サセプタに設けられた、サセプタの底面から上面に貫通する少なくとも一つのガス吐出孔を通して基板搬送チャンバからサセプタに載置された基板の裏面、該基板の外周を経て、反応チャンバへ不活性ガスを成膜時に吐出する工程、(g)シャワーヘッドの周辺近傍に位置し反応チャンバの内壁面に沿って環状に設けられた排気ダクトにより排気する工程、(h)成膜終了後にサセプタを降下し、基板を基板搬送チャンバに移動する工程、を包含する。
【0010】
上記で、成膜時に基板の外周部の非成膜領域を環状ガードリングで覆う工程を更に含むことができる。また、環状ガードリングは分離板の内周部に設けることができ、不活性ガスの吐出は基板裏面、基板外周、及び環状ガードリングと基板の隙間を通して反応チャンバ内へ行うことができる。更に、サセプタは複数の基板リフトピンを備えることができ、該リフトピンをガス吐出孔として用いることができる。サセプタが上昇し基板が反応チャンバに位置し反応チャンバと基板搬送チャンバが分離したとき、ガードリングの内周部を基板の外周部に当接させてもよい。また、排気は排気ダクトと分離板の間に形成された隙間を通して行ってもよい。該隙間は、一態様によれば分離板の厚みを変えることにより、約0.1mm〜約5mmの範囲(その他の範囲は後述する)で行うことができる。成膜は一態様によれば温度約50℃〜約400℃(100℃、150℃、200℃、300℃、及び前記のいずれかを含む範囲を含む)で行う。更に、成膜中の圧力を、分離板とサセプタとの隙間及び反応ガス流量をパラメータとする計算により排気ダクト内の圧力を測定することにより行ってもよい。
【0011】
なお、前述したように、別の態様によれば、上記の方法において、不活性ガス吐出工程で、サセプタに設けられた、サセプタの底面から上面に貫通する少なくとも一つのガス吐出孔を通して基板搬送チャンバからサセプタに載置された基板の外周、分離板内周端を経て、反応チャンバへ不活性ガスを成膜時に吐出するようにしてもよい。その場合、分離板の内周部に設けられた環状ガードリングを設け、不活性ガスの吐出は基板外周及び環状ガードリングと基板の隙間を通して反応チャンバ内へ行ってもよい。ガスを基板裏面に噴出させなくとも、上記の態様によれば基板の外周を経て反応チャンバ内に噴出されるので、効果的に基板裏面等の不要部分への成膜を防止することができる。
【0012】
本発明と従来技術を超える利点を要約する目的で、本発明の特定の目的と利点について上記で説明した。勿論、そのような目的または利点の全てが本発明の特定の態様に従い達成されるとは限らない。従って、たとえば、当業者であれば、ここで教示または示唆され得る目的や利点を必ずしも達成させることなしに、ここで教示された他の一つの又は一群の利点を達成又は強調するように本発明を実現又は実施し得ることを理解するであろう。本発明のこの他の態様、特徴、利点は以下に述べる好ましい実施態様の詳細な説明から明らかとなるであろう。
【0013】
【発明の実施の態様】
上記したように、ある態様では、半導体基板である被処理体を一枚ずつ処理する枚葉式CVD装置は、反応チャンバと、反応チャンバ内にあって、被処理体を載置しかつ加熱する為のサセプタであって、反応チャンバ内にあって、サセプタと対向し、それと平行に設置され被処理体に反応ガスを噴射する為のシャワーヘッドであって、前記シャワーヘッドを所定の温度に温度制御する為の温度制御機構と、前記サセプタを上下移動する為の昇降手段と、前記シャワーヘッドの周辺近接に位置し、前記リアクタの内壁面に沿って環状に設けられた排気ダクト手段と、前記排気ダクト手段と同軸でその底面と僅かに隙間を形成するように及び成膜時に前記サセプタとの間に僅かに隙間を形成するように設置された環状の分離板であって、前記分離板下部が前記サセプタ昇降手段により上昇時にサセプタ外周部を密閉手段(例えばO−リング)によりシールし、前記反応リアクタと基板搬送チャンバを完全に分離する手段よりなり得る。
【0014】
本発明に係る他の実施態様によれば、半導体基板である被処理体を一枚ずつ処理する枚葉式CVD装置は、反応チャンバと、反応チャンバ内にあって、被処理体を載置しかつ加熱する為のサセプタであって、反応チャンバ内にあって、サセプタと対向し、それと平行に設置され被処理体に反応ガスを噴射する為のシャワーヘッドであって、前記シャワーヘッドを所定の温度に温度制御する為の温度制御機構と、前記サセプタを上下移動する為の昇降手段と、前記シャワーヘッドの周辺近接に位置し、前記リアクタの内壁面に沿って環状に設けられた排気ダクト手段と、前記排気ダクト手段と同軸でその底面と僅かに隙間を形成するように及び成膜時に前記サセプタとの間に僅かに隙間を形成するように設置された環状の分離板であって、前記分離板下部が前記サセプタ昇降手段により上昇時にサセプタ外周部をO−リング手段によりシールし、前記反応リアクタと基板搬送チャンバを完全に分離し、前記基板搬送チャンバ内に不活性ガスを導入する為の手段であって、成膜時に被処理体裏面から前記分離板と、前記排気ダクト手段と同軸でその底面と僅かに隙間を形成するように設置された環状の分離板の内周に沿って被処理体外周部の所定領域を完全に被うように設置された環状のガードリングとの隙間を通じて基板搬送チャンバから反応チャンバ方向に不活性ガスを流すところの手段よりなり得る。
【0015】
ガードリングは分離板と同素材でもよいし、別素材でもよく、特に限定されないが、例えば、分離板とガードリングをCuコーティングした金属材料(アルミ合金等)またはセラミック(アルミナ等)で構成することができ、また分離板に上記の素材を用い、ガードリングには樹脂材、例えばポリイミド系材料、ポリテトラフルオロエチレン(PTFE、商品名テフロン(登録商標))のような耐熱樹脂を用いることができる。なお、ガードリングは絶縁性か導電性のいずれであってもよい。
【0016】
好適にはシャワーヘッドの所定の温度は約50℃〜約400℃(80℃、100℃、200℃、300℃、及び以上のいずれかを含む範囲を含む)でよい。また、排気ダクト底面と分離板との間の僅かな隙間および分離板とサセプタとの間の僅かな隙間は約0.1mm〜約5mm(0.2mm、0.3mm、0.4mm、0.5mm、0.7mm、1.0mm、1.5mm、2.0mm、3mm、4mm、及び以上のいずれかを含む範囲を含む)、好ましくは約0.2mm〜約4mm、更に好ましくは約0.5mm〜約2mmでよい。これらの間隔は前記分離板の厚みを変更する事により変更でき、あるいは分離板の取付け位置を調整することでも変更できる。なお上記で、被処理体外周部の非成膜領域は具体的処理工程の種類、上記では、被処理体(基板)のサイズ(通常は径200〜300mm程度)、そして用途により異なるが、該領域は該被処理体の外周から約0.2mm〜約10mm内側(0.5mm、1.0mm、1.5mm、2.0mm、2.5mm、5mm、及び以上のいずれかを含む範囲を含む)、好適には約0.5mm〜約3mmである。環状ガードリングは該領域をカバーするように設置され得る。環状ガードリングは分離板の延長として設けてもよいし、分離板とは別に、例えばサセプタ部分に設置することもできる。
【0017】
なお、該装置は成膜中の被処理対近傍の圧力を、排気ダクト内の圧力測定値から、前記分離板とサセプタとの隙間及び反応ガス流量をパラメータとする計算より求める手段を含み得る。
【0018】
なお、被処理体の外周部分での成膜を防止する処理が必要ない場合は、環状のガードリングは不要である。
【0019】
また本発明の一態様によれば、基板搬送チャンバと反応チャンバが分離板により完全に分離された際、基板搬送チャンバから反応チャンバ方向に不活性ガスを流し、基板の裏面部分への成膜等を防止するために、サセプタにガス吐出孔を基板の外周よりも少し内側の部分に環状に複数配置する。これにより、ガスが基板裏面の外周より僅かに内側部分に吐出し基板の外周を回って反応チャンバ内へ流れる。このようなガス吐出孔を環状に複数設けることで、基板の裏面から外周部分を通ってガスが反応チャンバへ向けて流出するので、エアーカーテンのようなガス気流によるカーテンを形成することができ、これにより基板の裏面部分等への望まない成膜を完全に防止することが可能となる。その結果、メタル汚染を効果的に防止することが可能となる。
【0020】
ガス吐出孔の配置位置はガスの吐出により基板裏面への成膜が効果的に防止できる位置であれば特に制限はない。例としては、サセプタ中心部と基板外周部の距離の約1/2より外側であって、基板エッジより約5mm内側までのドーナツ状の領域に位置するようにすることができる。即ち、300mm径の基板用の装置では、サセプタ中心点から約75mmから約145mm(85mm、95mm、105mm、115mm、125mm、135mm、及び以上のいずれかを含む範囲を含む)の領域、200mm径の基板用装置であれば、サセプタ中心点から約50mmから約95mm(75mm、80mm、85mm、90mm、及び以上のいずれかを含む範囲を含む)までの領域でよい。ある態様においては、該領域は基板外周から約0.1mm〜約50mm(0.5mm、1.0mm、5mm、10mm、20mm、30mm、40mm、及び以上のいずれかを含む範囲を含む)内側の部分(好適には約2mm〜約20mm程度)でよい。吐出孔の数も特に制限はないが、1〜100程度(2、3、4、5、6、7、8、9、10、20、30、40、50、60、70、80、90、及び以上のいずれかを含む範囲を含む)設けることができる(一態様によれば3〜10程度である)。配置はサセプタ中心から同心円状に均等になるようにすればよく、環状に配置するのが好ましいが、一列に限らず、複数列設けてもよい。また径も特に限定されないが、例えば、約0.2mm〜約5mm(0.5mm、1.0mm、1.5mm、2.0mm、3mm、4mm、及び以上のいずれかのを含む範囲を含む)でよい。一態様によれば約0.5mm〜約1.5mmでよい。なお、形状は断面が円形であるのが一般的であるが、それに限らず、スリット状に形成してもよい。スリット状の場合は、幅が上記の径程度で、長さは特に限定されない。サセプタの構造上、可能であれば例えば一つが半周に渡るように形成したり、全周の4分の一渡るように形成することも可能である(サセプタの中心から所定の距離においてサセプタの円周の1/16、1/8、1/4、1/3、1/2、1/1、及び以上のいずれかを含む範囲、更に以上のいずれの組合せを含む)。
【0021】
ガス吐出孔を含む構造は、対象となるプロセスにより異なり、適宜、ガス吐出孔の直径、孔数、位置等を設定する。例えば、反応チャンバの圧力が高いプロセスであれば、サセプタ下部から流すガスの流量を増やす必要があり、孔の直径を大きくしたり、孔数を増やす。
【0022】
ガス吐出孔としてサセプタに設けられた基板リフトピンの孔を利用すると簡単にガス吐出が実現できる(この場合リフトピンの数によりガス吐出孔の数が変わることになるがある場合では3つである)。上記のように反応チャンバの圧力が高い場合等では、リフトピン以外にガス吐出孔を設けてもよいし、リフトピンを使わずに、別途、ガス吐出専用の孔を設けてもよい。ガスが基板裏面から基板の外周を経て反応チャンバ内へ流出するように設定できるのであれば、ガス吐出孔は中心に一つ設けてもよいし、中心とその周りに環状に設けてもよい。
【0023】
なお、ガス吐出孔は前記の環状ガードリングがない態様においても設けることができる。環状ガードリングとともに用いる場合は、該ガードリングが基板の外周部分を覆うので、ガス吐出孔の配置位置は上記構造に限定されることなく、たとえばサセプタの基板が配置する外側の位置(例えば外周から外側に0mm〜5mm程度、0.1mm、0.5mm、1mm、2mm、3mm、4mm、10mm、及び以上のいずれかを含む範囲を含む)に設けることも可能である。その場合でもガスはガードリングと基板の隙間を通って反応チャンバ中へ流出していくので、基板裏面等への不要な成膜を防止することが可能である。
【0024】
ガスの種類は特に限定されないが、不活性ガスであるAr、He、Kr、Ne、N等広く使うことができる。また、ガス吐出量は不要な成膜が防止できる量であれば特に限定されないが、例えば、約1sccm〜約2000sccm(5sccm、10sccm、50sccm、100sccm、500sccm、1000sccm、1500sccm、及び以上のいずれかを含む範囲を含む)でよい。一態様によれば約50sccm〜約500sccmである。なおガスは成膜中は継続的に導入してもよいし、断続的に又は間欠的に導入してもよい。また成膜中のみならず、その前後にも導入してもよい。
【0025】
本発明においてはその他の構造、要件等は特願2001−361669(出願日2001年11月27日)、特願2000−251455(出願日2000年8月22日)、米国特許出願第09/932790号(出願日2001年8月17日)に開示のものを適用することができる。なお、これらの出願はリファレンスとしてこの出願に組込まれる。
【0026】
例えば特願2001−361669(出願日2001年11月27日)の図6に示した装置に本願の構造を組み込むことができる。参考に該出願の図6を本願明細書に添付し、該図はここでは図5となっている。
【0027】
図5はセルフクリーニングを実行する平行平板プラズマCVD装置の実施例を示したものである。当該装置は遠隔プラズマクリーニングを実行する300mm基板処理用の平行平板プラズマCVD装置である。
【0028】
リアクタ内部には、ガラス若しくはシリコン基板などの被処理体601を載置するためのサセプタ603が設置されている。該サセプタ603は好適にはセラミック若しくはアルミ合金から成り内部には抵抗加熱型ヒータが埋設されている。また該サセプタ603はプラズマ生成のための下部電極を兼ねている。本実施例において、サセプタ603の直径はφ325mmであり、φ300mmの被処理体601に対して1.17倍の面積を有するが、約1.08〜約1.38倍(1.1倍、1.2倍、及び1.3倍、但しある場合では1.5倍、及び以上のいずれかを含む範囲を含む)の範囲であれば他の直径のサセプタを使用することもできる。リアクタの天井位置にはサセプタに平行に対向して反応ガスを被処理体601に均一に噴射するためのシャワーヘッド604が設けられている。シャワーヘッド604はプラズマ生成のための上部電極を兼ねている。本実施例において、シャワーヘッド604の直径はφ380mmであり、面積はサセプタ603の1.37倍であるが、約1.05〜約1.44倍(1.1倍、1.2倍、1.3倍、及び1.4倍、但しある場合では1.5倍、及び以上のいずれかを含む範囲を含む)の範囲であれば他の直径のシャワーヘッドを使用することもできる。
【0029】
シャワーヘッド604の上部にはアルミナ製の天板647が設けられている。シャワーヘッド604はリアクタの内壁面に沿って環状に設けられたアルミナ製のダクト手段633によって支持されている。ダクト手段633と同軸でその底面と僅かに隙間を形成するように及び成膜時にサセプタとの間に僅かに隙間を形成するように環状のアルミナ製分離板634が設置されている。分離板634によってリアクタは反応チャンバと基板搬送チャンバ(WHC(Wafer Handling Chamber))とに実質的に分離される。このようにリアクタ内でシャワーヘッド604に近接する部材をすべて絶縁体とすることにより、シャワーヘッド604と反応チャンバ内壁との間にプラズマが生じることを防止することができる。上記天板647、ダクト手段633、分離板634等の絶縁体部材は、絶縁性、耐熱性、耐腐食性、耐プラズマ性、低発塵性などの要件を満たすセラミック製であれば有効で、アルミナ以外にも窒化アルミ(AlN)若しくはマグネシア(MgO)などを使用することもできる。
【0030】
分離板634と上記ダクト手段633との間には排気ギャップ625が形成されている。ダクト手段633の側壁には排気口620が設けられ、該排気口620はコンダクタンス調整弁621を介して真空ポンプ(図示せず)に連通されている。アルミ合金製のWHCの側壁602には被処理体601をWHC内640へ搬入出するための開口部623が設けられている。また、側壁602の一部には不活性ガス導入手段(図示せず)に連結された不活性ガス導入口635が設けられている。不活性ガス導入口635から導入された不活性ガス(好適にはAr若しくはHe)は、分離板634とサセプタ603との間に形成された隙間を通じて、WHCから反応チャンバ側へ流れる。この不活性ガスのパージによりサセプタ603の下方に向かって反応ガス若しくはプラズマが侵入するのを防止することができる。側壁602、ダクト手段633、シャワーヘッド604及び上部天板647はOリング等のシール手段によりシールされ、大気から隔絶される。サセプタ603の下方には基板リフト機構632が設けられアルミナ製の複数の基板リフトピン624を支持する。基板リフトピン624はサセプタ603を貫通し、被処理体601のエッジ部を保持する。サセプタ603及び基板リフト機構632はリアクタ外部の昇降機構(図示せず)と機械的に連係し、それぞれ相対的に上下することで、サセプタ603上に半導体基板601を載置したり、空中で支持したりする。
【0031】
リアクタの外部には、遠隔プラズマ放電装置613が設けられ配管614を通じてバルブ615を介しシャワーヘッド604の開口部616に連結されている。クリーニングガスソース(図示せず)は配管612を通じて遠隔プラズマ放電装置613に連通されている。配管614の開口部611には配管605の一端がバルブ606を介して結合されている。配管605の他端は反応ガスソース(図示せず)に結合されている。プラズマを生成するための高周波(RF)電源(608,618)は出力ケーブル609を通じて整合回路610を介し、シャワーヘッド604の上部642に接続されている。本実施例において、サセプタ603は接地されている。高周波電源(608,618)は数百kHz〜数十MHz(例えば200kHzから40MHz)の高周波電力を供給することができる。好適には、膜質制御性を向上するために高周波電源(608,618)の周波数は異なる。例えば、2MHz未満の低周波数RF電源と2MHz以上の高周波数RF電源を畳重してもよい。
【0032】
該実施例ではシャワーヘッド(上部電極)604表面の温度を制御するための温度制御機構を有する。該温度制御機構は、シャワーヘッド604に埋設されたシャワーヘッド604を加熱するためのシースヒータ631と、シャワーヘッド604の温度を測定するための熱電対630と、リアクタの外部に設けられた、シースヒータ631及び熱電対630に接続された成膜時の高周波電力の影響を避けるためのバンドパスフィルタ(643、643’)と、バンドパスフィルタ643’に接続された電力制御のためのソリッドステートリレー(若しくはサイリスタ)644と、バンドパスフィルタ643’及びソリッドステートリレー644を介してシースヒータ631に及びバンドパスフィルタ643を介して熱電対630にそれぞれ接続された温度調節器645と、該温度調節器に接続された交流電源646とから成る。但し、高周波によるノイズの影響が少ない場合には、バンドパスフィルタ(643、643’)は必ずしも必要ない。
【0033】
真空ロードロック室で真空搬送ロボット(図示せず)に搭載されたφ300mmのガラス若しくはシリコン基板である被処理体601はリアクタ壁602の開口部623よりWHC640内へ搬入される。この際、WHC640に設置されたサセプタ603及び基板リフト機構632に取付けられた複数の基板リフトピン624は、いずれもリアクタ外部に取付けられたモーター等の昇降機構(図示せず)により、基板より相対的に低い位置に下降している。複数のリフトピン624はサセプタ603の表面から相対的に上昇し、基板のエッジ部付近を保持する。その後、サセプタ603は基板601をサセプタ表面上に載置しながら、基板リフト機構632とともに成膜条件によって決められた電極間距離となる位置まで上昇する。被処理体601の表面に膜を形成するための反応ガスは、マスフローコントローラ(図示せず)によって所定の流量に制御された後、配管605より、バルブ606、配管614、上部天板647の開口部616、ガス分散板607及びシャワーヘッド604に設けられた複数のガス噴出し穴を通じて反応領域641へ均等に導入される。
【0034】
反応領域641へ導入された反応ガスは、圧力制御され、高周波電源(608,618)により供給される数百kHz〜数十MHzの高周波電力によってプラズマ化される。被処理体601の表面上で化学反応が生じ所望の膜が形成される。成膜時に不活性ガス導入口635からWHC640内にHe、Ar若しくはN等の不活性ガスが導入される。これにより、WHC640は反応領域641より陽圧となり、反応ガスがWHC640内に流入するのが防止される。その結果、反応ガスを成膜のために効率良く使用できると同時にWHC640内壁に不要な堆積物が付着することを避けることもできる。不活性ガスの流量は、反応ガスの流量若しくは反応チャンバの圧力に応じて適宜制御される。
【0035】
成膜処理が終了した後、反応領域に残存する反応ガス及び副生成物は排気ギャップ625よりダクト633内のガス通路626を通じて排気口620から外部に排気される。成膜処置が終了すると、サセプタ603及び基板リフト機構632が基板搬送位置まで下降する。サセプタ603はそこからさらに下降することで、相対的に基板リフトピン624がサセプタ603表面上へ突出し、被処理体(半導体基板)601を空中で保持する。その後、半導体基板601は搬送手段(図示せず)によって開口部623を通じて外部の真空ロードロック室(図示せず)に搬出される。
【0036】
一枚から複数枚の成膜処理が終了した後、反応領域641内で反応ガスに晒された部分の堆積物をクリーニングするためのセルフクリーニングが実行される。クリーニングガス(例えば、C+O、NF+Ar、F+Ar等)は所定の流量に制御された後、配管612を通じて遠隔プラズマ放電装置613に導入される。遠隔プラズマ放電装置613によって活性化されたクリーニングガスは、配管614を通じてバルブ615を介し、リアクタの上部天板647の開口部616へ導入される。開口部616からリアクタ内に導入されたクリーニングガスはガス分散板607及びシャワーヘッド604に設けられた複数のガス噴出口を介して反応領域641に均等に分配される。導入されたクリーニングガスは反応領域641の反応チャンバ内壁に付着した堆積物と化学反応し、該堆積物を気化させる。気体となった堆積物は排気ギャップ625よりダクト633内のガス通路626を通じて排気口620から外部に排気される。
【0037】
次に、本発明のある実施態様に係るクリーニング効率を向上させるための方法を以下説明するが、本発明はそれに限定されるものではない。該実施態様では、該方法は、サセプタの表面積/被処理体の表面積の値が約1.08〜約1.38になるようなサセプタを選択する工程と、シャワーヘッドの表面積/サセプタの表面積の値が約1.05〜約1.44になるようなシャワーヘッドを選択する工程と、シャワーヘッドの温度を約200℃〜約400℃に制御する工程と、を含む。基板面積に対するサセプタ表面積の比を1.08〜1.38の範囲に制限する工程は、具体的にはサセプタの寸法を変更する以外にも、環状の絶縁体板で余分なサセプタ表面を覆うことでプラズマの発生を抑え実質的な面積を制限することも可能である。シャワーヘッドの温度を約200℃〜約400℃に制御する工程は、ある実施態様では熱電対630からの信号に応答して温度調節器645が所定の温度になるよう複数のシースヒータ631に電力を供給するというものである。熱電対630は成膜時の高周波電力の影響を避けるためバンドパスフィルタ643を介して温度調節器645に信号を送る。一方、温度調節器645は該信号に応答して電力制御のためのソリッドステートリレー644及び成膜時の高周波電力の影響をさけるためのバンドパスフィルタ643を介して複数のシースヒータ631に電力を供給する。
【0038】
さらにある実施態様では、方法は、セルフクリーニングの頻度を最適化する工程を含む。該工程は具体的には200℃〜400℃に制御されたシャワーヘッドの各温度に対して、連続処理可能な積算膜厚の上限値を求める工程と、被処理体上に成膜する膜厚で上限値を割り算し、最大のクリーニングサイクルを求める工程と、から成る。この実施態様では200℃〜400℃に制御されたシャワーヘッドの各温度に対して、連続処理可能な積算膜厚の上限値を求める工程は、具体的には、各設定温度においてクリーニングを実施せずに連続で成膜処理を行い、シャワーヘッド表面から膜が剥離し基板上に発塵が確認されるまでの最大処理枚数をチェックすることである。例えば、上記した(検証2)の実験のようにプラズマ酸化珪素膜0.5μmを成膜した場合、連続処理可能な積算膜厚(μm)=0.5(μm)×(最大処理枚数)となる。
【0039】
図を参照して、本発明の半導体基板である被処理体を一枚ずつ処理する枚葉式CVD装置を説明する。
【0040】
図1はチャンバ断面図、図2は成膜中のチャンバ内詳細図である。被処理体である半導体基板をチャンバに接続された真空搬送室(図示せず)等よりサセプタ(8)へ搬送後、サセプタ昇降手段(図示せず)により上昇させサセプタ外周部と分離板(5)下部に設けられたオーリング(6)により反応チャンバ(12)と基板搬送チャンバ(11)を完全に分離する。またこの状態で半導体基板外周部の所定の領域はガードリング(7)により覆われている。所定の領域は後工程で使用される装置の接触部位を考慮して約0.5mm〜約3mmの範囲で決定される。図1はサセプタが上昇し反応チャンバと基板搬送チャンバが分離された状態である。次に成膜の流れを説明する。アッパーボディー(1)に設けられたガス導入口(13)より反応ガスを供給し、ガス分散板(2)により均一に分散された反応ガスはシャワーヘッド(3)に多数空けられた穴(10)から半導体基板(14)へ噴射される。反応ガスは排気ダクトと分離板の隙間(15)を通り排気される。シャワーヘッドは加熱及び冷却手段(図示せず、但し図5中にものに類似する)により所定の温度に制御されている。該所定の温度は使用する反応ガスにより適時決められる。好適には約50℃〜約400℃である。この温度は特に金属膜成膜に多く用いられる有機金属錯体の物性により、分解温度以下であり且つ成膜反応圧力にて凝縮しない温度以上である必要があるだろう。半導体基板はサセプタにより所定の温度まで加熱され、金属膜が成膜される。この時基板搬送チャンバに供給された不活性ガスはサセプタに設けられた穴(9)から、半導体基板とサセプタの間を通り、ガードリングの隙間から排気ダクト(4)から排気され、半導体基板裏面とエッジから所定領域の金属膜成膜が防止される。サセプタ所定の温度は使用する反応ガスによるが好適には約80℃〜約600℃(他の実施態様で約100℃から約400℃)である。また反応チャンバのサセプタと半導体基板の距離及び反応ガス排気の為の隙間(15)の距離は分離板の厚みを変える事により容易に変更できる。(図3、図4)サセプタとシャワープレートの距離は好適には約10mm〜約40mm(20mm、30mm、及び以上のいずれかを含む範囲を含む)である。反応ガス排気の隙間(15)の距離は好適には約0.2mm〜約4mmである。
成膜反応中の圧力(P1)は排気ダクト内で測定(P2)し隙間(15)をパラメータとして計算されたコンダクタンス(C)と反応ガス流量をパラメータとして計算された(Q)から次式により半導体基板近傍の反応圧力を算出しAPC(オートプレッシャーコントローラ:図示せず)を使用して反応圧力をコントロールする。
【0041】
P1=Q/(C(1−P2/P1))
上記の様に反応チャンバ内には所定の温度にコントロールされたシャワーヘッド、サセプタ、ガードリングのみを配置する事により半導体基板以外への成膜を最小限とする事が可能となり、通常のメンテナンス時にはガードリングの交換のみで装置を復帰させる事が可能となった。また、ある実施態様では、長期間使用後のメンテナンス時にはシャワーヘッド交換及び排気ダクトの清掃が必要であるが、これも本発明のチャンバ構造では容易に行える。
【0042】
図1のリアクタを用いたCu薄膜の成膜を例に本発明の実施例を説明する。真空排気されたロードロックチャンバ(図示せず)から半導体基板をサセプタ8に搬送後、サセプタ昇降手段により反応位置まで上昇させる。この時サセプタ外周部は分離板5下部に設けられたO−リングによりシールされ、基板搬送チャンバ11と反応チャンバ12は完全に分離される。またこの時基板外周部2mmはガードリング7により完全に覆われており、基板搬送チャンバからサセプタに設けられた穴9を通ってArを流し、基板裏面と基板エッジへの成膜を防止する。反応チャンバへサセプタを上昇させた後ガス導入口13より1000sccmの不活性ガスを導入し、80℃に制御されたシャワーヘッド3に設けられた穴10から基板上へ照射しながら基板を150℃まで加熱する。この際の圧力もAPC(図示せず)により成膜時と同じ3Torrに制御する。約120secの基板昇温後不活性ガスを止め、気化器(図示せず)により気化されたCu source(SCHUMACHER社製、CupraSelect)をキャリアガスAr700sccmと切り替え反応リアクタへ導入する。導入されたCu sourceは分散板2により均等に分散されシャワーヘッド3の穴10から基板へ照射される。基板に照射されたCu sourceは排気ダクトと分離板5により同心円状に形成された隙間0.5mmより排気される。またこの時排気ダクト内で圧力(P2)を測定し設定されたコンダクタンスとガス流量をパラメータとして計算されたC,Qから下記の式により基板近傍の圧力(P1)を計算しAPC(図示せず)により3Torrにコントロールする。
【0043】
P1=Q/(C(1−P2/P1))
約1分間の成膜後Cu sourceの供給を止め反応チャンバ内を真空排気し、N2ガスでパージし残ガスを排出する。その後基板裏面及び基板エッジ部の成膜を防止するために基板搬送チャンバに流していたArを止め、基板搬送チャンバ内を真空排気し、サセプタを搬送位置まで下降させる。その後真空排気されたロードロックチャンバへ成膜後の基板を搬出する。このように成膜された基板には裏面及びエッジへのCuの成膜が見られず、後工程にて特別な洗浄を行わなくても金属汚染を引き起こす恐れがない。また、本発明による成膜では基板以外への成膜がほとんど認められずメンテナンスの周期が長い事がわかる。さらにまた基板以外に僅かに成膜されるガードリングはメンテナンスにより容易に交換可能な事から、メンテナンスに関わるダウンタイムが短い事が分かる。
【0044】
なお、前記した通り本願中では特願2001−361669(出願日2001年11月27日)等の全開示内容を組み込んでいるが、例えば、該日本出願では次の内容を開示しており、必要により本願でも適用ができる。
【0045】
(1)セルフクリーニングを実行するプラズマCVD装置であって、
反応チャンバと、
前記反応チャンバ内にあって、被処理体を載置しかつ加熱するためのサセプタであって、プラズマ生成のための一方の電極を兼ねるサセプタと、
前記反応チャンバ内にあって、前記サセプタと対向し、それと平行に設置され前記被処理体に反応ガスを噴射するためのシャワーヘッドであって、プラズマ生成のためのもう一方の電極を兼ねるシャワーヘッドと、
前記シャワーヘッドを所定の温度に温度制御するための温度制御機構と、
前記反応チャンバの外部にあって遠隔的にクリーニングガスを活性化するための遠隔プラズマ放電装置と、
前記サセプタ若しくは前記シャワーヘッドのいずれかに電気的に接続された高周波電力供給手段と、
から成る装置。
【0046】
(2)セルフクリーニングを実行するプラズマCVD装置であって、
リアクタと、
前記リアクタ内にあって、被処理体を載置しかつ加熱するためのサセプタであって、プラズマ生成のための一方の電極を兼ねるサセプタと、
前記サセプタを上下移動するための昇降手段と、
前記リアクタの天井にあって、前記サセプタと対向し、それと平行に設置され前記被処理体に反応ガスを噴射するためのシャワーヘッドであって、プラズマ生成のためのもう一方の電極を兼ねるシャワーヘッドと、
前記シャワーヘッドを支持し、前記シャワーヘッドの周辺近傍に位置し、前記リアクタの内壁面に沿って環状に設けられた絶縁体から成るダクト手段と、
前記ダクト手段と同軸でその底面と僅かに隙間を形成するように及び成膜時に前記サセプタとの間に僅かに隙間を形成するように設置された環状の絶縁体分離板であって、前記リアクタを反応チャンバと基板搬送チャンバとに実質的に分離する絶縁体分離板と、
前記基板搬送チャンバ内に不活性ガスを導入するための手段であって、成膜時に前記絶縁体分離板と前記サセプタとの間に形成された隙間を通じて基板搬送チャンバから反応チャンバ方向に不活性ガスを流すところの手段と、
前記シャワーヘッドを所定の温度に温度制御するための温度制御機構と、
前記リアクタの外部にあって遠隔的にクリーニングガスを活性化するための遠隔プラズマ放電装置と、
前記サセプタ若しくは前記シャワーヘッドのいずれかに電気的に接続された高周波電力供給手段と、
から成る装置。
【0047】
(3)前記(1)または(2)に記載のプラズマCVD装置であって、前記所定の温度は200℃〜400℃である、ところの装置。
【0048】
(4)前記(1)または(2)に記載のプラズマCVD装置であって、前記温度制御機構は、前記シャワーヘッドに近接配置されたひとつ若しくはそれ以上の加熱手段と、温度測定手段と、前記加熱手段及び前記温度測定手段に結合された温度調節手段とから成る、ところの装置。
【0049】
(5)前記(4)に記載のプラズマCVD装置であって、前記加熱手段はシースヒータであり、前記温度測定手段は熱電対である、ところの装置。
【0050】
(6)前記(1)または(2)に記載のプラズマCVD装置であって、前記サセプタの表面積/前記被処理体の表面積の値が1.08〜1.38である、ところの装置。
【0051】
(7)前記(1)または(2)に記載のプラズマCVD装置であって、前記シャワーヘッドの表面積/前記サセプタの表面積の値が1.05〜1.44である、ところの装置。
【0052】
(8)前記(1)に記載のプラズマCVD装置を使ってセルフクリーニングを効率良く実行する方法であって、
前記サセプタの表面積/前記被処理体の表面積の値が1.08〜1.38になるようなサセプタを選択する工程と、
前記シャワーヘッドの表面積/前記サセプタの表面積の値が1.05〜1.44になるようなシャワーヘッドを選択する工程と、
前記シャワーヘッドの温度を200℃〜400℃に制御する工程と、
前記遠隔プラズマ放電装置を使ってクリーニングガスを活性化し、生成されたクリーニング活性種を前記反応チャンバ内に導入する工程と、
前記高周波電力供給手段によって、前記サセプタと前記シャワーヘッドとの間の反応空間にプラズマを発生させる工程と、
前記反応チャンバ内部を圧力制御する工程と、
から成る方法。
【0053】
(9)前記(8)に記載の方法であって、さらにセルフクリーニングの頻度を最適化する工程を含む、ところの方法。
【0054】
(10)前記(9)に記載の方法であって、セルフクリーニングの頻度を最適化する工程は、
200℃〜400℃に制御されたシャワーヘッドの各温度に対して、連続処理可能な積算膜厚の上限値を求める工程と、
被処理体上に成膜する膜厚で前記上限値を割り算し、最大のクリーニングサイクルを求める工程と、
から成る方法。
【0055】
なお、本発明は図1〜5の形状に限定されるものでは無く、変更可能である。
【0056】
【効果】
以上の様に本発明のある実施態様により後工程の汚染を防ぐ特別な洗浄が不要となり、チャンバ内への不要な成膜が起こらない事からメンテナンスに係るダウンタイムを減らし、且つ安定な成膜プロセス装置を提供する事が可能となった。更にまた本発明のある実施態様における装置ではシャワーヘッド、サセプタ温度を広範囲に変更できる事から将来的に必要になる物性の異なる新材料をSourceとして用いる際にも新たな装置を必要とせず、コストダウンが可能となった。
【図面の簡単な説明】
【図1】図1は、本発明で用い得るチャンバの断面図である。
【図2】図2は、図面1の部分拡大図である。
【図3】図3は、本発明のある実施態様において分離板形状を変えることにより排気の隙間を変更したことを示す図である。
【図4】図4は、本発明のある実施態様分離板、ガードリングの形状を変えることにより反応チャンバのギャップを変更したことを示す図である。
【図5】図5は、特願2001−361669の図6に相当する。
【符号の説明】
1:アッパーボディー
2:分散板
3:シャワープレート
4:排気ダクト
5:分離板
6:オーリング
7:ガードリング
8:サセプタ
9:穴(サセプタ)
10:穴(シャワープレート)
11:基板搬送チャンバ
12:反応チャンバ
13:ガス導入口
14:半導体基板
15:隙間

Claims (24)

  1. 反応チャンバとその下部に設けられた基板搬送チャンバを備えた枚葉式CVD装置の、該基板搬送チャンバ内に位置したサセプタ上に基板を載置する工程、
    サセプタを上昇し基板を反応チャンバに位置させる工程、
    該位置にサセプタが移動したときに、反応チャンバと基板搬送チャンバの間に設けられサセプタと同軸の環状分離板とサセプタの外周部を密着させ反応チャンバと基板搬送チャンバを分離する工程、
    反応チャンバ内に設置されたシャワーヘッドから該被処理体に反応ガスを噴射する工程、
    基板への成膜処理を行う工程、
    サセプタに設けられた、サセプタの底面から上面に貫通する少なくとも一つのガス吐出孔を通して基板搬送チャンバからサセプタに載置された基板の裏面、該基板の外周を経て、反応チャンバへ不活性ガスを成膜時に吐出する工程、
    シャワーヘッドの周辺近傍に位置し反応チャンバの内壁面に沿って環状に設けられた排気ダクトにより排気する工程、
    成膜終了後にサセプタを降下し、基板を基板搬送チャンバに移動する工程、を包含する成膜方法。
  2. 成膜時に基板の外周部の非成膜領域を環状ガードリングで覆う工程を更に含む、請求項1記載の方法。
  3. 環状ガードリングは分離板の内周部に設けられており、不活性ガスの吐出は基板裏面、基板外周、及び環状ガードリングと基板の隙間を通して反応チャンバ内へ行う、請求項2記載の方法。
  4. サセプタは複数の基板リフトピンを備えており、該リフトピンをガス吐出孔として用いる、請求項1記載の方法。
  5. サセプタが上昇し基板が反応チャンバに位置し反応チャンバと基板搬送チャンバが分離したとき、ガードリングの内周部を基板の外周部に当接させる、請求項2記載の方法。
  6. 排気は排気ダクトと分離板の間に形成された隙間を通して行う、請求項1記載の方法。
  7. 該隙間は、分離板の厚みを変えることにより、約0.1mm〜約5mmの範囲で行う、請求項6記載の方法。
  8. 成膜を温度50℃〜400℃で行う、請求項1記載の方法。
  9. 成膜中の圧力を、分離板とサセプタとの隙間及び反応ガス流量をパラメータとして使うことにより環状排気ダクト内の圧力を計算し算出する、請求項1記載の方法。
  10. 反応チャンバとその下部に設けられた基板搬送チャンバを備えた枚葉式CVD装置の、該基板搬送チャンバ内に位置したサセプタ上に基板を載置する工程、
    サセプタを上昇し基板を反応チャンバに位置させる工程、
    該位置にサセプタが移動したときに、反応チャンバと基板搬送チャンバの間に設けられサセプタと同軸の環状分離板とサセプタの外周部を密着させ反応チャンバと基板搬送チャンバを分離する工程、
    反応チャンバ内に設置されたシャワーヘッドから該被処理体に反応ガスを噴射する工程、
    基板への成膜処理を行う工程、
    サセプタに設けられた、サセプタの底面から上面に貫通する少なくとも一つのガス吐出孔を通して基板搬送チャンバからサセプタに載置された基板の外周、分離板内周端を経て、反応チャンバへ不活性ガスを成膜時に吐出する工程、
    シャワーヘッドの周辺近傍に位置し反応チャンバの内壁面に沿って環状に設けられた排気ダクトにより排気する工程、
    成膜終了後にサセプタを降下し、基板を基板搬送チャンバに移動する工程、を包含する成膜方法。
  11. 成膜時に基板の外周部の非成膜領域を環状ガードリングで覆う工程を更に含み、環状ガードリングは分離板の内周部に設けられており、不活性ガスの吐出は基板外周及び環状ガードリングと基板の隙間を通して反応チャンバ内へ行う、請求項10記載の方法。
  12. 一枚ずつ半導体基板を成膜する枚葉式CVD装置であって、
    反応チャンバを備え、該反応チャンバは、(i)該反応チャンバ内にあって基板を載置しかつ加熱する為のサセプタであって、その内に設けられ、成膜時に基板の裏面、外周を経て該反応チャンバ内にガスを流出させるための少なくとも一つのガス吐出孔を備え、垂直方向に移動可能なサセプタ、(ii)前記反応チャンバ内にあって、前記サセプタと対向し、それと平行に設置され前記基板に反応ガスを噴射する為のシャワーヘッド、(iii)前記シャワーヘッドの周辺近傍に位置し、前記反応チャンバの内壁面に沿って環状に設けられた排気ダクト、及び(iv)前記排気ダクト手段と同軸でその底面と僅かに隙間を形成するように設置された環状の分離板であって、該分離板下部は密閉部を有し、サセプタが上昇した時にサセプタ外周部を該密閉部によりシールし、前記反応チャンバと該反応チャンバの下部に設置され得る基板搬送チャンバとを完全に分離する環状分離板、及び前記シャワーヘッドを所定の温度に温度制御する為の温度制御装置、を有する装置。
  13. 密閉部はO−リングからなる請求項12の装置。
  14. 分離板の内周に沿って基板外周部の非成膜領域を被うために設置された環状のガードリングを更に備えた、請求項12記載の装置。
  15. 環状のガードリングは分離板の内周部に設けられ、該少なくとも一つのガス吐出孔から流出するガスがガードリングと基板の間の隙間を通って反応チャンバ中へ流出するように設置されている、請求項14記載の装置。
  16. 分離板と排気ダクトの底面との隙間は分離板の厚みを変えることにより、約0.1mm〜約5mmの範囲で調整可能である、請求項12記載の装置。
  17. 前記所定温度は約50℃〜約400℃である、請求項12記載の装置。
  18. ガードリングは、基板外周部の非成膜領域が基板エッジ部より約0.5mm〜約3mmとなるように設置されている、請求項14記載の装置。
  19. 前記温度制御装置は、前記シャワーヘッドに近接配置された少なくとも一つの加熱器と、前記シャワーヘッドに近接配置された少なくとも一つの冷却器と、温度測定器と、前記加熱器と、冷却器及び温度測定器に接続された温度調節器とから成る、請求項12記載の装置。
  20. 前記分離板とサセプタとの隙間及び反応ガス流量をパラメータとして基板近傍の圧力を計算することにより前記排気ダクト内の圧力を算出するための圧力検出器を更に有する、請求項12記載の装置。
  21. サセプタは複数の基板リフトピンを有し、該リフトピンの孔をガス吐出孔として用いる、請求項12記載の装置。
  22. ガス吐出孔は基板リフトピン以外にサセプタに設けられた一つの又は複数の孔である、請求項12記載の装置。
  23. 一枚ずつ半導体基板を成膜する枚葉式CVD装置であって、
    反応チャンバを備え、該反応チャンバは、(i)該反応チャンバ内にあって基板を載置しかつ加熱する為のサセプタであって、その内に設けられ、成膜時に基板の外周を経て該反応チャンバ内にガスを流出させるため、基板の載置位置の外側に設けられた少なくとも一つのガス吐出孔を備え、垂直方向に移動可能なサセプタ、(ii)前記反応チャンバ内にあって、前記サセプタと対向し、それと平行に設置され前記基板に反応ガスを噴射する為のシャワーヘッド、(iii)前記シャワーヘッドの周辺近傍に位置し、前記反応チャンバの内壁面に沿って環状に設けられた排気ダクト、及び(iv)前記排気ダクト手段と同軸でその底面と僅かに隙間を形成するように設置された環状の分離板であって、該分離板下部は密閉部を有し、サセプタが上昇した時にサセプタ外周部を該密閉部によりシールし、該分離板底面の該密閉部より内周部分が前記少なくとも一つのガス吐出孔を覆う位置に設けられた前記反応チャンバと該反応チャンバの下部に設置され得る基板搬送チャンバとを完全に分離する環状分離板、及び
    前記シャワーヘッドを所定の温度に温度制御する為の温度制御装置、を有する装置。
  24. 分離板の内周に沿って基板外周部の非成膜領域を被うために設置された環状のガードリングを更に備え、該環状ガードリングは分離板の内周部に設けられ、該少なくとも一つのガス吐出孔から流出するガスがガードリングと基板の間の隙間を通って反応チャンバ中へ流出するようにした、請求項23記載の装置。
JP2003103579A 2002-04-12 2003-04-08 枚葉式cvd装置及び方法 Pending JP2004006794A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US37262402P 2002-04-12 2002-04-12

Publications (1)

Publication Number Publication Date
JP2004006794A true JP2004006794A (ja) 2004-01-08

Family

ID=28454885

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003103579A Pending JP2004006794A (ja) 2002-04-12 2003-04-08 枚葉式cvd装置及び方法

Country Status (5)

Country Link
US (2) US6921556B2 (ja)
EP (1) EP1352990B1 (ja)
JP (1) JP2004006794A (ja)
KR (1) KR20030081177A (ja)
DE (1) DE60310291T2 (ja)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006134668A (ja) * 2004-11-04 2006-05-25 Sekisui Chem Co Ltd 表面処理装置
JP2008050672A (ja) * 2006-08-28 2008-03-06 Nec Electronics Corp Cvd装置
JP2011117046A (ja) * 2009-12-04 2011-06-16 Fuji Electric Co Ltd 真空処理装置
JP2011522129A (ja) * 2008-06-03 2011-07-28 アイクストロン、アーゲー 低圧ガス相の中で薄膜ポリマーを堆積させるための堆積方法
JP2012256942A (ja) * 2004-12-21 2012-12-27 Applied Materials Inc 化学蒸着エッチングチャンバから副生成物の堆積物を除去するインサイチュチャンバ洗浄プロセス
WO2015186319A1 (ja) * 2014-06-03 2015-12-10 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP2016149526A (ja) * 2015-02-12 2016-08-18 エーエスエム アイピー ホールディング ビー.ブイ. 半導体製造装置
JP2016169402A (ja) * 2015-03-11 2016-09-23 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
JP2017512894A (ja) * 2014-01-30 2017-05-25 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プロファイル均一性を改善するためのコーナースポイラー
JP2017183393A (ja) * 2016-03-29 2017-10-05 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
WO2022087001A1 (en) * 2020-10-22 2022-04-28 Applied Materials, Inc. Semiconductor processing chamber architecture for higher throughput and faster transition time
JP2022105278A (ja) * 2020-12-31 2022-07-13 拓荊科技股▲ふん▼有限公司 コーティング装置およびそのキャリヤ受座
JP7359000B2 (ja) 2020-01-20 2023-10-11 東京エレクトロン株式会社 基板を処理する装置、及び基板を処理する方法
JP7370499B1 (ja) 2020-11-25 2023-10-27 北京北方華創微電子装備有限公司 半導体プロセス機器

Families Citing this family (189)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100735932B1 (ko) * 2001-02-09 2007-07-06 동경 엘렉트론 주식회사 성막 장치
JP4121269B2 (ja) * 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 セルフクリーニングを実行するプラズマcvd装置及び方法
US20070243317A1 (en) * 2002-07-15 2007-10-18 Du Bois Dale R Thermal Processing System and Configurable Vertical Chamber
KR100490049B1 (ko) * 2003-04-14 2005-05-17 삼성전자주식회사 일체형 디퓨저 프레임을 가지는 cvd 장치
KR100585089B1 (ko) * 2003-05-27 2006-05-30 삼성전자주식회사 웨이퍼 가장자리를 처리하기 위한 플라즈마 처리장치,플라즈마 처리장치용 절연판, 플라즈마 처리장치용하부전극, 웨이퍼 가장자리의 플라즈마 처리방법 및반도체소자의 제조방법
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7628864B2 (en) * 2004-04-28 2009-12-08 Tokyo Electron Limited Substrate cleaning apparatus and method
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
KR20060041497A (ko) * 2004-11-09 2006-05-12 동부일렉트로닉스 주식회사 건식 식각장치
JP4865214B2 (ja) * 2004-12-20 2012-02-01 東京エレクトロン株式会社 成膜方法および記憶媒体
US20060213617A1 (en) * 2005-03-25 2006-09-28 Fink Steven T Load bearing insulator in vacuum etch chambers
US8454749B2 (en) * 2005-12-19 2013-06-04 Tokyo Electron Limited Method and system for sealing a first assembly to a second assembly of a processing system
US7695567B2 (en) * 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
KR100780234B1 (ko) * 2006-12-05 2007-11-27 동부일렉트로닉스 주식회사 화학적 기상 증착공정의 프로세스 챔버
WO2008123060A1 (ja) * 2007-03-28 2008-10-16 Canon Anelva Corporation 真空処理装置
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US20090095218A1 (en) * 2007-10-16 2009-04-16 Novellus Systems, Inc. Temperature controlled showerhead
US8137467B2 (en) * 2007-10-16 2012-03-20 Novellus Systems, Inc. Temperature controlled showerhead
US20090260571A1 (en) * 2008-04-16 2009-10-22 Novellus Systems, Inc. Showerhead for chemical vapor deposition
JP5102706B2 (ja) * 2008-06-23 2012-12-19 東京エレクトロン株式会社 バッフル板及び基板処理装置
US7651873B1 (en) * 2008-07-07 2010-01-26 Asm International N.V. Method relating to the accurate positioning of a semiconductor wafer
US9034142B2 (en) * 2009-12-18 2015-05-19 Novellus Systems, Inc. Temperature controlled showerhead for high temperature operations
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
CN103403843B (zh) 2011-03-04 2016-12-14 诺发系统公司 混合型陶瓷喷淋头
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
KR101295794B1 (ko) * 2011-05-31 2013-08-09 세메스 주식회사 기판 처리 장치
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US10100402B2 (en) * 2011-10-07 2018-10-16 International Business Machines Corporation Substrate holder for graphene film synthesis
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US20130269612A1 (en) * 2012-04-16 2013-10-17 Hermes-Epitek Corporation Gas Treatment Apparatus with Surrounding Spray Curtains
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
JP6169412B2 (ja) 2013-05-15 2017-07-26 三井造船株式会社 船形構造物及び船形構造物の設計方法
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
JP5941491B2 (ja) * 2014-03-26 2016-06-29 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法並びにプログラム
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US10741365B2 (en) 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
JP6428466B2 (ja) * 2014-06-23 2018-11-28 東京エレクトロン株式会社 基板処理方法、基板処理装置、基板処理システム及び記憶媒体
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
JP2017022343A (ja) * 2015-07-15 2017-01-26 ルネサスエレクトロニクス株式会社 半導体装置の製造方法および半導体製造装置、ウエハリフトピン穴清掃治具
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
GB201709446D0 (en) * 2017-06-14 2017-07-26 Semblant Ltd Plasma processing apparatus
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
KR102538177B1 (ko) 2017-11-16 2023-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
KR102404061B1 (ko) 2017-11-16 2022-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
CN113169101B (zh) * 2019-01-08 2022-09-30 应用材料公司 用于基板处理腔室的泵送设备与方法
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11236424B2 (en) * 2019-11-01 2022-02-01 Applied Materials, Inc. Process kit for improving edge film thickness uniformity on a substrate
TW202200817A (zh) * 2020-06-17 2022-01-01 美商應用材料股份有限公司 高溫化學氣相沉積蓋
CN114672768A (zh) * 2022-03-29 2022-06-28 江苏微导纳米科技股份有限公司 薄膜沉积装置

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04268724A (ja) * 1990-12-05 1992-09-24 Applied Materials Inc 真空蒸着装置
JPH05217920A (ja) * 1991-11-18 1993-08-27 Fuji Electric Co Ltd Ecrプラズマ処理装置
JPH06120146A (ja) * 1992-10-05 1994-04-28 Tokyo Electron Ltd 成膜処理方法及びその装置
JPH06120145A (ja) * 1992-09-30 1994-04-28 Sony Corp 成膜装置
JPH08181080A (ja) * 1994-08-23 1996-07-12 Novellus Syst Inc 化学蒸着用基板支持装置
JPH08302473A (ja) * 1995-04-28 1996-11-19 Anelva Corp Cvd装置
JPH10321524A (ja) * 1997-05-15 1998-12-04 Applied Materials Inc マスク装置、成膜装置、搬送装置及び成膜条件決定方法
JP2001329370A (ja) * 2000-05-17 2001-11-27 Anelva Corp Cvd装置
JP2002521815A (ja) * 1998-07-21 2002-07-16 アプライド マテリアルズ インコーポレイテッド 基板処理システムの基板上の汚染を低減する方法及び装置

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0677712B2 (ja) * 1986-09-30 1994-10-05 富士写真フイルム株式会社 塗布装置
US4854263B1 (en) 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
US5370739A (en) * 1992-06-15 1994-12-06 Materials Research Corporation Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD
JPH06244269A (ja) * 1992-09-07 1994-09-02 Mitsubishi Electric Corp 半導体製造装置並びに半導体製造装置におけるウエハ真空チャック装置及びガスクリーニング方法及び窒化膜形成方法
US5902088A (en) * 1996-11-18 1999-05-11 Applied Materials, Inc. Single loadlock chamber with wafer cooling function
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
JPH1154496A (ja) * 1997-08-07 1999-02-26 Tokyo Electron Ltd 熱処理装置及びガス処理装置
US6073576A (en) * 1997-11-25 2000-06-13 Cvc Products, Inc. Substrate edge seal and clamp for low-pressure processing equipment
WO1999049101A1 (en) * 1998-03-23 1999-09-30 Mattson Technology, Inc. Apparatus and method for cvd and thermal processing of semiconductor substrates
KR100302609B1 (ko) * 1999-05-10 2001-09-13 김영환 온도가변 가스 분사 장치
KR100728244B1 (ko) * 1999-11-18 2007-06-13 동경 엘렉트론 주식회사 실리레이션처리장치 및 방법
JP3723712B2 (ja) 2000-02-10 2005-12-07 株式会社日立国際電気 基板処理装置及び基板処理方法
JP3549188B2 (ja) * 2000-03-27 2004-08-04 日本エー・エス・エム株式会社 半導体基板への薄膜成膜方法
US6331212B1 (en) * 2000-04-17 2001-12-18 Avansys, Llc Methods and apparatus for thermally processing wafers
US6630053B2 (en) 2000-08-22 2003-10-07 Asm Japan K.K. Semiconductor processing module and apparatus
JP3804913B2 (ja) 2000-09-19 2006-08-02 株式会社日立国際電気 半導体装置の製造方法および半導体装置の製造装置

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04268724A (ja) * 1990-12-05 1992-09-24 Applied Materials Inc 真空蒸着装置
JPH05217920A (ja) * 1991-11-18 1993-08-27 Fuji Electric Co Ltd Ecrプラズマ処理装置
JPH06120145A (ja) * 1992-09-30 1994-04-28 Sony Corp 成膜装置
JPH06120146A (ja) * 1992-10-05 1994-04-28 Tokyo Electron Ltd 成膜処理方法及びその装置
JPH08181080A (ja) * 1994-08-23 1996-07-12 Novellus Syst Inc 化学蒸着用基板支持装置
JPH08302473A (ja) * 1995-04-28 1996-11-19 Anelva Corp Cvd装置
JPH10321524A (ja) * 1997-05-15 1998-12-04 Applied Materials Inc マスク装置、成膜装置、搬送装置及び成膜条件決定方法
JP2002521815A (ja) * 1998-07-21 2002-07-16 アプライド マテリアルズ インコーポレイテッド 基板処理システムの基板上の汚染を低減する方法及び装置
JP2001329370A (ja) * 2000-05-17 2001-11-27 Anelva Corp Cvd装置

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4733377B2 (ja) * 2004-11-04 2011-07-27 積水化学工業株式会社 表面処理装置
JP2006134668A (ja) * 2004-11-04 2006-05-25 Sekisui Chem Co Ltd 表面処理装置
JP2012256942A (ja) * 2004-12-21 2012-12-27 Applied Materials Inc 化学蒸着エッチングチャンバから副生成物の堆積物を除去するインサイチュチャンバ洗浄プロセス
JP2008050672A (ja) * 2006-08-28 2008-03-06 Nec Electronics Corp Cvd装置
JP2011522129A (ja) * 2008-06-03 2011-07-28 アイクストロン、アーゲー 低圧ガス相の中で薄膜ポリマーを堆積させるための堆積方法
JP2011117046A (ja) * 2009-12-04 2011-06-16 Fuji Electric Co Ltd 真空処理装置
JP2017512894A (ja) * 2014-01-30 2017-05-25 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プロファイル均一性を改善するためのコーナースポイラー
WO2015186319A1 (ja) * 2014-06-03 2015-12-10 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP2015229776A (ja) * 2014-06-03 2015-12-21 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP2016149526A (ja) * 2015-02-12 2016-08-18 エーエスエム アイピー ホールディング ビー.ブイ. 半導体製造装置
KR20160099459A (ko) * 2015-02-12 2016-08-22 에이에스엠 아이피 홀딩 비.브이. 반도체 제조 장치
KR102423789B1 (ko) * 2015-02-12 2022-07-21 에이에스엠 아이피 홀딩 비.브이. 반도체 제조 장치
JP2016169402A (ja) * 2015-03-11 2016-09-23 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
JP2017183393A (ja) * 2016-03-29 2017-10-05 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
JP7359000B2 (ja) 2020-01-20 2023-10-11 東京エレクトロン株式会社 基板を処理する装置、及び基板を処理する方法
WO2022087001A1 (en) * 2020-10-22 2022-04-28 Applied Materials, Inc. Semiconductor processing chamber architecture for higher throughput and faster transition time
JP7370499B1 (ja) 2020-11-25 2023-10-27 北京北方華創微電子装備有限公司 半導体プロセス機器
JP2022105278A (ja) * 2020-12-31 2022-07-13 拓荊科技股▲ふん▼有限公司 コーティング装置およびそのキャリヤ受座
JP7329034B2 (ja) 2020-12-31 2023-08-17 拓荊科技股▲ふん▼有限公司 コーティング装置

Also Published As

Publication number Publication date
EP1352990B1 (en) 2006-12-13
US20050098111A1 (en) 2005-05-12
DE60310291T2 (de) 2007-06-21
DE60310291D1 (de) 2007-01-25
US6921556B2 (en) 2005-07-26
KR20030081177A (ko) 2003-10-17
EP1352990A1 (en) 2003-10-15
US20040071874A1 (en) 2004-04-15

Similar Documents

Publication Publication Date Title
JP2004006794A (ja) 枚葉式cvd装置及び方法
JP4121269B2 (ja) セルフクリーニングを実行するプラズマcvd装置及び方法
US7931749B2 (en) Shower head and film-forming device using the same
JP4152802B2 (ja) 薄膜形成装置
US5993916A (en) Method for substrate processing with improved throughput and yield
US6364949B1 (en) 300 mm CVD chamber design for metal-organic thin film deposition
JP4256480B2 (ja) セラミックライニングを用いて、cvdチャンバ内の残渣堆積を減少させる装置
KR101145538B1 (ko) 배치식 플라즈마 처리 장치
US20110253311A1 (en) Substrate processing apparatus for performing plasma process
WO2004097919A1 (ja) 処理ガス導入機構およびプラズマ処理装置
TW201207975A (en) Confined process volume PECVD chamber
JP2006128485A (ja) 半導体処理装置
KR20060007375A (ko) 플라즈마 처리 장치
WO2009009607A1 (en) Apparatus and method for processing a substrate edge region
TWI684217B (zh) 基板處理裝置
JP2018037508A (ja) 原子層成長装置および原子層成長方法
JP4079834B2 (ja) プラズマ処理方法
JP4091445B2 (ja) プラズマ処理装置およびプラズマ処理方法
JPH10223538A (ja) 縦型熱処理装置
KR100629540B1 (ko) 감소된 온도에서의 티타늄 질화물의 금속 유기 화학 기상 증착 수행 방법
WO2006049125A1 (ja) 成膜装置及び成膜方法
JPH0270066A (ja) プラズマcvd装置
JP2021012960A (ja) プラズマ処理装置
JP2006274316A (ja) 基板処理装置
JP4115849B2 (ja) W系膜の成膜方法およびw系膜

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20051220

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20080331

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20091020

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091214

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20101104