JPH08181080A - 化学蒸着用基板支持装置 - Google Patents

化学蒸着用基板支持装置

Info

Publication number
JPH08181080A
JPH08181080A JP7236031A JP23603195A JPH08181080A JP H08181080 A JPH08181080 A JP H08181080A JP 7236031 A JP7236031 A JP 7236031A JP 23603195 A JP23603195 A JP 23603195A JP H08181080 A JPH08181080 A JP H08181080A
Authority
JP
Japan
Prior art keywords
gas
platen
wafer
groove
deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP7236031A
Other languages
English (en)
Inventor
De Ven Everhardus P Van
エバーハーダス・ピー・バンデ・ベン
Eliot K Broadbent
エリオット・ケイ・ブロードベント
Jeffery C Benzing
ジェフリー・シー・ベンジング
Barry L Chin
バリー・エル・チン
Christopher W Burkhart
クリストファー・ダブリュ・バークハート
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Novellus Systems Inc
Original Assignee
Novellus Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Novellus Systems Inc filed Critical Novellus Systems Inc
Publication of JPH08181080A publication Critical patent/JPH08181080A/ja
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • C23C16/45521Inert gas curtains the gas, other than thermal contact gas, being introduced the rear of the substrate to flow around its periphery
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

(57)【要約】 【課題】 ウエハに対する化学蒸着プロセスに於い
て、皮膜がウエハの表面に均一に形成されるが、ウエハ
の裏面及び外周面には皮膜が形成されないようにする。 【解決手段】 非活性ガスからなる被着制限ガスを、
ウエハの外周エッジの近傍に設けられた狭窄開口を通過
するように、ウエハの裏面のプラテンブロックの側から
プロセスチャンバ内に向けて導入する。エクスクルージ
ョンガードを併用し、狭窄開口を、部分的にエクスクル
ージョンガードの延長部により画定すると良い。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本出願は、米国特許第5,2
30,741号の原出願に基づく米国一部継続特許出願
に基づくものであって、本発明は半導体の処理技術に関
し、特に半導体の処理過程に際してウエハの或る部分を
保護する技術に関する。
【0002】
【従来の技術】化学蒸着(CVD)とは、半導体産業に
於いて一般的に用いられるガス反応過程であって、膜と
呼ばれる薄い材料層を集積回路基板の表面に形成する技
術である。CVDプロセスは、選択されたガスの熱的、
プラズマによる或いは熱的であって、しかもプラズマを
用いた分解及び反応に基づくものである。最も広く用い
られているCVD膜としては、二酸化シリコン、窒化シ
リコン及びポリシリンコン等があるが、絶縁体、分極材
料、半導体、導体、超電導体及び磁気媒体として適する
多くのCVD膜が良く知られている。
【0003】CVD膜が粒状の汚染物質により汚染され
るのを回避しなければならない。タングステン、タング
ステンシリサイド、窒化チタニウムなど金属その他の導
体を化学蒸着しようとする場合、特に問題となる粒状汚
染源としては、或る条件下に於いてウエハのエッジ裏面
に形成される膜がある。例えば、ウエハのエッジ及び裏
面が蒸着過程に際して実質的に保護されていなかったり
或いは不十分に保護されている場合には、ウエハのエッ
ジ及び裏面にそれぞれCVD材料が部分的に被着される
こととなる。このような部分的な被着は、材料によって
は容易に剥がれたり、或いは小片となって脱落し易いた
め、蒸着及びそれに続く取り扱い過程に際してチャンバ
内に粒状異物を導入する場合がある。
【0004】ウエハの裏面に対して材料が被着されてし
まうという問題を解決しようとする試みがいくつもなさ
れている。或る方法によれば、裏面に材料が被着される
ままにしておき、蒸着過程に引き続いて、そのままの状
態でプラスマエッチングを行うことにより、このような
膜を除去している。この方法は、追加の処理過程を伴
い、追加の設備或いは装置を必要とすると共に、ウエハ
の平面度を損なう傾向がある。別の方法に於いては、ウ
エハの裏面をCVDガスからシールし、隔絶せんとし
て、基板ホルダ上にウエハがクランプされる。実際には
十分なシールを達成する事は困難であって、クランプと
ウエハとの間の機械的或いは力学的運動自体が粒状物質
を発生させる結果となる。更に別の方法が1989年4
月4日にItohに与えられた米国特許第4、887,
558号明細書に開示されている。シリンダ状をなす基
板支持部材が、基板を配置するべき平坦な支持面を備え
ている。支持面の外周部から3本のピンが突出してい
る。基板の側壁が、カバーによって反応ガスから絶縁さ
れ、このカバーには、基板のレベルに於いて基板を包囲
する持ち上げられかつ曲げられた領域を備えている。持
ち上げられかつ曲げられた領域は、反応ガスをウエハの
側面に補足し、皮膜がウエハの裏面に被着されるのを防
止するとされている。
【0005】
【発明が解決しようとする課題】このような従来技術の
問題点に鑑み、本発明の主な目的は、ウエハに対する化
学蒸着プロセスに於いて、皮膜がウエハの表面に均一に
形成されるが、ウエハの裏面及び外周面には皮膜が形成
されないようにするための装置を提供することにある。
【0006】
【課題を解決するための手段】本発明によれば、ウエハ
の裏面及びエッジに対する好ましくない材料の被着が回
避され、しかもウエハの表面の全体にわたって良好な温
度条件及び材料の被着量の均一性を達成することができ
る。これら及びその他の利点は、プラテン及び延出部材
を含む化学蒸着装置に於ける処理チャンバ内に於ける基
板の支持装置によって達成することができる。このプラ
テンは、処理チャンバ内に配置され、概ね基板の形状と
同様な形状を有する内部の外周を画定するガスオリフィ
スを備えている。また内部は、真空チャックも備えてい
る。プラテンは、また、内部を外囲する外部をも備えて
いる。延出部材は、内部を外囲し、外部から、少なくと
も基板の厚さをもって延出し、内部の外周に対して均一
な間隔をおいて配置されている。延出部材は、様々な形
式のものであってよく、着脱可能なリング及びプラテン
の持ち上げられた部分を含むものであって良い。ガスオ
リフィスも様々な形式のものであってよく、例えば溝内
に配置されたリングにより形成される狭窄手段を備える
ものであって良い。さらに、別の変形例として、追加の
ガスオリフィスが延出部材の上部から溝に向けて設けら
れている。追加のガスオリフィスも様々な形式のもので
あってよく、例えば複数の均等に間隔をおいて配置され
た孔からなるものであって良い。
【0007】
【発明の実施の形態】図1には、高圧化学蒸着(CV
D)装置に於ける反応チャンバの一例が、上向き位置に
置かれたウエハ搬送機構10の直上部分を破断して示す
平断面図によって示されている。処理チャンバ2は、ロ
ードロックチャンバ1に連通し、処理されるべきウエハ
は、同部分からプロセスチャンバ2に導入され、処理さ
れたウエハが、プロセスチャンバ2から再びロードロッ
クチャンバ1へと送り込まれる。チャンバガスは、ベン
トポート6a〜6fから排出される。軸孔7が、ベント
ポート6a〜6fに隣接して設けられている。ウエハを
1つのステーションから次のステーションへと搬送する
ための、プロセスチャンバ2に於けるシステムは、ピン
リフトプラットホーム8a〜8c及びウエハ搬送機構1
0を含む。プラテンブロック14a〜14bは、その特
徴が理解し易いように、実際とは異なる寸法で図示され
ている。
【0008】図2は、ロードロックチャンバ1及びプロ
セスチャンバ2の平断面図であって、エクスクルージョ
ンガード441〜445に関連して、ウエハプロセスス
テーション4a〜4eのエクスルージョンガーディング
或いはアンガーディング動作に適するエクスクルージョ
ンガードリフトアセンブリ420の使用要領を示してい
る。
【0009】円形のエクスクルージョンガードリフトプ
レート422は、ロード/アンロードステーション5に
関連して6つの半円形の切欠部430〜435及び5つ
のウエハプロセスステーション4a〜4eを備えてい
る。切欠部431〜435は、それぞれプロセスステー
ション4a〜4eに対応しており、それぞれ、プラテン
ブロック4a〜4eの直径よりもやや大きいが、エクス
クルージョンガード441〜445の外径よりもやや小
さい。切欠部431〜435は、合計5つのエクスクル
ージョンガード441〜445に係合するべく設計され
ている。エクスクルージョンガード441〜445は、
以下に記載したエクスクルージョンガードを代表するた
めに示されたものである。切欠部431〜435、エク
スクルージョンガード441〜445及びプロセスステ
ーション4a〜4eは、それらの特徴をより良く表すた
めに実際とは異なる寸法を図示されている。
【0010】通常、全てのプロセスステーション4a〜
4eは、エクスクルージョンガード若しくはエクスクル
ージョンアンガードされているが、所望に応じて、プロ
セスステーション4a〜4eは、対応するエクスクルー
ジョンガード441〜445の対応するものをマウント
又は取り外すのみで、エクスクルージョンガードもしく
はアンガードすることができる。エクスクルージョンガ
ード441〜445は、適当な方法によってエクスクル
ージョンガードリフトプレート422によって係止され
ている。例えば、図2に示されるように、エクスクルー
ジョンガード441〜445は、それぞれ切欠部431
〜435と整合しており、エクスクルージョンガードリ
フトアセンブリ420及び図示されないスピンドルリフ
ト/回転機構の作用により、エクスクルージョンガード
リフトプレート422がプラテンブロック14a〜14
e(図3)の上方に上昇するに伴い、単にエクスクルー
ジョンガードリフトプレート422の上面により持ち上
げられ、或いは単にそれに接する。スピンドルリフト/
回転機構は、Van de Venらによる米国特許第
5,238,499号明細書に記載されており、その詳
細については、同明細書を参照されたい。ここで注意す
べき事は、プロセスチャンバ2の上部を取り外すのみ
で、エクスクルージョンガード441〜445を、清掃
及び交換のためにアクセスし得ることである。また、ロ
ード/アンロードステーション5に関連する切欠部43
0に於いては、エクスクルージョンガードが、エクスク
ルージョンガードリフトプレート422に取着されてい
ない点である。
【0011】高圧CVD反応チャンバの側面図を示す図
3に於いては、ウエハプロセスステーション4b〜4d
が詳細に示されている。プロセスステーション4b〜4
dはその特徴が明瞭になるように実際の寸法とは異なる
尺度によって図示されている。例えば、プロセスステー
ション4cは、処理されるべきウエハ上にプロセスガス
又はガスの混合体を導入するための拡散ヘッド12c
と、プラテンブロック14cを加熱し、処理されるべき
ウエハに対して間接的に熱を供給するヒータを備えたペ
デスタルベース16cと、ウエハ搬送機構10の作動に
同期して、処理されるべきウエハを上下するためのピン
20c、21c及び22c(図面に現れず)に関連する
ピンリフトプラットホーム8bとを有する。同様に、プ
ロセスステーション4bは、ガス拡散ヘッド12bと、
プラテンブロック14bと、ペデスタルペース16b
と、ピン20b、21b及び22bに関連するピンリフ
トプラットホーム8aとを有する。同様に、プロセスス
テーション4dは、ガス拡散ヘッド12dと、プラテン
ブロック14dと、ペデスタルペース16dと、ピン2
0d、21d及び22dに関連するピンリフトプラット
ホーム8bとを有する。また、図3には、真空排気ポー
ト24と、スピンドルリフト回転機構26と、ピンリフ
ト機構28とが示されているが、これらは良く知られた
形式のものからなる。プロセスステーション4a及び4
eは、好ましくは、プロセスステーション4b〜4dと
同様のものからなる。ペデスタルベース16a〜16e
からプラテンブロック14a〜14eにかけてのアセン
ブリ及びそれらの構造は、米国特許第5,238,49
9号明細書及び同5,230,741号明細書に記載さ
れており、それらの詳細については、同特許明細書を参
照されたい。
【0012】図3に示されるように、エクスクルージョ
ンガードリフトアセンブリ420が、プロセスチャンバ
2内に配置されている。エクスクルージョンガードリフ
トアセンブリ420を、ウエハ搬送機構10(図1)に
対して、一体的に上下運動し得るように結合し、ウエハ
搬送機構10の回転に際してエクスクルージョンガード
リフト機構が、プロセスステーション4a〜4eに対し
て回転方向に係止させるための構成については、米国特
許第5,238,499号にシュラウドリフトアセンブ
リに関連して詳しく記載されており、同明細書を参照さ
れたい。更に、この米国特許明細書は、処理されるべき
ウエハを搬送し、ガードしかつアンガードするための物
理的な構成及び作動要領についても記載している。
【0013】処理されるべきウエハは、5333Pa
(40トル)程度の減圧された状態に於いてロードロッ
クチャンバ1からプロセスチャンバ2内に導入され、空
のロード/アンロードステーション5により受け止めら
れ、更に、持ち上げられたリフトピン20f、21f及
び22f上に載置される。ウエハ搬送機構10の回転及
びリフトピン20a〜20f、21a〜21f及び22
a〜22fの上下運動を同期させることにより、ウエハ
は、ステーション4a〜4e及び5のそれぞれに対して
順次搬送される。ウエハ搬送機構10が、ステーション
4a〜4e及び5に於いてウエハを係合するのに適する
高さに向けて上昇するに伴い、エクスクルージョンガー
ドリフトプレート422も上昇し、エクスクルージョン
ガード441〜445を持ち上げることをもってウエハ
を搬送するべき空間をプロセスステーション4a〜4e
の上方に形成する。ウエハ搬送機構10が、ステーショ
ン4a〜4e及び5に於いてウエハを係合するのに適す
る高さまで下降するに伴い、エクスクルージョンガード
リフトアセンブリ420もまた降下する。このときま
た、リフトピン20a〜20f及び22a〜22fの運
動は、搬送機構10及びエクスクルージョンガードリフ
トアセンブリ420の上昇運動に追従し、搬送機構10
及びエクスクルージョンガードリフトアセンブリ420
の下降運動に先立って行われる。ロード/アンロードス
テーション5に於ける処理済みのウエハは、ロードロッ
クチャンバ1内へと排出される。
【0014】エクスクルージョンガードリフトアセンブ
リ420が降下すると、エクスクルージョンガード44
1〜445が、それぞれのプロセスステーション4a〜
4eに於いてプラテン14a〜14e上に載置され、ウ
エハをエクスクルージョンガードする。プラテン14a
〜14eは、後記するプラテン202、1310及び又
は1406を代表する。エクスクルージョンガード44
1〜445を保持するために様々な対策を講ずることが
できる。例えば、図2に示されているのは、エクスクル
ージョンガード441〜445の重量を適切に定めるこ
とによって、重力によってエクスクルージョンガードを
固定保持する方法である。
【0015】図1〜3に示された高圧CVD装置の物理
的構成及び作動要領並びにそれに含まれる要素について
は、米国特許を第5,230,741号明細書を参照さ
れたい。
【0016】ペデスタルベース16b〜16dとして適
するペデスタルベースについては、米国特許第5,23
0,741号明細書を参照されたい。
【0017】ステーション4a〜4e及び5に於けるピ
ン20a〜20f、21a〜21f及び22a〜22f
が降下すると、処理されるべきウエハが、対応するガス
拡散ヘッド12a12eの下方に於いて、対応するプラ
テン上に載置される。ウエハが、対応するプラテン14
a〜14e上に載置されると、好ましくは、ウエハが、
対応するプラテン14a〜14eに対して固定される。
ウエハを、対応するプラテン上のウエハコンタクトに固
定するために様々な方法を用いることができる。適当な
方法の1つとしては、真空チャックを用いたものがあ
り、その場合プロセスチャンバの圧力よりも2333〜
5333Pa(20〜40トル)低い圧力が、対応する
プロセスステーション4a〜4eに於ける真空チャック
内に保持される。ここで用いられる真空なる用語は、別
の圧力よりも低い圧力を意味する一般的な用語として用
いられているものであって、例えばプロセスステーショ
ン4a〜4eに於ける真空チャックの圧力は、プロセス
チャンバ2に於ける圧力に比較して低いことを意味す
る。
【0018】図4〜6に、一例として、真空チャックを
備えたプラテン200が示されている。プラテン200
の主たる部品は、アルミニウム或いはステンレス鋼など
の適当な材料からなる円形のブロック202である。上
方から見たとき(図4)、プラテンブロック202の上
面には、8個の真空孔205a〜205hが設けられて
おり、それらから半径方向真空溝206a〜206hが
延出している。中心の盲孔として示されている真空ライ
ン204の端部は、プラテンブロック202の上面から
6.4mm(0.25インチ)下方に位置している。真
空ライン204の上端は、8.1mm(0.32イン
チ)の直径を有し、真空ライン204の上端から約9.
7mm(0.38インチ)下の位置に於いてはそれが、
6.4mm(0.25インチ)に狭められている。真空
ライン204は、プラテンブロック202の底部を貫通
している。半径方向溝206a〜206hは、四角形の
断面を有するが、他の形状を有するものであっても良
い。本実施例の場合、該溝は、3.00mm(0.11
8インチ)の深さ及び3.25mm(0.128イン
チ)の幅を有する。8個の半径方向溝206a〜206
hは、互いに45度の角度をなすように規則的に配置さ
れている。半径方向溝206a〜206hは、同心円溝
208a、208b及び208c並びに半径方向真空溝
209a〜209qと交差しており、これらの溝も、半
径方向溝206a〜206hと同様に、四角形の断面を
有し、3.00mm(0.118インチ)の深さ及び
3.25mm(0.128インチ)の幅を有する。内側
同心円溝208aの外側半径は、36.1mm(1.4
2インチ)であって、中間同心円溝208bの外側半径
は、61.0mm(2.40インチ)であって、外側同
心円溝208cの外側半径は85.9mm(3.38イ
ンチ)である。所望に応じて、同心円溝208cの外側
半径を、ウエハコンタクト上に置かれたウエハのエッジ
に隣接するウエハの裏面領域に接触する位置、即ちプラ
テン200上に配置されたウエハの下側のプラテン20
0の上面の対応する部分に増大させることも可能であ
る。上記した半径方向寸法は、203mm(8インチ)
の直径を有するウエハを処理するのに適するものである
が、様々な直径を有するウエハを処理するために、これ
らの寸法を適宜する変更することができる。
【0019】図5に示されるように、図示されていない
管が、適宜な締結具によって真空ライン204に結合さ
れ、真空溝206a〜206h、208a〜208c及
び209a〜209qによって及ぼされる全体的な真空
吸引力によって、処理過程の間に、ウエハをプラテン2
00上に均一かつ強固に保持することができる。
【0020】もう1つのよく知られた方法は、静電気を
用いて、ウエハをプラテン14a〜14eに固定する方
法である。静電気を利用したクランプ技術についてはB
rigliaによる米国特許第4,184,188号明
細書を参照されたい。
【0021】更に、ウエハをプラテン14a〜14eに
固定するもう1つの方法は、真空孔或いは溝を備えてい
ないプラテン202を用いて重力によってウエハを固定
する方法である。しかしながら、この方法の場合には、
上記した真空チャックを用いた方法などにより達成され
る均一かつ強固な保持によって、ウエハとプラテンとの
間に於ける、(以下に記載されているような)良好な熱
伝達は、あまり期待できないという問題がある。
【0022】処理されるべきウエハ上に物質が被着され
るのを促進するためにウエハに対して熱が供給される。
ウエハに対して熱を供給する方法の1つとして、それぞ
れのプラテン14a〜14eを加熱し、その熱を、これ
らプラテンに載置されたウエハに伝達する方法がある。
図5に示されたプラテン200に於いては、プラテンブ
ロックの底部に螺旋溝232が設けられ、その内部に加
熱要素が配置されている。これらの構成については米国
特許第5,230,741号明細書を参照されたい。
【0023】特に、タングステン、窒化チタニウム、シ
リサイド或いは金属或いは金属化合物をCVDによって
被着するような被着過程に於いて、ウエハの裏面或いは
ウエハのエッジの全体又は部分に対して物質が被着され
ないようにしたい場合がある。これを達成する1つの方
法は、プラテン上に配置されたウエハのエッジの近傍に
於いて、対応するプラテン14a〜14eの内部から被
着を制限するガスを導入する方法がある。ここで用いた
「被着制限ガス」なる用語は、ウエハの特定の部分に対
して物質の化学蒸着を制限し、或いは完全に除去するの
を助ける働きを行う被着制限ガスを意味する。例えば、
或る実施例に於いては、被着制限ガスが水素などの化学
物質を含み、被着制限ガス及びプロセスガスの混合体に
暴露されたウエハの表面の外周部などのウエハの領域の
近傍に対しては、物質の被着を促進し、裏面の及びエッ
ジなどの他の部分に対しては、プロセスガスを排除する
ことによって、必要とされない領域に於ける物質の被着
を防止するようにしている。ウエハのエッジは、複数の
平坦若しくは非平坦面からなる、面取りされた或いは面
取りされていないエッジを有することから、ここで用い
ている「エッジ」なる用語は、表面でもなく或いは裏面
でもない全ての面を指すものとする。
【0024】図4〜6に示されたプラテン200に於い
ては、一体化されたガスライン及びガス溝が、プラテン
200に設けられ、プラテンの内部から被着制限ガスの
導入を容易に行い得るようにしている。最も外側の同心
円真空溝208cの外側のプラテンブロック202の上
面の外周部211内に環状ガス溝210が設けられい
る。環状ガス溝210は、四角形の断面を有し、2.3
6mm(0.093インチ)の幅及び12.7mm
(0.50インチ)の深さを有する。その内径は、好ま
しくは、処理されるべきウエハの径よりも大きくされ、
例えば196.85mm(7.750インチ)であっ
て、その外径は、201.57mm(7.936イン
チ)である。環状ガス溝210の開口をウエハコンタク
トのエッジの外側に配置することにより、プラテンブロ
ック202とウエハとの間の接触面積を増大させ、ウエ
ハに対する熱的な均一性を改善することができる。
【0025】ガス溝210は、半径方向ガスライン21
2a〜212jからなるネットワークに交差し、処理さ
れるべきウエハの裏面に向けてガスを分配する。半径方
向ガスライン212a〜212jが図4に於いて破線に
よって示されており、一部が図5に於いて断面により示
されている。ライン212a〜212jは、36度の間
隔をもってプラテン202上に半径方向に配置されてい
る。10本のガスライン212a〜212jの孔のそれ
ぞれは、3.96mm(0.156インチ)の直径を有
し、かつプラテンブロック202の上面から孔の中心ま
での距離が6.65mm(0.262インチ)となるよ
うに、図5に示されるように、プラテンブロック202
の垂直なエッジから開始し、プラテンブロック202の
上面に対して平行をなし、プラテンブロック202の底
面から延出するガスライン216a〜216jの10本
の垂直な孔のそれぞれと交差している。ガスライン21
2a〜212jは、それぞれのプラグ218a〜218
jにより閉じられており、(例えば図5に於いて)示さ
れたプラグ218d及び218iを参照されたい。これ
らのプラグは、プラテンブロック202の外側垂直エッ
ジから6.4mm(0.25インチ)延出し、かつガス
溝210に至る直前まで延出している。プラグ218a
〜218jは、ガス溝212a〜212jに圧入されて
いる。ガスライン216a〜216jの孔の直径は3.
18mm(0.125インチ)である。プラテン200
の全体の厚さは、43.03mm(1.694インチ)
であって、その外径は229mm(9インチ)である。
但し、プロセスチャンバのサイズやウエハのサイズなど
の様々なファクタに適合するように他の寸法を採用する
こともできる。
【0026】半径方向ガスライン214a〜214cの
第2のネットワークがプラテンブロック202に穿設さ
れ、図6に示された孔107cなどからなる、ウエハリ
フトピン20c、21c及び22cを受容する孔107
a〜107cに裏面のガスを分配するようにしている。
孔107a〜107c、対応するインデックス孔224
a〜224c(図6)及び図示されないインデックスス
リーブについては、米国特許第5,230,741号に
詳しく記載されている。半径ガス方向ライン214a〜
214cは、図4に於いては、破線で示されており、そ
の一部が図6に於いて断面により示されている。3本の
ガスライン214a〜214cの孔のそれぞれは、3.
96mm(0.156インチ)の直径を有し、図6に示
されるように、プラテンブロック202の上面から孔の
中心線までが19.1mm(0.75インチ)であるよ
うに、プラテンブロック202の垂直エッジから開始
し、プラテンブロック202の上面に対して平行をな
し、プラテンブロック202の底面から延出するガスラ
イン214a〜214cのための3本の垂直孔220a
〜220cのそれぞれと交差するのに十分な長さを持っ
てプラテンブロック202内を延出している。ガスライ
ン214a〜214cは、対応するプラグ222a〜2
22cにより閉じられており(例えば図6に示されたブ
ラグ222aを参照されたい)、これらのプラグはプラ
テンブロック202の外側垂直端面から、対応するガス
ライン220a〜220cの直前に至るまで6.4mm
(0.25インチ)の長さをもって延出している。ブラ
グ222a〜222cは、ガスライン214a〜214
cに圧入されている。ガスライン(垂直孔)220a〜
220cのための孔の直径は、1.60mm(0.06
3インチ)である。
【0027】図2に示されるウエハリフトピン20c、
21c及び22cなどを受容する孔107a、107
b、107cは4.75mm(0.187インチ)の直
径を有し、プラテンブロック202を貫通している。プ
ラテンブロック202の下面にかけて孔107a〜10
7cは、それぞれインデックス孔224a〜224cに
合流しており、これらのインデックス孔は、7.92m
m(0.312インチ)の直径を有し、図3に示される
ベースペデスタル16b、16c或いは16dなどのベ
ースぺデスタルの図示されないインデックススリーブを
それぞれ受容している。孔107a〜107cの中心軸
線は、それぞれ孔224a〜224cの中心軸線に対し
てオフセットされていることにより、孔107a〜10
7cと図示されないインデックススリーブとの間の偏心
を許容するようにしている。127mm(5インチ)の
ウエハを取り扱うのに適するプラテンブロックの寸法が
米国特許第5,230,741号明細書に記載されてい
るが、但し、同米国特許明細書に於いては、ガス溝21
0の内径を147.07mm(5.790インチ)に、
その外径を151.79mm(5.976インチ)に増
大させるのが好ましいとされている。
【0028】ガス拡散ヘッド12a〜12eに於いて、
被着制限ガスが、プロセスガスの導入状況と関連するよ
うにプラテンステーション4a〜4eに於いて導入され
たときの被着制限ガスの機能をより良く理解するため
に、図4〜6に示されたプラテン200について考え
る。被着制限ガスは、環状ガス溝210から導入され
る。ガス溝210に供給される被着制御ガスの量は、所
望のガス排気量及びウエハの表面に於ける物質の被着状
況に対する被着制限ガスの作用を考慮して決定される。
【0029】プラテン200について記載されている実
施例に於いては、被着制限ガスは、プラテン14a〜1
4e(図3)内からプロセスチャンバ2内に向けて排気
される。プロセスチャンバ2に於いては、被着制限ガス
がプロセスガスと混合され、ベントポート426a〜4
26f(図2)及び6a〜6f(図1)から排気され
る。
【0030】被着制限ガスは、また、リフトピン孔10
7a〜107cからも排気され、プロセスガスが、リフ
トピン孔107a〜107cを介して、リフトピンの周
囲の領域やウエハの裏面に向けて到達するのを防止して
いる。
【0031】米国特許第5,230,741号に於いて
ウエハの裏側に於けるガスに関連して記載されているよ
うに、ペデスタルベース16bなどのペデスタルベース
の内部に向けて被着制限ガスが導入される。図4〜6に
示されるように、プラテンブロック202の下側のぺデ
スタルべースの内部から、被着制限ガスがガスライン2
16a〜216jに導入され、そこから更に、対応する
ガスライン212a〜212jを介して、溝210に向
けて流れる。被着制限ガスはまた、ガスライン212a
〜212cにも導入され、そこから更に対応するガスラ
イン14a〜214cを介してリフトピン孔107a〜
107cに流れる。ガスライン220a〜220cは、
ガスライン216a〜216jよりも小さく、従って前
者のガスラインの流れが比較的制限される。被着制限ガ
スは、プラテンブロック202の下側の内室内に於いて
及び様々なガスラインを流れる際に加熱される。
【0032】様々なガス及び被着制限ガスを用いること
ができる。例えば、毎分2000Åの割合でタングステ
ン被膜を被着する場合に於いて、例えば400℃の被着
温度及び5333Pa(40トル)の反応圧力下に於い
て、H2及びArの反応条件下に於いて対象物質反応成
分(product reactant)としてWF6
が用いられたとする。WF6及びH2ガスは、プロセスに
於ける反応成分である。他の被膜を被着する場合には、
異なる反応成分を有する他のプロセスガスを用いること
もできる。図1、2及び3の装置に於いては、拡散ヘッ
ド12a〜12eのそれぞれに至るプロセスガスの流れ
は、毎分2〜3標準リットルのオーダである。拡散ヘッ
ドからのガスの流れがウエハの表面に直接吹き付けられ
ることから、処理されるウエハの実際の圧力は5333
Pa(40トル)よりもやや大きい。このようなプロセ
ス条件下に於いて、適切な被着制限ガスとしては、アル
ゴン、水素或いはアルゴン及び水素の混合物がある。様
々な組成のガスが適当なマニホールドに送り込み、それ
によって混合することは、当該技術分野に於いてよく知
られている。このような条件下に於いてプロセスステー
ション4a〜4eのそれぞれに供給される被着制限ガス
の流れは、毎分300標準立方センチメートル(scc
m)乃至毎分3標準リットルである。
【0033】処理されるウエハの表面の外周部の近傍に
於ける被着状況の均質性は、プロセスガスの反応成分
を、選択された非活性ガスの1つまたは複数と混合させ
ることにより被着制限ガスを得ることによりさらに改善
される。反応成分H2及び、Ar、N2またはAr及びN
2の混合物からなるキャリアガスと共に対象物質反応成
分WF6が用いられた、先の段落に於ける例に於いて
は、反応成分H2を、Ar、N2またはこれらの混合物を
混合することをもって被着制限ガスを得ることによりエ
ッジに於ける被着状況の均一性を改善することができ
た。非活性ガスに対する反応成分の量は実験的に決定さ
れる。プロセスガスの混合体(WF6+H2+Arの流量
比及びWF6+H2+Arの総流量)及び被着制限ガス混
合体(H2+Arの流量比及びH2+Arの総流量)が互
いに反応するように組み合わされかつ変化せしめられ、
ウエハの表面の均一性が最も良好となるようにする一
方、ウエハのエッジ及び裏面については反応ガスが排除
されるようにした。
【0034】被着制限ガスの混合体として用いるのに適
する非活性ガスとしては、アルゴン、窒素、ヘリウム及
びこれらの混合体がある。「非活性ガス」とは、プロセ
スチャンバ2及びガス分配システム中に於ける物質に対
して好ましくない反応を引き起こすことがなく、しかも
意図されている化学反応に寄与しないあらゆるガスを指
すものとする。しかも、処理されるウエハの全体にわた
って温度を均一にするために、非活性ガスの熱伝達率及
び熱容量が十分であることが好ましい。
【0035】或る実施例に於いては、処理過程中に於い
て、被着制限ガスの導入と共に、エクスクルージョンガ
ードなどの構造を用いることによっても、ウエハの裏面
及びエッジからプロセスガスを排除するようにしてい
る。例えばアルミナなどのセラミックや金属などの適切
な材料からなるエクスクルージョンガードの一例とし
て、図7に於いて断面により示されたエクスクルージョ
ンガード700がある。エクスクルージョンガード70
0は、プラテン200と関連するように示されている
が、他の設計のプラテンを用いることもできる。図7に
於いては、ウエハ402などのウエハが、真空チャック
の半径方向溝206a〜206h(図には現れない)、
同心円溝208a〜208c及び半径方向溝209a〜
209q(図には現れない)によってプラテンブロック
202上に保持されている。図7は、本体702及び延
長部704と共にエクスクルージョンガード700を示
している。延長部704の内側前縁は、ガス溝210上
に延出し、ウエハ402とエクスクルージョンガード7
00との間の狭窄開口706によってウエハ402のエ
ッジに対して間隔を与えられている。本体704の厚さ
は、ウエハ402の厚さの1乃至3倍である。
【0036】図8は、図7に示されたエクスクルージョ
ンガードの部分断面図である。処理中に於いて、被着制
限ガスは、上記したようにプラテンブロック202内に
導入される。上記したような被着制限ガスの流量の場
合、狭窄開口706が、一部がガス溝210により形成
されるプレナムチャンバ内にて圧力を均等化させる。そ
の結果、図8にて矢印により示されるように、被着制限
ガスの流れが、狭窄開口706及び図3に示されるプロ
セスチャンバの雰囲気内に於いて均一化される。このよ
うな被着制限ガスの均一な流れにより、プロセスガスは
ウエハ402の裏面に達することができず、またウエハ
402のエッジに対しても完全に或いは部分的に到達す
ることができず、これらの面に対する物質の被着を防止
することができる。さらに、延長部704は、被着制限
ガスの流れがプロセスチャンバの雰囲気内に導かれるの
を補助する(図3)。
【0037】狭窄開口706の寸法は、被着制限ガスの
流量に関連している。狭窄開口706の面積に対する被
着制限ガスの流量の比は、狭窄開口の面積1平方ミリメ
ートルあたり約10sccmである。狭窄開口706の
好ましい幅すなわち延長部704とウエハ402のエッ
ジとの間の好ましい間隔は0.50ミリメートルであ
る。従って、被着制限ガスの流量は、約3000scc
mである。即ち、面積〜π*(200mm)*(0.5
0mm)〜314mm2、かつ10sccm/mm2*3
14mm2〜3000sccm。しかしながら、狭窄開
口706の幅は、被着制限ガスの流量に応じて変更し得
る。狭窄開口706の長さは、ウエハ402のエッジの
まわりに於いて均一なガスの流れを達成するためには、
狭窄開口の幅の10倍であるのが好ましい。被着制限ガ
スの流量はまた、被着制限ガス内に存在する反応物質の
量に反比例する。したがって、ウエハ402の表面に於
ける被着状況の所望の均一性を達成するためには、流量
が減らされた場合には、H2などの反応物質の量を増大
させることができ、その逆もまた真である。
【0038】通常、ウエハ402の表面の物質が均一に
被着された領域を、ウエハ402のエッジに対して、可
及的に近接させるのが望ましい。ウエハ402の表面の
外周に於ける、物質が均一に被着された領域を最大化す
るためには、被着制限ガスが、上記したようなプロセス
ガスの反応成分の1つまたは複数を含んでいるのが好ま
しい。被着制限ガス内に含まれる反応成分は、被着制限
ガスが狭窄開口706から排気されること及び、ウエハ
402上にて延在する延長部704の部分が物理的に存
在することにより引き起こされる、或る領域に於けるプ
ロセスガスの流れのパターン内に存在する、プロセスガ
スの流れに対する干渉の影響を補償するようにして、ウ
エハ402の外周に於ける物質の被着状況を向上させ
る。例えば、被着制限ガス内に於ける反応成分としてH
2を用い、Wを被着しようとする場合、WF6をH2に反
応させることにより生じたWの被着速度は、H2の濃度
の平方根に比例して変化する。すなわちH2の量を4倍
にすると、Wの被着量を2倍に増大させることができ
る。従って、ウエハの表面の外周に於いてWの被着量を
倍加するためには、ウエハの表面の外周に於けるH2
濃度を4倍にしなければならない。ここで注意すべきこ
とは、H2ガスの濃度が4倍となったことによる影響が
ウエハの表面の外周に確実に到達するためには、被着制
限ガス内に於いて必要とされる全体的なH2の濃度をさ
らに増大させなければならない場合があることである。
しかしながら、被着制限ガスに於いて、例えばH2など
1つの反応成分を増大させた場合には、例えばWF6
どの、プロセスガス内に於ける反応成分が、被着速度を
力学的に維持可能であるように供給されるのが好まし
い。さもないと、H2の濃度が高くWF6が不足している
領域に於いては反応が「飢餓状態」となる場合がある。
【0039】被着制限ガスの排気によるプロセスガスの
流れに対する干渉作用は、被着制限ガスの流量を減少さ
せることにより低減することができる。しかしながら、
延長部704の下側に均一な圧力の領域を形成するのに
十分な程度に、狭窄孔の領域に於いて1平方ミリメート
ルあたり約10sccmの流量を維持するのが好まし
い。
【0040】注意すべきことは、真空溝208a、20
8b及び206a〜206hの上側に位置するウエハ4
02の裏面部分を除いて、ウエハ402の裏面はプラテ
ン202の上面に完全に接触していることである。この
大きな接触面積は、プラテン202からウエハ402の
裏面全体に対する均一な熱伝達を促進する。ウエハ40
2の裏面に於ける均一な熱の分布は、ウエハ402の表
面に沿って熱が均等化するのを助けるが、これによって
ウエハ402の表面の全体に対する物質の概ね均一な被
着を促進することができる。
【0041】エクスクルージョンガード700の寸法は
重要ではないが、それらはウエハ402の寸法及び被着
制限ガス供給システムの流路容積に応じて選択される。
例えば、様々なウエハサイズについて表1に示された寸
法がエクスクルージョンガード700にとって適してい
る。
【0042】
【表1】
【0043】或る処理プロセスに於いては、被着制限ガ
スの流量を減らしたために、干渉領域に向けて十分な反
応成分を送り込むことができず、均一な被着範囲をあま
り大きくできない場合がある。エクスクルージョンガー
ドにオリフィスを設けることにより被着制限ガスの干渉
を減少させ、ウエハ402の表面の外周に対する反応成
分の供給を好適に増大させることができる。図9〜11
に示されているように、エクスクルージョンガード70
0が、例えば孔902などのようなオリフィス及びウエ
ハ402のエッジから0.50ミリの位置まで延出する
延長部903を備えたエクスクルージョンガード900
を形成するように、エクスクルージョンガード700が
変更されている。ウエハ402のエッジもまたガス溝2
10の上方に向けて延出していることに注意されたい。
孔902は、エクスクルージョンガード900の上面か
ら45度の角度をもってプラテンブロック905内にさ
らに被着制限ガス源に向けて延出している。孔902の
直径は20.1mm(0.79インチ)である。プロセ
スチャンバ2内に導入される被着制限ガスの総量は、狭
窄開口706及び孔902を流れる被着制限ガスの量に
等しい。したがって、被着制限ガスの総量を、狭窄開口
706を通過する被着制限ガスの流量を増大させること
なく、プロセスチャンバ2の領域に於けるウエハの表面
の外周部上に於いて増大させることができる。プロセス
チャンバ2の領域に於けるウエハの表面の外周部上のガ
スを不必要に撹乱させるのを回避するために、孔902
によって、被着制限ガスの一部をプロセスチャンバ2
(図3)内の、エクスクルージョンガード900の外側
エッジに向けて排気するのが好ましい。プロセスガスの
流れに対する干渉が低減される一方、ウエハ402の表
面の外周に対する反応ガス成分の供給量を増大させるこ
とにより、ウエハ402の表面の外周部に於ける物質の
被着量の均一化を図ることができる。用途によっては、
エクスクルージョンガード900の表面に対して直交し
たり、ウエハ表面の中心に向けて傾斜した孔など他の向
きに設けられた孔を用いることもできる。孔902は、
エクスクルージョンガード900の両面から、プラテン
ブロック905及びガス溝210内に向けてドリル加工
を行うことにより形成することができる。プラテンブロ
ック905は、孔902の構成の点を除いてプラテンブ
ロック202と同一である。
【0044】図10は、実線の円より示された孔902
を備えたエクスクルージョンガードの平面図である。2
度ずつの間隔を置いて180個の孔が設けられている。
整合用の孔904及び整合用のスロット906も示され
ている。エクスクルージョンガード900の外側のエッ
ジは、プロセスチャンバ2に於ける隣接するエクスクル
ージョンガードの配置の便宜のために平面取り部908
及び910を備えているのが好ましい。
【0045】図11はプラテンブロック1102の別の
実施例を示す。プラテンブロック1102は、持ち上げ
られたプラットフォーム1104及び延長部1106の
構成の点を除いてプラテンブロック202と同様であ
る。持ち上げられたプラットフォーム1104及び延長
部1106は、図7に於ける本体702及び延長部70
4の対応する特徴部分と同一の機能を果し、かつ同一の
寸法を有する。プラテンブロック202に関連するエク
スクルージョンガード700についての記載も全て当て
はまる。持ち上げられたプラットフォーム1104及び
または延長部1106は、図9及び10に於いてエクス
クルージョンガード900について記載したオリフィス
或いは孔を有するように変更することができる。
【0046】エクスクルージョンガードの別の実施例が
図12に示されており、このエクスクルージョンガード
1200は、プラテンブロック202に関連して図示さ
れている。エクスクルージョンガード1200は延長部
を備えていないが、それ以外の点についてエクスクルー
ジョンガード700と同様である。エクスクルージョン
ガード1200が延長部を有しないものとして示されて
いるが、延長部を設けることもできる。狭窄開口120
4が、ガス溝210を経てプロセスチャンバ2内に至る
被着制限ガスの流れを制限するために設けられている
(図3)。狭窄開口1204は、圧入インサート120
2を溶接により固定して設けることができる。インサー
ト1202は、プラテンブロックの材料と同様な熱膨張
係数を有する材料からなり、ガス溝210などの環状ガ
ス溝について用いられる場合には環状をなす。さらに、
インサート1202の厚さは、狭窄開口1204の幅の
約10倍であるのが好ましい。狭窄開口の幅は0.50
ミリ以下であるのが好ましい。
【0047】処理プロセスに際して、被着制限ガスが、
プラテン200について前記したようにプラテンブロッ
ク202内に導入される。上記したような被着制限ガス
の流量にあっては、狭窄開口1204は、ガス溝210
によって部分的に形成されたプレナムチャンバに於ける
圧力を均等化させる働きを有する。その結果、図12の
矢印により示されるように、被着制限ガスの流れが、狭
窄開口1204の全体にわたって均一化される。この均
一化された被着制限ガスの流れは、0.50ミリの幅を
有する狭窄開口の場合に於いては、例えば300scc
mの流量をもってウエハ402のエッジを通過して排気
され、それによってプロセスガスがウエハ402のエッ
ジ及び裏面に到達することができず、これらの表面に対
する物質の被着を防止することができる。エクスクルー
ジョンガード1200の前縁は、狭窄開口1204から
流れ出す被着制限ガスの一部の流れを配向し、ウエハの
裏面に対する物質の被着を防止し、ウエハのエッジに対
する物質の被着を完全に或いは部分的に防止するのを助
ける。
【0048】エクスクルージョンガード700について
のウエハとプラテンとの接触及び熱的な均一性について
の議論はエクスクルージョンガード1200及びプラテ
ンブロック202についても等しく当てはまる。
【0049】上記したように、或る処理プロセスに於い
ては、被着制限ガスの流量を減少させることによって、
十分な量の反応成分を干渉領域に送り込むことができ
ず、物質を均一に被着できる範囲がそれほど大きくでき
ない場合がある。図13には、エクスクルージョンガー
ドのさらに別の実施例としてエクスクルージョンガード
1300が示されている。エクスクルージョンガード1
300は、例えば孔1302からなるオリフィスを有
し、それによって被着制限ガスをプロセスチャンバ2内
に向けて排気することができる(図3)。インサート1
306が、ガス溝210の内壁に固着され、図12に於
けるインサート1202に関連して形成された狭窄開口
1204と同様な狭窄開口1304を形成している。孔
1302及びインサート1306の寸法、位置或いは利
点は、図9〜10及び図12に於いて孔902と関連し
て議論した内容が等しく当てはまる。
【0050】図12及び13に示されたエクスクルージ
ョンガード1200及び1300は、プラテンの一体的
な部分として構成することができる。すなわち、図11
に於けるプラテン1102の一体的な部分としてエクス
クルージョンガード700を組み込んだのと同様な要領
をもって、持ち上げられた部分とすることにより達成す
ることができる。エクスクルージョンガード1200及
び1300を、プラテンの一体的な部分として組み込む
ことにより、フランジ404、ガイドブロック718及
び720(図7)並びにプラテンブロックのエッジから
延出する外側部分を不要とすることができる。
【0051】図14には、プラテンブロックの別の実施
例としてプラテンブロック1402が示されている。プ
ラテンブロック1402は、持ち上げられたプラットフ
ォーム1404が設けられている点に於いてのみプラテ
ンブロック202と異なる。持ち上げられたプラットフ
ォーム1404は、図12に於けるエクスクルージョン
ガード1200と同様の機能を果たし、好ましくはウエ
ハ402の厚さの1〜3倍の厚さを有する。その他の点
については、プラテンブロック202について前記した
エクスクルージョンガード1200についての記載が全
て当てはまる。インサート1202も狭窄開口1204
内に設けられている。インサート1202の上面を、持
ち上げられたプラットフォーム1404の上面と同一面
上に配置するか或いは中間位置に配置するいずれの構成
も可能であることを了解されたい。持ち上げられたプラ
ットフォーム1404は、図9及び10に於けるエクス
クルージョンガード900について前記した孔などから
なるオリフィスを含むように変更することができる。
【0052】図15に於いては、プラテンブロック20
2が、エクスクルージョンガード1200を含まないも
のとして示されている。矢印により示されるように、被
着制限ガスは、ウエハ402のエッジを通過し、プロセ
スチャンバ内に導入される(図3)。狭窄開口1410
が0.50ミリの幅を有し、インサート1202の厚さ
が約5ミリである場合、被着制限ガスの流量は約300
0sccmとなる。被着制限ガスは、ウエハ402の裏
面に対して物質が被着されるのを効果的に防止し、ウエ
ハ402のエッジに対して物質が被着されるのを完全に
或いは部分的に防止する。上記したような、ウエハ40
2の表面に於ける熱的均一性も達成される。
【0053】図1〜15に於けるプラテン及びエクスク
ルージョンガードの利点は、エクスクルージョンガード
リフトアセンブリ及び軸孔7(図1)が不要となること
である。
【0054】図16に於いては、矢印1により示される
ように、対応するプラテンブロックの表面に対して直交
するように被着制限ガスが導入されるものとして示され
ているが、他の方向及び位置についての被着制限ガスの
導入方法も可能である。例えば、被着制限ガスを、ウエ
ハ402とエクスクルージョンガード1200の間のプ
ラテンブロック1602の内部から、ガス溝を経て、矢
印2により示されるように鋭角をなして、或いは矢印3
により示されるように約0度の角度をもって導入するこ
ともできる。この角度は、被着制限ガスの流量及びウエ
ハ402のエッジの輪郭に応じて最適化することができ
る。プラテンブロック1602及びエクスクルージョン
ガード1600は様々な設計が可能であって、例えばプ
ラテンブロック202及びエクスクルージョンガード7
00などのような設計からなるものであってよい。
【0055】変形例として、狭窄開口706を形成する
垂直壁を傾斜させるようにして、ガスの流れに角度を持
たせることもできる(図8)。この角度は、所望に応じ
てウエハに向かうものであっても或いはそれから遠ざか
るものであってもよい。
【0056】正確な整合精度を実現したい場合であっ
て、エクスクルージョンガードとウエハのエッジとの間
に、好ましくは0.50ミリ以下の狭窄開口を形成する
ような場合にあっては、図7〜15に於けるエクスクル
ージョンガードは、例えばプラテンブロック202の外
周部211内に於けるエクスクルージョンガードの接触
領域に設けられた孔−ピンの組み合わせ(図示せず)或
いはスロット−楔の組み合わせ(図示せず)などの任意
の形式及び構成の整合手段を備えるものであってよい。
図7〜10、12及び13に示されるさらに別の整合手
段としては、図7〜9、12及び13に示されたエクス
クルージョンガードに関連する、対応するプラテンブロ
ックの外側エッジに係合し、エクスクルージョンガード
を、対応するプラテンブロック、従ってウエハ402に
対して強制的に整合させるように、エクスクルージョン
ガードの外側エッジに沿って間隔をもって配置されかつ
下向きに延出するフランジ404などを用いたものがあ
る。フランジ404は、対応するプラテンブロックの外
側エッジに漸進的に係合するように、図示されたように
テーパの付されたものであってよい。さらに別の整合手
段としては、図7に示された整合スロット710及び整
合孔712を利用したものがある。これらは図9に於け
る整合スロット906及び整合孔904と同様のもので
ある。図7に於いては、整合ピン714及び716を備
えたガイドブロック718及び720が、それぞれボル
ト722及び724などの適宜な締結具をもってプラテ
ンブロック202に固着されている。ガイドブロック7
18及び720も、それぞれスペーサ726及び728
を備えている。エクスクルージョンガード700に於け
る整合スロット710及び整合孔712は、それぞれ整
合ピン714及び716と嵌合している。整合ピン71
4及び716は、エクスクルージョンガード700を適
切に位置決めし得るような向きに設けられている。エク
スクルージョンガード及びプラテンの全ては、それぞれ
整合スロット或いは孔及び整合ピンを備えている。
【0057】実用的な問題として、ガス溝は、上記した
よりもやや小さい直径を有するものとすることができ
る。ウエハをガス溝の一部に対してオーバーハングする
ようにして、温度の均一性に対してわずかな影響が引き
起こされるようにした場合でも、ウエハのエッジに面取
りが設けられているために、エッジに於ける被着状況が
それほど活発でないことから、このことはあまり問題に
ならない。
【0058】以上本発明を特定の実施例及び変形例につ
いて説明したが、これらの実施例は単なる例示であっ
て、本発明はこれらの実施例によって限定されるもので
はない。例えば、様々な形状及び寸法並びに様々な流量
及び圧力は単なる例示であって、他の形状、寸法、流量
及び圧力も、意図する目的にとって効果的である場合が
ある。また、プラテンブロック、エクスクルージョンガ
ード、ガス溝、ガスライン、真空溝及び真空ラインは、
異なるウエハのサイズに適合するように様々な寸法を有
するものであってよい。さらに、ここに記載した被着プ
ロセスは単なる例示であって、意図する目的にとって他
のプロセスも効果的である場合がある。さらに、狭窄開
口の寸法及び位置或いは被着制限ガスの組成などについ
て、様々なプラテンブロック及びエクスクルージョンガ
ードについて記載したが、これらは、ウエハの外周部の
まわりに均一な被着制限ガスの流れを達成しウエハの表
面に対して物質を均一に被着するために様々に変更する
ことができる。さらに、全てのエクスクルージョンガー
ド及びプラテンの場合に於いて、プラテン自体に追加の
狭窄開口を設け、ウエハの外周のあらゆる点に対してガ
スの分布をより一層均一にすることができる。したがっ
て、本明細書に記載されている以外の実施例も、本願の
特許請求の範囲により定義される本発明の範囲内に含ま
れるものである。
【0059】
【発明の効果】従って、本発明によれば、ウエハに対す
る化学蒸着プロセスに於いて、皮膜がウエハの表面に均
一に形成されるが、ウエハの裏面及び外周面には皮膜が
形成されないようにするための装置を提供することがで
きる。
【図面の簡単な説明】
【図1】化学蒸着装置のためのプロセスチャンバの断面
図である。
【図2】エクスクルージョンガード及びエクスクルージ
ョンガードリフトアセンブリを示す図1のプロセスチャ
ンバの断面図である。
【図3】側面から見た図1のプロセスチャンバの断面図
である。
【図4】図3に示されたプラテンの一実施例を示す平面
図である。
【図5】図4のプラテンの断面図である。
【図6】図4のプラテンの一部の断面図である。
【図7】エクスクルージョンガードの第1の実施例を、
図4のプラテンと共に示す断面図である。
【図8】図7に示されたエクスクルージョンガード及び
プラテンの部分断面図である。
【図9】ウエハの処理に際して被着制限ガスの排気を行
うためのオリフィスを備えるように変更された図7のエ
クスクルージョンガード及びプラテンの断面図である。
【図10】図9のエクスクルージョンガードの底面図で
ある。
【図11】一体的な持ち上げられたプラットフォーム及
び延長部を有するプラテンの部分断面図である。
【図12】狭窄開口を形成するようにガス溝内に設けら
れたインサートを備えた図4のエクスクルージョンガー
ド及びプラテンの第2の実施例を示す部分断面図であ
る。
【図13】図12のエクスクルージョンガード及びプラ
テン並びに、ウエハの処理に際して被着制限ガスの排気
を行うためのオリフィスを備えるように変更されたエク
スクルージョンガード及びプラテンを備えたインサート
の断面図である。
【図14】持ち上げられたプラットフォームを有するプ
ラテンの別の実施例を示す部分断面図である。
【図15】狭窄開口を形成するためのインサートを備え
た図4のプラテンの部分断面図である。
【図16】エクスクルージョンガード及び被着制限ガス
を導入するための様々な位置及び角度を示す部分断面図
である。
【符号の説明】
1 ロードロックチャンバ 2 プロセスチャンバ 5 ロード/アンロードステーション 6 ベントポート 7 軸孔 8 プラットフォーム 10 搬送機構 12 拡散ヘッド 14 プラテンブロック 16 ペデスタルベース 20〜22 ピン 24 真空排気ポート 26 スピンドルリフト回転機構 28 ピンリフト機構 107 孔 200 プラテン 202 ブロック 204 真空ライン 205 真空孔 206 真空溝 208 同心円溝 209 半径方向真空溝 210 環状ガス溝 212、214 半径方向ガスライン 216 ガスライン 220 垂直孔 222 プラグ 224 インデックス孔 232 螺旋溝 402 ウエハ 404 フランジ 420 エクスクルージョンガードリフトアセンブリ 422 エクスクルージョンガードリフトプレート 426 ベントポート 430〜435 切欠部 441〜445、700、900 エクスクルージョン
ガード 702 本体 704 延長部 706 狭窄開口 710 整合スロット 712 整合孔 714、716 整合ピン 718、720 ガイドブロック 722、724 ボルト 726、728 スペーサ 902 孔 903 延長部 904 整合用の孔 905 プラテンブロック 906 整合用のスロット 908、910 平面取り部 1102 プラテンブロック 1104 プラットフォーム 1106 延長部 1200、1300 エクスクルージョンガード 1202、1306 圧入インサート 1204、1304 狭窄開口 1302 孔 1402 プラテンブロック 1404 プラットフォーム 1600 エクスクルージョンガード 1602 プラテンブロック
【手続補正書】
【提出日】平成7年12月4日
【手続補正1】
【補正対象書類名】明細書
【補正対象項目名】請求項18
【補正方法】変更
【補正内容】
【手続補正2】
【補正対象書類名】図面
【補正対象項目名】図4
【補正方法】変更
【補正内容】
【図4】
【手続補正3】
【補正対象書類名】図面
【補正対象項目名】図5
【補正方法】変更
【補正内容】
【図5】
【手続補正4】
【補正対象書類名】図面
【補正対象項目名】図7
【補正方法】変更
【補正内容】
【図7】
【手続補正5】
【補正対象書類名】図面
【補正対象項目名】図8
【補正方法】変更
【補正内容】
【図8】
【手続補正6】
【補正対象書類名】図面
【補正対象項目名】図15
【補正方法】変更
【補正内容】
【図15】
───────────────────────────────────────────────────── フロントページの続き (72)発明者 エリオット・ケイ・ブロードベント アメリカ合衆国カリフォルニア州95148・ サンノゼ・ハーテイジスプリングスコート 3166 (72)発明者 ジェフリー・シー・ベンジング アメリカ合衆国カリフォルニア州95129・ サンノゼ・ランサードライブ 1073 (72)発明者 バリー・エル・チン アメリカ合衆国カリフォルニア州94087・ サニーベイル・スピノサドライブ 1116 (72)発明者 クリストファー・ダブリュ・バークハート アメリカ合衆国カリフォルニア州95124・ サンノゼ・ロムフォードドライブ 5272

Claims (18)

    【特許請求の範囲】
  1. 【請求項1】 化学蒸着反応装置に於ける処理室内に
    置かれた、特定の厚さ及び形状を有する基板を支持する
    ための装置であって、 前記処理室内に配置されたプラテンと、延出部材とを有
    し、 前記プラテンが、前記基板の前記形状とほぼ同型をな
    し、かつ真空チャックを備えた内部の外周及び前記内部
    を外囲する外部を画定するガスオリフィスを有し、 前記延出部材が、前記内部を外囲すると共に、少なくと
    も前記基板の厚さをもって前記外部から延出し、更に、
    前記内部の外周に対して概ね均一に間隔をおいて配置さ
    れていることを特徴とする装置。
  2. 【請求項2】 前記延出部材が、前記プラテンに着脱
    可能に連結されたリングを有することを特徴とする請求
    項1に記載の装置。
  3. 【請求項3】 前記リングがアルミナからなることを
    特徴とする請求項2に記載の装置。
  4. 【請求項4】 前記リングが少なくとも2つの整合点
    を有し、前記プラテンが2つの整合点を有することを特
    徴とする請求項2に記載の装置。
  5. 【請求項5】 前記延出部材が、前記プラテンの持ち
    上げられた部分を含むことを特徴とする請求項1に記載
    の装置。
  6. 【請求項6】 前記プラテン及びその前記持ち上げら
    れた部分がアルミニウムからなることを特徴とする請求
    項5に記載の装置。
  7. 【請求項7】 前記ガスオリフィスがガス溝からなる
    ことを特徴とする請求項1に記載の装置。
  8. 【請求項8】 前記ガス溝に狭窄部が設けられている
    ことを特徴とする請求項7に記載の装置。
  9. 【請求項9】 前記狭窄部が前記ガス溝に固着内蔵さ
    れたリングを有することを特徴とする請求項8に記載の
    装置。
  10. 【請求項10】 前記リングの主面が、前記ガス溝の内
    面に対して間隔をおいて設けられていることにより狭窄
    通路を画定することを特徴とする請求項9に記載の装
    置。
  11. 【請求項11】 前記した狭窄通路が或る厚さを有し、
    前記リングが、或る面内に配置され、かつ前記狭窄通路
    の厚さよりも少なくとも10倍大きい、前記面に対する
    直交方向寸法を有することを特徴とする請求項10に記
    載の装置。
  12. 【請求項12】 前記プラテン及び前記リングがアルミ
    ニウムからなることを特徴とする請求項11に記載の装
    置。
  13. 【請求項13】 前記延出部材の両面から延出しかつ前
    記ガスオリフィスと合流する追加のガスオリフィスを更
    に有することを特徴とする請求項1に記載の装置。
  14. 【請求項14】 前記ガスオリフィスが溝からなり、前
    記追加ガスオリフィスが、前記溝に合流する複数の孔を
    有することを特徴とする請求項13に記載の装置。
  15. 【請求項15】 化学蒸着反応装置に於ける処理室内に
    置かれた、特定の厚さ及び形状を有する基板を支持する
    ための装置であって、 前記処理室内に配置されたプラテンと、延出部材とを有
    し、 前記プラテンが、前記基板の前記形状とほぼ同型をな
    し、かつ真空チャックを備えた内部の外周及び前記内部
    を外囲する外部を画定するガスオリフィスを有し、 前記延出部材が、前記内部を外囲すると共に、少なくと
    も前記基板の厚さをもって前記外部から延出し、更に、
    前記内部の外周に対して概ね均一に間隔をおいて配置さ
    れており、 前記ガスオリフィスが、流路抵抗手段を有する事を特徴
    とする装置。
  16. 【請求項16】 前記ガスオリフィスが溝からなり、更
    に、前記延出部材の上面から前記溝に合流する均一な間
    隔をおいて配置された複数の孔を更に有することを特徴
    とする請求項15に記載の装置。
  17. 【請求項17】 化学蒸着反応装置に於ける処理室内に
    置かれた、特定の厚さ及び形状を有する基板を支持する
    ための装置であって、 前記基板の前記形状とほぼ同型をなし、かつ真空チャッ
    クを備えた内部の外周及び前記内部を外囲する外部を画
    定するガスオリフィスを有する、前記処理室内に配置さ
    れたプラテンと、 前記ガスオリフィス内に配置された流路抵抗手段とを有
    することを特徴とする装置。
  18. 【請求項18】 前記ガスオリフィスが、溝からな
    り、前記が前記溝内に配置されたリングからなることを
    特徴とする請求項17に記載の装置。
JP7236031A 1994-08-23 1995-08-22 化学蒸着用基板支持装置 Pending JPH08181080A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/294,513 US5620525A (en) 1990-07-16 1994-08-23 Apparatus for supporting a substrate and introducing gas flow doximate to an edge of the substrate
US08/294,513 1994-08-23

Publications (1)

Publication Number Publication Date
JPH08181080A true JPH08181080A (ja) 1996-07-12

Family

ID=23133760

Family Applications (1)

Application Number Title Priority Date Filing Date
JP7236031A Pending JPH08181080A (ja) 1994-08-23 1995-08-22 化学蒸着用基板支持装置

Country Status (3)

Country Link
US (2) US5620525A (ja)
EP (1) EP0698673A1 (ja)
JP (1) JPH08181080A (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004006794A (ja) * 2002-04-12 2004-01-08 Asm Japan Kk 枚葉式cvd装置及び方法
JP2010153483A (ja) * 2008-12-24 2010-07-08 Toyota Motor Corp 成膜装置、及び、成膜方法
KR20190057740A (ko) * 2017-11-20 2019-05-29 주식회사 원익아이피에스 기판 지지 어셈블리 및 이를 포함하는 기판 처리 장치
JP2023098865A (ja) * 2021-12-29 2023-07-11 セメス株式会社 基板処理装置

Families Citing this family (101)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5843233A (en) * 1990-07-16 1998-12-01 Novellus Systems, Inc. Exclusion guard and gas-based substrate protection for chemical vapor deposition apparatus
US5620525A (en) * 1990-07-16 1997-04-15 Novellus Systems, Inc. Apparatus for supporting a substrate and introducing gas flow doximate to an edge of the substrate
US5304248A (en) * 1990-12-05 1994-04-19 Applied Materials, Inc. Passive shield for CVD wafer processing which provides frontside edge exclusion and prevents backside depositions
US5855687A (en) * 1990-12-05 1999-01-05 Applied Materials, Inc. Substrate support shield in wafer processing reactors
US5556476A (en) * 1994-02-23 1996-09-17 Applied Materials, Inc. Controlling edge deposition on semiconductor substrates
US5863340A (en) * 1996-05-08 1999-01-26 Flanigan; Allen Deposition ring anti-rotation apparatus
US5846332A (en) * 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
JP3636864B2 (ja) * 1997-06-11 2005-04-06 東京エレクトロン株式会社 処理装置およびステージ装置
US6070004A (en) * 1997-09-25 2000-05-30 Siemens Aktiengesellschaft Method of maximizing chip yield for semiconductor wafers
US6063202A (en) 1997-09-26 2000-05-16 Novellus Systems, Inc. Apparatus for backside and edge exclusion of polymer film during chemical vapor deposition
EP1036406B1 (en) * 1997-11-03 2003-04-02 ASM America, Inc. Improved low mass wafer support system
US6296712B1 (en) 1997-12-02 2001-10-02 Applied Materials, Inc. Chemical vapor deposition hardware and process
JPH11237345A (ja) * 1998-02-24 1999-08-31 Hitachi Ltd 表面計測装置
US6013984A (en) * 1998-06-10 2000-01-11 Lam Research Corporation Ion energy attenuation method by determining the required number of ion collisions
US6335293B1 (en) * 1998-07-13 2002-01-01 Mattson Technology, Inc. Systems and methods for two-sided etch of a semiconductor substrate
US6096135A (en) * 1998-07-21 2000-08-01 Applied Materials, Inc. Method and apparatus for reducing contamination of a substrate in a substrate processing system
US6191035B1 (en) 1999-05-17 2001-02-20 Taiwan Semiconductor Manufacturing Company Recipe design to prevent tungsten (W) coating on wafer backside for those wafers with poly Si on wafer backside
US6803546B1 (en) * 1999-07-08 2004-10-12 Applied Materials, Inc. Thermally processing a substrate
US6436303B1 (en) 1999-07-21 2002-08-20 Applied Materials, Inc. Film removal employing a remote plasma source
US6206976B1 (en) * 1999-08-27 2001-03-27 Lucent Technologies Inc. Deposition apparatus and related method with controllable edge exclusion
KR100728244B1 (ko) * 1999-11-18 2007-06-13 동경 엘렉트론 주식회사 실리레이션처리장치 및 방법
US6494955B1 (en) * 2000-02-15 2002-12-17 Applied Materials, Inc. Ceramic substrate support
US6350320B1 (en) * 2000-02-22 2002-02-26 Applied Materials, Inc. Heater for processing chamber
KR100838874B1 (ko) * 2000-07-06 2008-06-16 어플라이드 머티어리얼스, 인코포레이티드 기판을 열 처리하는 시스템 및 방법
US6521292B1 (en) * 2000-08-04 2003-02-18 Applied Materials, Inc. Substrate support including purge ring having inner edge aligned to wafer edge
JP4108941B2 (ja) * 2000-10-31 2008-06-25 株式会社荏原製作所 基板の把持装置、処理装置、及び把持方法
US6939206B2 (en) 2001-03-12 2005-09-06 Asm Nutool, Inc. Method and apparatus of sealing wafer backside for full-face electrochemical plating
US6855037B2 (en) 2001-03-12 2005-02-15 Asm-Nutool, Inc. Method of sealing wafer backside for full-face electrochemical plating
US20020129768A1 (en) * 2001-03-15 2002-09-19 Carpenter Craig M. Chemical vapor deposition apparatuses and deposition methods
WO2002095795A2 (de) * 2001-05-18 2002-11-28 Mattson Thermal Products Gmbh Vorrichtung zur aufnahme von scheibenförmigen objekten
DE10156441A1 (de) * 2001-05-18 2002-11-21 Mattson Thermal Products Gmbh Vorrichtung zur Aufnahme von scheibenförmigen Objekten und Vorrichtung zur Handhabung von Objekten
US6677250B2 (en) * 2001-08-17 2004-01-13 Micron Technology, Inc. CVD apparatuses and methods of forming a layer over a semiconductor substrate
US6550484B1 (en) * 2001-12-07 2003-04-22 Novellus Systems, Inc. Apparatus for maintaining wafer back side and edge exclusion during supercritical fluid processing
US6787185B2 (en) * 2002-02-25 2004-09-07 Micron Technology, Inc. Deposition methods for improved delivery of metastable species
US20030168174A1 (en) 2002-03-08 2003-09-11 Foree Michael Todd Gas cushion susceptor system
US7150789B2 (en) * 2002-07-29 2006-12-19 Micron Technology, Inc. Atomic layer deposition methods
US6753271B2 (en) * 2002-08-15 2004-06-22 Micron Technology, Inc. Atomic layer deposition methods
US6887521B2 (en) 2002-08-15 2005-05-03 Micron Technology, Inc. Gas delivery system for pulsed-type deposition processes used in the manufacturing of micro-devices
US6890596B2 (en) * 2002-08-15 2005-05-10 Micron Technology, Inc. Deposition methods
US6673701B1 (en) * 2002-08-27 2004-01-06 Micron Technology, Inc. Atomic layer deposition methods
US20050170314A1 (en) * 2002-11-27 2005-08-04 Richard Golden Dental pliers design with offsetting jaw and pad elements for assisting in removing upper and lower teeth and method for removing teeth utilizing the dental plier design
KR20040048018A (ko) * 2002-12-02 2004-06-07 주식회사 에이디피엔지니어링 Fpd 제조장치
ATE514801T1 (de) * 2003-08-01 2011-07-15 Sgl Carbon Se Halter zum tragen von wafern während der halbleiterherstellung
US20050035514A1 (en) * 2003-08-11 2005-02-17 Supercritical Systems, Inc. Vacuum chuck apparatus and method for holding a wafer during high pressure processing
US7350315B2 (en) 2003-12-22 2008-04-01 Lam Research Corporation Edge wheel dry manifold
KR100549273B1 (ko) * 2004-01-15 2006-02-03 주식회사 테라세미콘 반도체 제조장치의 기판홀더
US20060054183A1 (en) * 2004-08-27 2006-03-16 Thomas Nowak Method to reduce plasma damage during cleaning of semiconductor wafer processing chamber
US7396022B1 (en) * 2004-09-28 2008-07-08 Kla-Tencor Technologies Corp. System and method for optimizing wafer flatness at high rotational speeds
US7089687B2 (en) * 2004-09-30 2006-08-15 Lam Research Corporation Wafer edge wheel with drying function
US20060090773A1 (en) * 2004-11-04 2006-05-04 Applied Materials, Inc. Sulfur hexafluoride remote plasma source clean
US8377207B2 (en) * 2007-05-09 2013-02-19 Ulvac, Inc. Purge gas assembly
US7558045B1 (en) * 2008-03-20 2009-07-07 Novellus Systems, Inc. Electrostatic chuck assembly with capacitive sense feature, and related operating method
US8357435B2 (en) * 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US20100081293A1 (en) * 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US8801857B2 (en) 2008-10-31 2014-08-12 Asm America, Inc. Self-centering susceptor ring assembly
US8511281B2 (en) * 2009-07-10 2013-08-20 Tula Technology, Inc. Skip fire engine control
US8980382B2 (en) * 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) * 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8449942B2 (en) * 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
WO2011090626A2 (en) 2009-12-30 2011-07-28 Applied Materials, Inc. Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US20110159213A1 (en) * 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
WO2011084812A2 (en) * 2010-01-06 2011-07-14 Applied Materials, Inc. Flowable dielectric using oxide liner
CN102714156A (zh) 2010-01-07 2012-10-03 应用材料公司 自由基成分cvd的原位臭氧固化
SG183873A1 (en) 2010-03-05 2012-10-30 Applied Materials Inc Conformal layers by radical-component cvd
US8236708B2 (en) * 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
JP5389847B2 (ja) * 2011-03-04 2014-01-15 東京エレクトロン株式会社 接合方法、プログラム、コンピュータ記憶媒体、接合装置及び接合システム
US20120267423A1 (en) * 2011-04-19 2012-10-25 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and Apparatus for Thin Die Processing
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
CN103094147B (zh) * 2011-10-27 2015-04-22 沈阳芯源微电子设备有限公司 一种旋转气流降温热板
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
KR101507548B1 (ko) * 2014-01-17 2015-04-07 피에스케이 주식회사 지지 유닛 및 기판 처리 장치
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
JP6592529B2 (ja) * 2015-05-14 2019-10-16 エーシーエム リサーチ (シャンハイ) インコーポレーテッド 基板のベベルおよび裏面を保護するための装置
TW201641209A (zh) * 2015-05-21 2016-12-01 漢磊科技股份有限公司 工件夾持器
GB201708927D0 (en) * 2017-06-05 2017-07-19 Spts Technologies Ltd Methods of plasma etching and plasma dicing
KR102538177B1 (ko) * 2017-11-16 2023-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
KR102404061B1 (ko) 2017-11-16 2022-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
TWI839443B (zh) 2019-01-17 2024-04-21 荷蘭商 Asm Ip 私人控股有限公司 通風基座
USD914620S1 (en) 2019-01-17 2021-03-30 Asm Ip Holding B.V. Vented susceptor
USD920936S1 (en) 2019-01-17 2021-06-01 Asm Ip Holding B.V. Higher temperature vented susceptor
US11404302B2 (en) 2019-05-22 2022-08-02 Asm Ip Holding B.V. Substrate susceptor using edge purging
CN112309950B (zh) * 2019-07-26 2023-01-17 上海宏轶电子科技有限公司 一种晶圆清洗机用机台
KR20210030074A (ko) * 2019-09-09 2021-03-17 삼성전자주식회사 진공 척 및 상기 진공 척을 포함하는 기판 처리 장치
US11764101B2 (en) 2019-10-24 2023-09-19 ASM IP Holding, B.V. Susceptor for semiconductor substrate processing
JP2023507091A (ja) * 2019-12-20 2023-02-21 ラム リサーチ コーポレーション 端部/中央部の不均一性を軽減するためにウエハの外周近傍に凹部を備えた半導体処理チャック
JP7217720B2 (ja) * 2020-03-10 2023-02-03 信越化学工業株式会社 ベースプレートの汚染防止方法
USD947802S1 (en) * 2020-05-20 2022-04-05 Applied Materials, Inc. Replaceable substrate carrier interfacing film
USD1031676S1 (en) 2020-12-04 2024-06-18 Asm Ip Holding B.V. Combined susceptor, support, and lift system
US11862490B2 (en) * 2021-07-28 2024-01-02 Changxin Memory Technologies, Inc. Diffusion furnace
CN117004928B (zh) * 2023-09-21 2023-12-26 上海谙邦半导体设备有限公司 一种化学气相沉积晶圆保护系统

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04233221A (ja) * 1990-07-16 1992-08-21 Novellus Syst Inc 基板支持装置
JPH05283349A (ja) * 1992-03-30 1993-10-29 Sony Corp 成膜装置
JPH06120145A (ja) * 1992-09-30 1994-04-28 Sony Corp 成膜装置

Family Cites Families (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3809050A (en) * 1971-01-13 1974-05-07 Cogar Corp Mounting block for semiconductor wafers
US4184188A (en) * 1978-01-16 1980-01-15 Veeco Instruments Inc. Substrate clamping technique in IC fabrication processes
EP0017472A1 (en) * 1979-04-06 1980-10-15 Lintott Engineering Limited Evacuable equipment containing a device for heat transfer and process for the manufacture of semi-conductor components using this equipment
US4261762A (en) * 1979-09-14 1981-04-14 Eaton Corporation Method for conducting heat to or from an article being treated under vacuum
US4743570A (en) * 1979-12-21 1988-05-10 Varian Associates, Inc. Method of thermal treatment of a wafer in an evacuated environment
US4403567A (en) * 1980-08-21 1983-09-13 Commonwealth Scientific Corporation Workpiece holder
WO1982001482A1 (en) * 1980-11-06 1982-05-13 Patent Versuch Censor Method and installation for the processing of the upper side of a flat part by means of a liquid
JPS5785235A (en) * 1980-11-18 1982-05-27 Nippon Kogaku Kk <Nikon> Sampling stand
US4512391A (en) * 1982-01-29 1985-04-23 Varian Associates, Inc. Apparatus for thermal treatment of semiconductor wafers by gas conduction incorporating peripheral gas inlet
US4457359A (en) * 1982-05-25 1984-07-03 Varian Associates, Inc. Apparatus for gas-assisted, solid-to-solid thermal transfer with a semiconductor wafer
US4508161A (en) * 1982-05-25 1985-04-02 Varian Associates, Inc. Method for gas-assisted, solid-to-solid thermal transfer with a semiconductor wafer
AU557081B2 (en) * 1982-06-08 1986-12-04 Imperial Chemical Industries Plc Treatment of ion-exchange membrane
JPS593945A (ja) * 1982-06-29 1984-01-10 Matsushita Electric Works Ltd ウエハ−の吸着装置
NL8203318A (nl) * 1982-08-24 1984-03-16 Integrated Automation Inrichting voor processing van substraten.
US4466872A (en) * 1982-12-23 1984-08-21 At&T Technologies, Inc. Methods of and apparatus for depositing a continuous film of minimum thickness
US4530635A (en) * 1983-06-15 1985-07-23 The Perkin-Elmer Corporation Wafer transferring chuck assembly
JPS6074626A (ja) * 1983-09-30 1985-04-26 Fujitsu Ltd ウエハー処理方法及び装置
US4523985A (en) * 1983-12-22 1985-06-18 Sputtered Films, Inc. Wafer processing machine
JPH0614520B2 (ja) * 1983-12-26 1994-02-23 株式会社日立製作所 低圧雰囲気内の処理装置
US4603466A (en) * 1984-02-17 1986-08-05 Gca Corporation Wafer chuck
US4567938A (en) * 1984-05-02 1986-02-04 Varian Associates, Inc. Method and apparatus for controlling thermal transfer in a cyclic vacuum processing system
US4527620A (en) * 1984-05-02 1985-07-09 Varian Associates, Inc. Apparatus for controlling thermal transfer in a cyclic vacuum processing system
US4535834A (en) * 1984-05-02 1985-08-20 Varian Associates, Inc. Method and apparatus for controlling thermal transfer in a cyclic vacuum processing system
IT1213183B (it) * 1984-06-27 1989-12-14 Ates Componenti Elettron Dispositivo per la protezione di una superficie, durante il trattamento chimico dell'opposta superficie, di elementi lenticolari in genere e di fette di silicio in particolare.
US4709655A (en) * 1985-12-03 1987-12-01 Varian Associates, Inc. Chemical vapor deposition apparatus
NL8600059A (nl) * 1986-01-13 1987-08-03 Bok Edward Verbeterde inrichting voor spin processing van wafers.
DE3606959A1 (de) * 1986-03-04 1987-09-10 Leybold Heraeus Gmbh & Co Kg Vorrichtung zur plasmabehandlung von substraten in einer durch hochfrequenz angeregten plasmaentladung
JPS62216224A (ja) * 1986-03-17 1987-09-22 Fujitsu Ltd タングステンの選択成長方法
US4724621A (en) * 1986-04-17 1988-02-16 Varian Associates, Inc. Wafer processing chuck using slanted clamping pins
US4687682A (en) * 1986-05-02 1987-08-18 American Telephone And Telegraph Company, At&T Technologies, Inc. Back sealing of silicon wafers
JPH0830273B2 (ja) * 1986-07-10 1996-03-27 株式会社東芝 薄膜形成方法及び装置
JPS6372877A (ja) * 1986-09-12 1988-04-02 Tokuda Seisakusho Ltd 真空処理装置
DE3633386A1 (de) * 1986-10-01 1988-04-14 Leybold Ag Verfahren und vorrichtung zum behandeln von substraten im vakuum
US4960488A (en) * 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US5228501A (en) * 1986-12-19 1993-07-20 Applied Materials, Inc. Physical vapor deposition clamping mechanism and heater/cooler
US4913929A (en) * 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
US5138973A (en) * 1987-07-16 1992-08-18 Texas Instruments Incorporated Wafer processing apparatus having independently controllable energy sources
US4911103A (en) * 1987-07-17 1990-03-27 Texas Instruments Incorporated Processing apparatus and method
JP2732463B2 (ja) * 1988-01-07 1998-03-30 コニカ株式会社 磁気記録媒体
JPH01189122A (ja) * 1988-01-25 1989-07-28 Hitachi Ltd アツシング方法
ATE95949T1 (de) * 1988-07-15 1993-10-15 Balzers Hochvakuum Haltevorrichtung fuer eine scheibe sowie anwendung derselben.
US4859304A (en) * 1988-07-18 1989-08-22 Micron Technology, Inc. Temperature controlled anode for plasma dry etchers for etching semiconductor
US4986215A (en) * 1988-09-01 1991-01-22 Kyushu Electronic Metal Co., Ltd. Susceptor for vapor-phase growth system
US4857142A (en) * 1988-09-22 1989-08-15 Fsi International, Inc. Method and apparatus for controlling simultaneous etching of front and back sides of wafers
JP2731855B2 (ja) * 1989-02-14 1998-03-25 アネルバ株式会社 減圧気相成長装置
DE3943482C2 (de) * 1989-05-08 1994-07-07 Balzers Hochvakuum Werkstückträger für ein scheibenförmiges Werkstück, sowie Vakuumprozeßkammer
US4990374A (en) * 1989-11-28 1991-02-05 Cvd Incorporated Selective area chemical vapor deposition
US5094885A (en) * 1990-10-12 1992-03-10 Genus, Inc. Differential pressure cvd chuck
US5198753A (en) * 1990-06-29 1993-03-30 Digital Equipment Corporation Integrated circuit test fixture and method
US5133284A (en) * 1990-07-16 1992-07-28 National Semiconductor Corp. Gas-based backside protection during substrate processing
US5230741A (en) * 1990-07-16 1993-07-27 Novellus Systems, Inc. Gas-based backside protection during substrate processing
US5578532A (en) * 1990-07-16 1996-11-26 Novellus Systems, Inc. Wafer surface protection in a gas deposition process
US5620525A (en) * 1990-07-16 1997-04-15 Novellus Systems, Inc. Apparatus for supporting a substrate and introducing gas flow doximate to an edge of the substrate
US5304248A (en) * 1990-12-05 1994-04-19 Applied Materials, Inc. Passive shield for CVD wafer processing which provides frontside edge exclusion and prevents backside depositions
WO1993013241A1 (en) * 1991-12-23 1993-07-08 Genus, Inc. Purge gas in wafer coating area selection
US5534072A (en) * 1992-06-24 1996-07-09 Anelva Corporation Integrated module multi-chamber CVD processing system and its method for processing subtrates
US5328722A (en) * 1992-11-06 1994-07-12 Applied Materials, Inc. Metal chemical vapor deposition process using a shadow ring
US5292554A (en) * 1992-11-12 1994-03-08 Applied Materials, Inc. Deposition apparatus using a perforated pumping plate
DE4305750C2 (de) * 1993-02-25 2002-03-21 Unaxis Deutschland Holding Vorrichtung zum Halten von flachen, kreisscheibenförmigen Substraten in der Vakuumkammer einer Beschichtungs- oder Ätzanlage
US5326725A (en) * 1993-03-11 1994-07-05 Applied Materials, Inc. Clamping ring and susceptor therefor
US5800686A (en) * 1993-04-05 1998-09-01 Applied Materials, Inc. Chemical vapor deposition chamber with substrate edge protection
JPH0799162A (ja) * 1993-06-21 1995-04-11 Hitachi Ltd Cvdリアクタ装置

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04233221A (ja) * 1990-07-16 1992-08-21 Novellus Syst Inc 基板支持装置
JPH05283349A (ja) * 1992-03-30 1993-10-29 Sony Corp 成膜装置
JPH06120145A (ja) * 1992-09-30 1994-04-28 Sony Corp 成膜装置

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004006794A (ja) * 2002-04-12 2004-01-08 Asm Japan Kk 枚葉式cvd装置及び方法
JP2010153483A (ja) * 2008-12-24 2010-07-08 Toyota Motor Corp 成膜装置、及び、成膜方法
KR20190057740A (ko) * 2017-11-20 2019-05-29 주식회사 원익아이피에스 기판 지지 어셈블리 및 이를 포함하는 기판 처리 장치
JP2023098865A (ja) * 2021-12-29 2023-07-11 セメス株式会社 基板処理装置

Also Published As

Publication number Publication date
US5620525A (en) 1997-04-15
EP0698673A1 (en) 1996-02-28
US5925411A (en) 1999-07-20

Similar Documents

Publication Publication Date Title
JPH08181080A (ja) 化学蒸着用基板支持装置
JPH08191051A (ja) 化学蒸着方法及び化学蒸着用基板支持装置
US5578532A (en) Wafer surface protection in a gas deposition process
KR970011643B1 (ko) 처리 가공시 가스 베이스 기판을 보호하는 장치 및 방법
EP0467624B1 (en) Apparatus for and method of backside protection during substrate processing
US5374594A (en) Gas-based backside protection during substrate processing
KR101112029B1 (ko) 자동 도핑 및 후면 증착의 감소를 위한 기판 지지 시스템
US8535445B2 (en) Enhanced wafer carrier
KR100253957B1 (ko) 하방향 지향 기판을 처리하기 위한 기판 처리 장치 및 방법
US7601224B2 (en) Method of supporting a substrate in a gas cushion susceptor system
KR100812469B1 (ko) 화학 기상 증착법에 의해 하나 이상의 웨이퍼 상에 에피택셜층을 성장시키는 수직 장치
EP2165358B1 (en) Susceptor for improving throughput and reducing wafer damage
US20090280248A1 (en) Porous substrate holder with thinned portions
KR20010034921A (ko) 정화 가스 채널과 펌핑 시스템을 갖는 기판 지지 부재
WO2013033315A2 (en) Wafer carrier with thermal features
JPH10275854A (ja) 半導体ウェハ下の背面ガス圧力を制御する装置
JP2004533117A (ja) 基板サポートアセンブリと基板処理用装置
US7591908B2 (en) Vapor deposition apparatus and vapor deposition method
JP2003197553A (ja) 熱処理用ボート及び縦型熱処理装置
KR20210158333A (ko) 통기형 서셉터