CN107615460B - 原子层生长装置 - Google Patents

原子层生长装置 Download PDF

Info

Publication number
CN107615460B
CN107615460B CN201680031264.0A CN201680031264A CN107615460B CN 107615460 B CN107615460 B CN 107615460B CN 201680031264 A CN201680031264 A CN 201680031264A CN 107615460 B CN107615460 B CN 107615460B
Authority
CN
China
Prior art keywords
inert gas
mask
gas supply
susceptor
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201680031264.0A
Other languages
English (en)
Other versions
CN107615460A (zh
Inventor
松本龙弥
鹫尾圭亮
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Japan Steel Works Ltd
Original Assignee
Japan Steel Works Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Japan Steel Works Ltd filed Critical Japan Steel Works Ltd
Publication of CN107615460A publication Critical patent/CN107615460A/zh
Application granted granted Critical
Publication of CN107615460B publication Critical patent/CN107615460B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • C23C16/45521Inert gas curtains the gas, other than thermal contact gas, being introduced the rear of the substrate to flow around its periphery
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4558Perforated rings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明是一种在基板上形成薄膜的原子层生长装置,具备:成膜容器;在成膜容器内设置的平台;在平台上保持基板的基座;配置在基板上且尺寸包围基板的掩模;能够支撑掩模上下可动的掩模销;以及在上下方向上贯通平台及基座并使掩模销能够以上下可动的方式插通的掩模销孔,基座具备:具有基板的保持面的基座主体;位于基座主体的周围且高度比保持面低的基座周缘部,掩模销孔在基座周缘部开口,在基座周缘部的掩模包围的区域内,在保持面的周围设置向上方侧排出气体的惰性气体供给口,惰性气体供给口与供给惰性气体的惰性气体供给路径连接。

Description

原子层生长装置
技术领域
本发明涉及在基板上形成薄膜的原子层生长装置。
背景技术
众所周知,原子层生长法是在基板上交互供给构成要形成的薄膜的元素的气体,在基板上以原子层单位形成薄膜,因此使薄膜均匀地形成的技术。原子层生长法与一般的CVD(Chemical Vapor Deposition:化学气相沉积)法比较,阶差覆盖性、膜厚控制性更佳。
传统的溅射成膜装置中,能够利用专利文献1那样的掩模。溅射成膜的膜的阶差覆盖性低,因此掩模背面的成膜量小,从而颗粒发生量少,保养周期也长。但是,在原子层生长成膜中,膜的阶差覆盖性高,因此掩模背面的沉积膜量多。侵入微细间隙的气体形成厚膜及粉末,成为颗粒的要因。尤其是为了维持掩模的平坦性,掩模的表面粗糙度不能变大,因此,掩模更换频度增大。
因而,在利用掩模的原子层生长成膜中,从基座周边吹扫惰性气体是有效的。专利文献2中,在平台设置气体供给口,从基座的背面供给惰性气体。
现有技术文献
专利文献
【专利文献1】日本专利特开2004-339581号公报
【专利文献2】日本专利特开2000-243711号公报
发明内容
发明所要解决的技术问题
但是,按照专利文献2所示装置,若在平台主体设置气体供给口,则平台的设计及制作变得复杂。因此,在基板尺寸变更时,平台本身的结构必须变更,因此存在通用性低的问题。
本发明以上述问题为背景而完成,目的之一是提供一种能够抑制掩模背面的沉积膜且容易应对基板尺寸的变更的原子层生长装置。
解决技术问题的技术方案
本发明的原子层生长装置中,第1方式是在基板上形成薄膜的原子层生长装置,其特征在于,具备:
成膜容器;
设置在所述成膜容器内的平台;
在所述平台上保持所述基板的基座;
配置在所述基板上且尺寸包围所述基板的掩模;
能够支撑所述掩模上下可动的掩模销;以及
在上下方向上贯通所述平台及所述基座并使所述掩模销能够以上下可动的方式插通的掩模销孔,
所述基座具备:具有所述基板的保持面的基座主体;位于所述基座主体的周围且高度比所述保持面低的基座周缘部,
所述掩模销孔在所述基座周缘部开口,
在所述基座周缘部的所述掩模包围的区域内,在所述保持面的周围设置向上方侧排出气体的惰性气体供给口,所述惰性气体供给口与供给惰性气体的惰性气体供给路径连接。
第2方式的原子层生长装置的本发明,其特征在于,在所述方式的本发明中,以所述基座主体为基准,在所述掩模销孔的外侧,设有所述惰性气体供给口。
第3方式的原子层生长装置的本发明,其特征在于,在所述方式的本发明中,所述惰性气体供给口在所述保持面的周围沿整个全周形成多个或在整个全周上连续形成。
第4方式的原子层生长装置的本发明,其特征在于,在所述方式的本发明中,所述惰性气体供给口相对于所述惰性气体供给路径具有喷淋头部构造。
第5方式的原子层生长装置的本发明,其特征在于,在所述方式的本发明中,所述掩模与所述基座周缘部之间形成的间隙为0.1mm以上,10mm以下。
第6方式的原子层生长装置的本发明,其特征在于,在所述方式的本发明中,所述掩模周缘端与所述惰性气体供给口的接近侧的距离为1mm以上,200mm以下。
第7方式的原子层生长装置的本发明,其特征在于,在所述方式的本发明中,在所述基座周缘部顶面设置有防粘构件。
第8方式的原子层生长装置的本发明,其特征在于,在所述方式的本发明中,所述惰性气体以平台面温度±10%以内的温度从所述惰性气体供给口排出。
发明效果
根据本发明能够抑制掩模背面的沉积膜,容易应对基板尺寸变更,因此,能够降低掩模及基座的清洁频度,且能够应对各种基板尺寸、工艺条件。
附图说明
图1是本发明一实施方式的原子层生长装置的省略了一部分的简要结构图。
图2是表示相同的从与气体流动方向平行的成膜室侧面观察时的基座周边的放大图。
图3是相同的基座周边的放大平面图。
图4是对于其他实施方式中的原子层生长装置,从与气体流动方向平行的成膜室侧面观察时的基座周边的放大图。
图5是相同的基座周边的放大平面图。
图6是表示本发明一实施方式中的原子层生长方法的一例流程图。
图7A、图7B、图7C、图7D是表示相同的在基板上形成薄膜的工序的示图。
具体实施方式
首先,参照图1,说明本实施方式的原子层生长装置的构成。图1是表示本实施方式的原子层生长装置的一例的简要结构图。
本实施方式的原子层生长装置10交互供给原料气体和反应气体,在基板13上以原子层单位形成薄膜。此时,为了提高反应活性,能够加热基板13。本实施方式中,采用TMA(Tri-Methyl Aluminum:三甲基铝)作为原料气体,此时,由于提高反应活性,产生等离子体。本实施方式中,在等离子体的产生中采用平行平板电极,但是不限于该方式。
成膜容器11具备:导入原料气体、反应气体、吹扫气体的气体导入部20;排气部30;平台14;平板电极12;高频电源15;基座16;基板搬入口17;在基板13上配置的掩模56。气体导入部20具有从成膜容器11外侧设置的喷射器21和从成膜容器11内侧设置的喷射器防粘构件22,排气部30具有从成膜容器11内侧设置的排气防粘构件31和从成膜容器11外侧设置的排气配管连接部32。
平台14具备加热器(未图示),能够调节基板13的温度。例如,为等离子体原子层生长成膜的情况下,将基板13加热到50~200℃。
平板电极12与高频电源15连接。高频电源15通过供给规定频率的高频电流,在平板电极12和平台14之间生成等离子体。
基板13从平台14的下方由升降销18支撑。通过平台14的升降升降销18能够在传送室空间61移送基板13。
导入原料气体、反应气体、吹扫气体的气体导入部20向成膜容器11内供给原料气体、反应气体、吹扫气体。排气部30从成膜容器11向外部排出原料气体、反应气体、吹扫气体。
图1表示原子层生长装置的基本构造,以下说明的部分结构省略。
(实施方式1)
图2是从与气体流动方向平行的成膜室侧面观察时的基座16外周的放大图,图3是表示基座周边的一部分的放大平面图。
基座16由平台14支撑,具备:在顶面具有保持基板13的保持面160的基座主体16A;位于其周围且高度面比保持面160低的基座周缘部16B。保持面160具有与基板13的尺寸匹配的形状。
掩模56设置在基座16上,具有包围基板13的尺寸。在本发明没有特别限定尺寸要超过基板13,但是在该实施方式中,超过基板13的尺寸设为50mm以下。
在接近保持面160侧的顶面,基座周缘部16B形成惰性气体供给口48,该实施方式中,以凹槽形状形成为包围基座主体16A。而且,在凹槽内设置环形板状的喷淋板49,使得与槽的底面和槽的内外周面之间具有小间隙。
喷淋板49例如通过在底面形成不连续的突部,能够确保与槽底面之间的间隙,另外,通过形成比槽的内外周宽度要狭窄的宽度,能够在槽的内外周面之间形成小间隙。另外,在喷淋板,例如也可以以规定间隔(例如100mm间距)形成规定直径(例如1mm直径)的吹出孔49A。
另外,喷淋板49优选为覆盖基板13的周围。
通过上述喷淋板49,惰性气体供给口48具有喷淋头部构造。通过喷淋头部构造,能够在基座主体16A的周围均等地吹出惰性气体。
基座周缘部16B在内部具有与上述惰性气体供给口48连通的惰性气体供给路径47,该惰性气体供给路径47的终端达到基座周缘部16B的外周壁。惰性气体供给路径47可以形成一个或多个,例如,能够以3mm直径形成。
惰性气体供给路径47与在基座16的外周侧配置的惰性气体供给管46连接,惰性气体供给管46的另一端侧与设置于成膜容器11的惰性气体通气口45连接。惰性气体通气口45与未图示的惰性气体供给部连接。
惰性气体供给管46例如能够由不锈钢制管、波纹柔性管等构成。向成膜容器11内搬入或搬出基板13时虽然平台14上下移动,但是惰性气体供给管46需要追随其上下运行。惰性气体供给管46也构成惰性气体供给路径的一部分。
另外,基座周缘部16B中,在惰性气体供给口48的外周侧,形成贯通平台14及基座周缘部16B的掩模销孔40,在掩模销孔40内,插入能够上下运行并能够上下移动掩模56的掩模销41。
另外,基座周缘部16B中,除了惰性气体供给口48及掩模销孔40,顶面的露出面被基座防粘板19覆盖。基座防粘板19的顶面高度优选为与喷淋板49的顶面高度处于一个面。
这里,由掩模56底面和基座防粘板19顶面形成的距离a优选为在0.1mm以上,10mm以下,进一步优选为1mm。距离a越小,越能够抑制侵入间隙的原料气体及反应气体量,需要的惰性气体供给量也越低。掩模优选为绝缘体,也可以是不锈钢等的金属。但是,掩模为金属时,可能在上述间隙放电。该情况下,利用帕邢定律,调节上述间隙的压力,使得上述距离a与上述间隙的压力的积不满足放电条件。
另外,掩模56的外周缘端与基座防粘板19重叠的距离b即与惰性气体供给口48的外周侧端部的距离优选为在1mm以上,200mm以下,进一步优选为20mm。这是因为,距离b若小,则即使供给惰性气体,由于原料气体及反应气体的扩散,仍然存在侵入喷淋板49的可能性。值若过大,则掩模及真空容器尺寸也变大,因此不适宜。
从惰性气体供给部供给的惰性气体经由惰性气体通气口45、惰性气体供给管46、惰性气体供给路径47、惰性气体供给口48,经由喷淋板49,通过喷淋板49与惰性气体供给口48之间的间隙、吹出孔49A而吹出。惰性气体通过由掩模56和基座防粘板19形成的间隙,向基座16的外周侧排气,通过排气部30排出。
另外,优选为在基座周缘部16B上设置基座防粘板19,但是也可以仅仅是基座周缘部16B。此时,基座周缘部16B顶面与掩模56底面的距离成为a,从掩模56外周端到喷淋板49的外周端侧为止的距离成为b。
另外,惰性气体优选为加热到平台温度±10%以内的温度后从上述惰性气体供给口48释放。例如,将平台加热到100℃时,若供给常温的惰性气体,则由于基座16外周冷却,因此,基板13的温度分布也降低,膜厚均匀性及膜质均匀性降低。因此,为了将基座16的温度保持一定,例如优选为供给90~110℃的惰性气体。
(实施方式2)
图4是优化了图2的惰性气体供给口的设置位置的基座结构。
掩模销孔40无法完全密封成膜空间60和传送室空间61的情况下,两者若存在压力差,则气体向某一方流动。
平台14、基座16及传送室空间61通常难以进行保养。因此,若在这些部分产生颗粒,则难以去除颗粒。例如,在掩模销孔40存在颗粒的状态下,若传送室空间61为正压,成膜空间60为负压,则颗粒随着气体流向成膜空间60释放,颗粒附着到基板13,因此是不适宜的。因此,优选为成膜空间60为正压,传送室空间61为负压。
但是,为图2所示的基座构造的情况下,根据成膜空间60和传送室空间61的压力差,掩模销孔40可能吸入从惰性气体供给口48供给的惰性气体,同时吸入原料气体及反应气体。即使是同压,通过扩散,原料气体及反应气体可能扩散到掩模销孔。由于吸入的原料气体及反应气体反应,因此在掩模销孔产生颗粒。因此,如图4,惰性气体供给口优选为设置在掩模销孔40的外周侧。
根据图4说明上述的原子层生长装置的结构。另外,原子层生长装置的基本构成如图1所示,与上述实施方式1同样,省略或简化其记载。
图4是从与气体流动方向平行的成膜室侧面观察时的基座16外周的放大图,图5是表示基座周边的一部分的放大平面图。
与上述实施方式1同样,基座16由平台14支撑,具备:具有保持基板13的保持面160的基座主体16A;位于其周围且高度比保持面160低的基座周缘部16B。保持面160具有与基板13的尺寸匹配的形状。
掩模56设置在基座16上,具有包围基板13的尺寸。超过基板13的尺寸也与实施方式1同样设为50mm以下。
基座周缘部16B在接近保持面160的位置的顶面,形成多个贯通平台14及基座周缘部16B的掩模销孔40,在掩模销孔40内插入能够上下运行并使掩模56上下移动的掩模销41。
以基座主体16A为基准,在掩模销孔40的外周侧,惰性气体供给口50形成为以凹槽形状包围基座主体16A,在该凹槽内设置喷淋板51,使得与槽的底面和内外周面之间具有小间隙。喷淋板51通过例如在底面形成不连续的突部,能够确保与槽底面之间的间隙。另外,在喷淋板51,例如也可以以规定间隔(例如10~200mm间距)形成规定直径(例如1mm~3mm直径)的吹出孔51A。
另外,喷淋板51优选为覆盖基板13的周围。
通过上述喷淋板51,惰性气体供给口50具有了喷淋头部构造。
另外,喷淋头部构造中,可以通过在基座周缘部16B设置吹出孔,来制作喷淋板,也可以单独制作喷淋板,并安装到基座周缘部16B。
另外,基座周缘部16B中,除了掩模销孔40及惰性气体供给口50,顶面的露出面被基座防粘板19A覆盖。基座防粘板19A的顶面高度优选为与喷淋板51的顶面高度处于一个面。
基座周缘部16B在内部具有与上述惰性气体供给口50连通的惰性气体供给路径47,该惰性气体供给路径47的终端达到基座周缘部16B的外周壁。惰性气体供给路径47可以形成一个或多个,例如,能够以3mm直径形成。
惰性气体供给路径47与在基座16的外周侧配置的惰性气体供给管46连接,惰性气体供给管46的另一端侧与设置于成膜容器11的惰性气体通气口45连接。惰性气体通气口45与未图示惰性气体供给部连接。惰性气体供给管46例如能够由不锈钢制管、波纹柔性管等构成。
由掩模56底面和基座防粘板19A顶面形成的距离a优选为在0.1mm以上,10mm以下,进一步优选为1mm。距离a越小,越能够抑制侵入间隙的原料气体及反应气体量,需要的惰性气体供给量也越低。掩模优选为是绝缘体,也可以是不锈钢等的金属。但是,掩模为金属时,可能在上述间隙放电。该情况下,利用帕邢定律,调节上述间隙的压力,使得上述距离a与上述间隙的压力的积不满足放电条件。
另外,掩模56的外周缘端部与基座防粘板19A重叠的距离b即与惰性气体供给口50的外周侧端部的距离优选为在1mm以上,200mm以下,进一步优选为20mm。这是因为,距离b若小,则即使供给惰性气体,由于原料气体及反应气体的扩散,仍然可能侵入喷淋板51。值若过大,则掩模及真空容器尺寸也变大,因此不理想。
但是,该实施方式中,惰性气体在掩模销40的外周侧吹出,抑制原料气体、反应气体的扩散的作用较大。
从惰性气体供给部供给的惰性气体通过惰性气体通气口45、惰性气体供给管46、惰性气体供给路径47、惰性气体供给口50,经由喷淋板51,通过与惰性气体供给口50之间的间隙、吹出孔51A而吹出,通过由掩模56和基座防粘板19A形成的间隙,供给到排气部30。
另外,优选在基座周缘部16B上设置基座防粘板19A,但是也可以仅仅为基座周缘部16B。此时,基座周缘部16B顶面与掩模56底面的距离成为a,从掩模56外周端到喷淋板51的外周端侧为止的距离成为b。
上述各实施方式中,在基板13的尺寸变更的情况下,能够通过变更基座16的尺寸来应对,不必变更平台结构。另外,当改变工艺条件时,尽管涉及惰性气体供给条件,但是也可以仅改变惰性气体供给口和喷淋板。
接着,说明上述原子层生长装置10中的处理顺序。
图6是表示本实施方式的原子层堆积方法的一例的流程图。图7A~7D是表示在基板S上形成薄膜的工序的图。
首先,原料气体供给部向成膜容器11的内部供给原料气体(步骤s1)。具体地说,向气体导入部20供给原料气体。原料气体供给到成膜容器11的内部。原料气体例如在0.1秒期间供给到成膜容器11的内部。如图7A所示,通过步骤s1,向成膜容器11的内部供给原料气体110,原料气体110吸附到基板S上,形成吸附层102。
另外,步骤s1中,向喷射器21的内表面及喷射器防粘构件22的外表面供给惰性气体。另外,排出部30中,也向排气防粘构件31及排气配管连接部32供给惰性气体。而且,基座周缘部16B中也供给惰性气体。
本实施方式中,不仅在步骤s1,还包含后述步骤s2~s4,恒定地供给惰性气体。因此,步骤s1中,向成膜容器11的内部供给原料气体时,能够抑制原料气体进入成膜容器11与喷射器防粘构件22之间的间隙、成膜容器11与排气防粘构件31之间的间隙及掩模56与基座16之间的间隙。
接着,停止原料气体的供给,由气体导入部供给吹扫气体(步骤s2)。吹扫气体供给到成膜容器11的内部。原料气体从排气部30向成膜容器11的外部排出。
吹扫气体例如在0.1秒期间供给到成膜容器11的内部。排气部30排出成膜容器11的内部的原料气体110、吹扫气体112。排气部30例如在2秒期间排出成膜容器11的内部的原料气体110、吹扫气体112。如图7B所示,通过步骤s2向成膜容器11的内部供给吹扫气体112,从成膜容器11吹扫未吸附在基板S上的原料气体110。
接着,向成膜容器11的内部供给反应气体(步骤s3)。具体地说,经由气体导入部20供给反应气体。反应气体经由气体导入部20的通路,供给到成膜容器11的内部。反应气体例如在1秒期间供给到成膜容器11的内部。如图7C所示,通过步骤s3,向成膜容器11的内部供给反应气体114。
另外,步骤s3中,也在喷射器21的内表面、喷射器防粘构件22的外表面、排气部30、基座周缘部16B供给惰性气体。因此,步骤S3中,向成膜容器11的内部供给反应气体时,能够抑制反应气体进入成膜容器11与喷射器防粘构件22之间的间隙、成膜容器11与排气防粘构件31之间的间隙及掩模56与基座16之间的间隙。
接着,停止反应气体的供给,向气体导入部20供给吹扫气体(步骤s4)。吹扫气体供给到成膜容器11的内部。吹扫气体从排气部30向成膜容器11的外部排出。吹扫气体例如在0.1秒期间供给到成膜容器11的内部。排气部30排出成膜容器11的内部的反应气体114、吹扫气体112。如图7D所示,通过步骤s4,向成膜容器11的内部供给吹扫气体112,从成膜容器11吹扫反应气体114。
通过以上说明的步骤s1~s4,在基板S上形成一原子层量的薄膜层104。以下,通过以规定次数反复步骤s1~s4,能够形成期望膜厚的薄膜层104。
本实施方式的原子层生长装置10中,惰性气体在喷射器21的内表面及喷射器防粘构件22的外表面流过,因此能够抑制原料气体、反应气体进入成膜容器11与喷射器21之间的间隙。因此,能够抑制薄膜附着到成膜容器11与喷射器21之间的间隙。另外,排气部30也同样防止薄膜的附着。
而且,在基座周缘部16B,惰性气体流出,因此,防止了对于掩模底面、基板侧面及基板底面、基座等的沉积膜。
另外,例如,用TMA作为原料气体,用O3作为反应气体所形成的氧化铝膜能够通过BCl3气体进行气体蚀刻。为了通过BCl3气体对氧化铝膜进行气体蚀刻,例如,需要加热到500℃左右的高温。
在平台14设置加热器(未图示),位于该加热器的附近的成膜容器11的内壁能够通过加热器加热到500℃左右的高温。因此,附着在位于加热器的附近的成膜容器11的内壁的薄膜能够通过气体蚀刻去除。
如上所述,根据本实施方式,能够抑制薄膜附着到成膜容器11的内壁、基座等,另外,附着于内壁、基座的薄膜能够通过气体蚀刻去除,因此,能够降低通过湿法蚀刻进行的清洁的频度。
【实施例1】
采用图1、图4所示的原子层生长装置,在370mm×470mm的G2玻璃基板形成AlON薄膜。本原子层生长装置的各种值如下设置。
a:1mm
b:20mm
喷淋孔径:1mm
喷淋间距:100mm
平台温度:100℃
惰性气体温度:100℃
惰性气体流量:500sccm
采用TMA(三甲基铝)作为液体原料(Al源),采用氧等离子体和氮等离子体作为反应气体。成膜采用图6所示的工序。成膜容器内压力设为100Pa,通过惰性气体供给部供给500sccm的氮气,在成膜工序中恒定供给。
实施20μm的成膜后,目视观察掩模56底面和基座防粘板19A顶面的沉积膜量时,未观测到薄膜的干涉膜,其膜量确认在50nm以下。因此,可确认到:能容易应对基板尺寸变更、工艺条件变更的构造,并且能够降低掩模及基座的清洁频度。
以上,根据上述实施方式说明了本发明,但是只要不脱离本发明的范围,就能够进行本实施方式中的适宜变更。
本申请主张2015年5月26日在日本申请的日本专利特愿2015-106856号的优先权,参考并引用该申请所述的全部内容。
标号说明
10 原子层生长装置
11 成膜容器
13 基板
14 平台
15 高频电源
16 基座
16A 基座主体
16B 基座周缘部
19 基座防粘板
19A 基座防粘板
20 气体导入部
30 排气部
40 掩模销孔
46 惰性气体供给管
47 惰性气体供给路径
48 惰性气体供给口
49 喷淋板
49A 吹出孔
50 惰性气体供给口
50A 吹出孔
51 喷淋板
56 掩模
S 基板
102 吸附层
104 薄膜层
110 原料气体
112 吹扫气体
114 反应气体。

Claims (7)

1.一种原子层生长装置,是在基板上形成薄膜的原子层生长装置,其特征在于,具备:
成膜容器;
设置在所述成膜容器内的平台;
在所述平台上保持所述基板的基座;
配置在所述基板上且尺寸包围所述基板的掩模;
能够支撑所述掩模上下可动的掩模销;以及
在上下方向上贯通所述平台及所述基座并使所述掩模销能够以上下可动的方式插通的掩模销孔,
所述基座具备:具有所述基板的保持面的基座主体;位于所述基座主体的周围且高度比所述保持面低的基座周缘部,
所述掩模销孔在所述基座周缘部开口,
在所述基座周缘部的所述掩模包围的区域内,以所述基座主体为基准,在所述掩模销孔的开口的外侧,在所述保持面的周围设置向上方侧排出气体的惰性气体供给口,所述惰性气体供给口与供给惰性气体的惰性气体供给路径连接。
2.如权利要求1所述的原子层生长装置,其特征在于,
所述惰性气体供给口在所述保持面的周围沿整个全周形成多个或在整个全周上连续形成。
3.如权利要求1或2所述的原子层生长装置,其特征在于,
所述惰性气体供给口相对于所述惰性气体供给路径具有喷淋头部构造。
4.如权利要求1或2所述的原子层生长装置,其特征在于,
所述掩模与所述基座周缘部之间形成的间隙为0.1mm以上,10mm以下。
5.如权利要求1或2所述的原子层生长装置,其特征在于,
所述掩模周缘端与所述惰性气体供给口的接近侧的距离为1mm以上,200mm以下。
6.如权利要求1或2所述的原子层生长装置,其特征在于,
在所述基座周缘部顶面设置有防粘构件。
7.如权利要求1或2所述的原子层生长装置,其特征在于,
所述惰性气体以平台面温度±10%以内的温度从所述惰性气体供给口排出。
CN201680031264.0A 2015-05-26 2016-04-19 原子层生长装置 Active CN107615460B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2015106856A JP6054470B2 (ja) 2015-05-26 2015-05-26 原子層成長装置
JP2015-106856 2015-05-26
PCT/JP2016/062400 WO2016190005A1 (ja) 2015-05-26 2016-04-19 原子層成長装置

Publications (2)

Publication Number Publication Date
CN107615460A CN107615460A (zh) 2018-01-19
CN107615460B true CN107615460B (zh) 2020-07-14

Family

ID=57393136

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201680031264.0A Active CN107615460B (zh) 2015-05-26 2016-04-19 原子层生长装置

Country Status (5)

Country Link
US (1) US10633737B2 (zh)
JP (1) JP6054470B2 (zh)
CN (1) CN107615460B (zh)
TW (1) TWI684205B (zh)
WO (1) WO2016190005A1 (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6723116B2 (ja) 2016-08-31 2020-07-15 株式会社日本製鋼所 原子層成長装置および原子層成長方法
JP6778553B2 (ja) * 2016-08-31 2020-11-04 株式会社日本製鋼所 原子層成長装置および原子層成長方法
JP6857522B2 (ja) * 2017-03-17 2021-04-14 株式会社日本製鋼所 成膜方法および電子装置の製造方法並びにマスク保持体
JP7093850B2 (ja) * 2018-12-03 2022-06-30 株式会社アルバック 成膜装置及び成膜方法
US11875970B2 (en) * 2018-12-17 2024-01-16 Advanced Micro-Fabrication Equipment Inc. China Radio frequency electrode assembly for plasma processing apparatus, and plasma processing apparatus
JP2020147795A (ja) * 2019-03-13 2020-09-17 東京エレクトロン株式会社 プラズマ処理装置
KR102412341B1 (ko) * 2019-06-25 2022-06-23 피코순 오와이 기판 후면 보호
JP7239549B2 (ja) * 2020-12-10 2023-03-14 キヤノントッキ株式会社 成膜装置、成膜方法及び電子デバイスの製造方法
CN116892016A (zh) * 2023-09-11 2023-10-17 上海星原驰半导体有限公司 工艺腔室装置及晶圆处理设备

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW366517B (en) * 1995-10-18 1999-08-11 Tokyo Electron Ltd Heat processing device
TW457524B (en) * 1999-01-18 2001-10-01 Tokyo Electron Ltd Film-forming apparatus
CN1818795A (zh) * 2005-02-03 2006-08-16 东京毅力科创株式会社 清洗装置、涂布·显影装置以及清洗方法
CN101034679A (zh) * 2006-03-08 2007-09-12 东京毅力科创株式会社 基板处理装置、基板吸附方法和存储介质
CN101043001A (zh) * 2006-03-20 2007-09-26 纽富来科技股份有限公司 气相生长方法及气相生长装置
CN101194040A (zh) * 2005-06-08 2008-06-04 应用材料股份有限公司 旋转基材支撑件及其使用方法
CN101356630A (zh) * 2006-04-05 2009-01-28 东京毅力科创株式会社 处理装置
CN101459054A (zh) * 2007-12-14 2009-06-17 东京毅力科创株式会社 等离子体处理装置
CN101689500A (zh) * 2007-09-12 2010-03-31 东京毅力科创株式会社 成膜装置和成膜方法
CN101866078A (zh) * 2009-04-14 2010-10-20 常阳工学股份有限公司 密封装置
CN102239544A (zh) * 2009-09-17 2011-11-09 东京毅力科创株式会社 等离子体处理装置以及等离子体处理装置用气体供给机构
CN102859031A (zh) * 2010-04-28 2013-01-02 株式会社爱发科 真空处理装置、基板和对位掩模的移动方法以及对位方法及成膜方法

Family Cites Families (126)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61163279A (ja) 1985-01-09 1986-07-23 Nec Corp Cvd装置
JPH06953B2 (ja) 1986-09-18 1994-01-05 日本電気株式会社 薄膜形成装置
US5044314A (en) 1986-10-15 1991-09-03 Advantage Production Technology, Inc. Semiconductor wafer processing apparatus
US4793283A (en) 1987-12-10 1988-12-27 Sarkozy Robert F Apparatus for chemical vapor deposition with clean effluent and improved product yield
JPH01183113A (ja) 1988-01-18 1989-07-20 Fujitsu Ltd 気相成長装置
JPH0752716B2 (ja) 1990-06-05 1995-06-05 松下電器産業株式会社 熱分解セル
JP3105990B2 (ja) * 1991-06-26 2000-11-06 株式会社東芝 X線マスクおよびx線マスクの製造方法
JP2763222B2 (ja) 1991-12-13 1998-06-11 三菱電機株式会社 化学気相成長方法ならびにそのための化学気相成長処理システムおよび化学気相成長装置
JPH06244269A (ja) 1992-09-07 1994-09-02 Mitsubishi Electric Corp 半導体製造装置並びに半導体製造装置におけるウエハ真空チャック装置及びガスクリーニング方法及び窒化膜形成方法
JP3118737B2 (ja) 1992-10-23 2000-12-18 東京エレクトロン株式会社 被処理体の処理方法
US5326725A (en) 1993-03-11 1994-07-05 Applied Materials, Inc. Clamping ring and susceptor therefor
US5578132A (en) 1993-07-07 1996-11-26 Tokyo Electron Kabushiki Kaisha Apparatus for heat treating semiconductors at normal pressure and low pressure
JP2875458B2 (ja) 1993-07-16 1999-03-31 大日本スクリーン製造株式会社 基板の熱処理装置
US5457298A (en) 1993-07-27 1995-10-10 Tulip Memory Systems, Inc. Coldwall hollow-cathode plasma device for support of gas discharges
JP3207993B2 (ja) 1993-12-28 2001-09-10 株式会社荏原製作所 半導体製造装置
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US6200389B1 (en) 1994-07-18 2001-03-13 Silicon Valley Group Thermal Systems Llc Single body injector and deposition chamber
US5643394A (en) 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5732298A (en) * 1994-12-09 1998-03-24 Nikon Corporation Picture frame switching mechanism
JPH08186081A (ja) 1994-12-29 1996-07-16 F T L:Kk 半導体装置の製造方法及び半導体装置の製造装置
US5599371A (en) 1994-12-30 1997-02-04 Corning Incorporated Method of using precision burners for oxidizing halide-free, silicon-containing compounds
JP3982844B2 (ja) 1995-01-12 2007-09-26 株式会社日立国際電気 半導体製造装置及び半導体の製造方法
US5772770A (en) 1995-01-27 1998-06-30 Kokusai Electric Co, Ltd. Substrate processing apparatus
KR100267418B1 (ko) 1995-12-28 2000-10-16 엔도 마코토 플라스마처리방법및플라스마처리장치
JPH09251935A (ja) 1996-03-18 1997-09-22 Applied Materials Inc プラズマ点火装置、プラズマを用いる半導体製造装置及び半導体装置のプラズマ点火方法
JP3512968B2 (ja) * 1996-04-11 2004-03-31 株式会社日本自動車部品総合研究所 半導体装置の製造方法
US5788799A (en) 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
JP3696983B2 (ja) 1996-06-17 2005-09-21 キヤノン株式会社 プラズマ処理方法およびプラズマ処理装置
US5992463A (en) 1996-10-30 1999-11-30 Unit Instruments, Inc. Gas panel
US6293310B1 (en) 1996-10-30 2001-09-25 Unit Instruments, Inc. Gas panel
US5935283A (en) 1996-12-31 1999-08-10 Atmi Ecosys Corporation Clog-resistant entry structure for introducing a particulate solids-containing and/or solids-forming gas stream to a gas processing system
JPH11335849A (ja) 1998-05-27 1999-12-07 Ebara Corp 成膜装置
US6040011A (en) * 1998-06-24 2000-03-21 Applied Materials, Inc. Substrate support member with a purge gas channel and pumping system
JP2000243711A (ja) 1999-02-24 2000-09-08 Kokusai Electric Co Ltd 基板処理装置
JP4252702B2 (ja) 2000-02-14 2009-04-08 株式会社荏原製作所 反応副生成物の配管内付着防止装置及び付着防止方法
JP4567148B2 (ja) 2000-06-23 2010-10-20 東京エレクトロン株式会社 薄膜形成装置
JP2002093598A (ja) 2000-07-11 2002-03-29 Daihen Corp プラズマ発生装置
KR100372251B1 (ko) 2001-02-09 2003-02-15 삼성전자주식회사 반도체 설비용 가스 분배장치
US6852167B2 (en) 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
JP2002302770A (ja) * 2001-04-09 2002-10-18 Hitachi Kokusai Electric Inc 基板処理装置
JP2002334868A (ja) 2001-05-10 2002-11-22 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
JP2002359229A (ja) 2001-06-01 2002-12-13 Mitsubishi Electric Corp 半導体装置の製造方法および半導体装置の製造装置
US6527911B1 (en) 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
JP4963336B2 (ja) 2001-08-28 2012-06-27 東京エレクトロン株式会社 熱処理装置
JP2003074468A (ja) 2001-08-31 2003-03-12 Toshiba Corp 真空排気システム及びその監視・制御方法
TW573053B (en) 2001-09-10 2004-01-21 Anelva Corp Surface processing apparatus
JP2003179045A (ja) 2001-12-13 2003-06-27 Tokyo Electron Ltd プラズマ処理装置及びその制御方法
US20030124842A1 (en) 2001-12-27 2003-07-03 Applied Materials, Inc. Dual-gas delivery system for chemical vapor deposition processes
US6827815B2 (en) 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
US7163587B2 (en) 2002-02-08 2007-01-16 Axcelis Technologies, Inc. Reactor assembly and processing method
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US20030213560A1 (en) 2002-05-16 2003-11-20 Yaxin Wang Tandem wafer processing system and process
KR100481874B1 (ko) 2003-02-05 2005-04-11 삼성전자주식회사 집적회로 제조에 사용되는 확산로 및 확산로의 냉각방법
JP4268429B2 (ja) 2003-03-17 2009-05-27 東京エレクトロン株式会社 基板処理装置および基板処理方法
US7118781B1 (en) 2003-04-16 2006-10-10 Cree, Inc. Methods for controlling formation of deposits in a deposition system and deposition methods including the same
JP2004339581A (ja) 2003-05-16 2004-12-02 Matsushita Electric Ind Co Ltd 薄膜形成装置
US7682454B2 (en) 2003-08-07 2010-03-23 Sundew Technologies, Llc Perimeter partition-valve with protected seals and associated small size process chambers and multiple chamber systems
KR100901892B1 (ko) 2003-09-03 2009-06-10 도쿄엘렉트론가부시키가이샤 가스 처리 장치 및 처리 가스 토출 구조체
JP4513329B2 (ja) 2004-01-16 2010-07-28 東京エレクトロン株式会社 処理装置
JP4451221B2 (ja) 2004-06-04 2010-04-14 東京エレクトロン株式会社 ガス処理装置および成膜装置
CN102154628B (zh) 2004-08-02 2014-05-07 维高仪器股份有限公司 用于化学气相沉积反应器的多气体分配喷射器
JP2006080148A (ja) 2004-09-07 2006-03-23 Hitachi Kokusai Electric Inc 基板処理装置
KR100782369B1 (ko) 2004-11-11 2007-12-07 삼성전자주식회사 반도체 제조장치
US20060185590A1 (en) 2005-02-18 2006-08-24 General Electric Company High temperature chemical vapor deposition apparatus
US8163087B2 (en) 2005-03-31 2012-04-24 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
JP4492963B2 (ja) 2005-06-14 2010-06-30 ルネサスエレクトロニクス株式会社 薄膜の成膜方法、気相成長装置、プログラム
JP4749785B2 (ja) 2005-07-19 2011-08-17 東京エレクトロン株式会社 ガス処理装置
US8454749B2 (en) 2005-12-19 2013-06-04 Tokyo Electron Limited Method and system for sealing a first assembly to a second assembly of a processing system
US20070218702A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
JP4877748B2 (ja) 2006-03-31 2012-02-15 東京エレクトロン株式会社 基板処理装置および処理ガス吐出機構
KR20080027009A (ko) * 2006-09-22 2008-03-26 에이에스엠지니텍코리아 주식회사 원자층 증착 장치 및 그를 이용한 다층막 증착 방법
KR100850275B1 (ko) 2006-12-20 2008-08-04 삼성전자주식회사 반도체 디바이스 제조설비의 가스 박스 모듈
US8821637B2 (en) 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
JP5034594B2 (ja) 2007-03-27 2012-09-26 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP2008270595A (ja) 2007-04-23 2008-11-06 Texas Instr Japan Ltd 反応生成物剥離防止構造及びその製作方法、並びに当該構造を用いる半導体装置の製造方法
JP5141141B2 (ja) 2007-08-23 2013-02-13 東京エレクトロン株式会社 気化器、気化器を用いた原料ガス供給システム及びこれを用いた成膜装置
JP5077748B2 (ja) 2007-09-06 2012-11-21 富士電機株式会社 成膜装置
JP2009088229A (ja) 2007-09-28 2009-04-23 Tokyo Electron Ltd 成膜装置、成膜方法、記憶媒体及びガス供給装置
JP4929199B2 (ja) 2008-02-01 2012-05-09 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
FI122941B (fi) 2008-06-12 2012-09-14 Beneq Oy Sovitelma ALD-reaktorin yhteydessä
US20100047447A1 (en) 2008-08-25 2010-02-25 Cook Robert C Multiple substrate item holder and reactor
JP5357486B2 (ja) 2008-09-30 2013-12-04 東京エレクトロン株式会社 プラズマ処理装置
US9328417B2 (en) 2008-11-01 2016-05-03 Ultratech, Inc. System and method for thin film deposition
JP5445044B2 (ja) * 2008-11-14 2014-03-19 東京エレクトロン株式会社 成膜装置
KR101190750B1 (ko) 2009-02-19 2012-10-12 엘지전자 주식회사 실리콘 박막 제조방법 및 제조장치
JP4564570B2 (ja) 2009-03-10 2010-10-20 三井造船株式会社 原子層堆積装置
JP4523661B1 (ja) 2009-03-10 2010-08-11 三井造船株式会社 原子層堆積装置及び薄膜形成方法
US7985188B2 (en) 2009-05-13 2011-07-26 Cv Holdings Llc Vessel, coating, inspection and processing apparatus
KR101569796B1 (ko) * 2009-06-23 2015-11-20 주성엔지니어링(주) 기판 정렬 장치, 이를 포함하는 기판 처리 장치 및 기판 정렬 방법
US9540731B2 (en) 2009-12-04 2017-01-10 Applied Materials, Inc. Reconfigurable multi-zone gas delivery hardware for substrate processing showerheads
JP5812606B2 (ja) 2010-02-26 2015-11-17 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
DE112011102327T5 (de) 2010-07-12 2013-06-06 Ulvac, Inc. Schichtbildungsvorrichtung
JP5743266B2 (ja) 2010-08-06 2015-07-01 キヤノンアネルバ株式会社 成膜装置及びキャリブレーション方法
US9129913B2 (en) * 2010-10-21 2015-09-08 Veeco Ald Inc. Formation of barrier layer on device using atomic layer deposition
JP2012126977A (ja) 2010-12-16 2012-07-05 Ulvac Japan Ltd 真空成膜装置及び成膜方法
JP2012175055A (ja) 2011-02-24 2012-09-10 Mitsui Eng & Shipbuild Co Ltd 原子層堆積装置
JP5792315B2 (ja) 2011-10-07 2015-10-07 東京エレクトロン株式会社 プラズマ処理装置
KR101326108B1 (ko) * 2012-03-09 2013-11-06 에이피시스템 주식회사 히터 블럭 및 이를 포함하는 열처리 장치
JP5772736B2 (ja) * 2012-06-18 2015-09-02 株式会社デンソー 原子層蒸着装置
KR101435100B1 (ko) * 2012-06-20 2014-08-29 주식회사 엠티에스나노테크 원자층 증착 장치
JP2014158009A (ja) 2012-07-03 2014-08-28 Hitachi High-Technologies Corp 熱処理装置
KR101411993B1 (ko) 2012-09-25 2014-06-26 (주)젠 안테나 어셈블리 및 이를 구비한 플라즈마 처리 챔버
JP6123208B2 (ja) 2012-09-28 2017-05-10 東京エレクトロン株式会社 成膜装置
JP6134522B2 (ja) 2013-01-30 2017-05-24 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
JP6078419B2 (ja) * 2013-02-12 2017-02-08 株式会社日立ハイテクノロジーズ プラズマ処理装置の制御方法、プラズマ処理方法及びプラズマ処理装置
TWI473903B (zh) 2013-02-23 2015-02-21 Hermes Epitek Corp 應用於半導體設備的噴射器與上蓋板總成
KR102489065B1 (ko) * 2013-03-15 2023-01-13 어플라이드 머티어리얼스, 인코포레이티드 Ald 플래튼 서셉터의 위치 및 온도 모니터링
JP6040075B2 (ja) 2013-03-27 2016-12-07 株式会社アルバック 真空成膜装置及び成膜方法
WO2014200815A1 (en) * 2013-06-14 2014-12-18 Veeco Ald Inc. Performing atomic layer deposition on large substrate using scanning reactors
US10781516B2 (en) 2013-06-28 2020-09-22 Lam Research Corporation Chemical deposition chamber having gas seal
US20150004798A1 (en) 2013-06-28 2015-01-01 Lam Research Corporation Chemical deposition chamber having gas seal
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
JP2015073021A (ja) 2013-10-03 2015-04-16 三井造船株式会社 原子層堆積装置および原子層堆積方法
JP2015073020A (ja) 2013-10-03 2015-04-16 三井造船株式会社 原子層堆積装置および原子層堆積方法
JP6334880B2 (ja) 2013-10-03 2018-05-30 Jswアフティ株式会社 原子層堆積装置および原子層堆積方法
KR102194821B1 (ko) * 2013-10-17 2020-12-24 삼성디스플레이 주식회사 유기물 증착 장치 및 유기물 증착 방법
JP6010771B2 (ja) * 2013-11-18 2016-10-19 パナソニックIpマネジメント株式会社 スクリーン印刷機及び部品実装ライン
KR20150078306A (ko) * 2013-12-30 2015-07-08 삼성디스플레이 주식회사 원자층 증착 장치 및 원자층 증착 방법
WO2015112470A1 (en) * 2014-01-21 2015-07-30 Applied Materials, Inc. Thin film encapsulation processing system and process kit permitting low-pressure tool replacement
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
JP6354539B2 (ja) 2014-11-25 2018-07-11 東京エレクトロン株式会社 基板処理装置、基板処理方法、記憶媒体
JP6297509B2 (ja) 2015-01-26 2018-03-20 東京エレクトロン株式会社 基板処理装置
JP6723116B2 (ja) 2016-08-31 2020-07-15 株式会社日本製鋼所 原子層成長装置および原子層成長方法
JP6778553B2 (ja) 2016-08-31 2020-11-04 株式会社日本製鋼所 原子層成長装置および原子層成長方法
CN116504679A (zh) 2017-05-01 2023-07-28 应用材料公司 具有真空隔离和预处理环境的高压退火腔室
JP2019033236A (ja) 2017-08-10 2019-02-28 株式会社日本製鋼所 原子層成長装置並びに原子層成長装置を使用した成膜方法および原子層成長装置のクリーニング方法

Patent Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW366517B (en) * 1995-10-18 1999-08-11 Tokyo Electron Ltd Heat processing device
TW457524B (en) * 1999-01-18 2001-10-01 Tokyo Electron Ltd Film-forming apparatus
CN100478786C (zh) * 2005-02-03 2009-04-15 东京毅力科创株式会社 清洗装置、涂布·显影装置以及清洗方法
CN1818795A (zh) * 2005-02-03 2006-08-16 东京毅力科创株式会社 清洗装置、涂布·显影装置以及清洗方法
CN101194040A (zh) * 2005-06-08 2008-06-04 应用材料股份有限公司 旋转基材支撑件及其使用方法
CN101034679A (zh) * 2006-03-08 2007-09-12 东京毅力科创株式会社 基板处理装置、基板吸附方法和存储介质
CN101043001A (zh) * 2006-03-20 2007-09-26 纽富来科技股份有限公司 气相生长方法及气相生长装置
CN101356630A (zh) * 2006-04-05 2009-01-28 东京毅力科创株式会社 处理装置
CN101689500A (zh) * 2007-09-12 2010-03-31 东京毅力科创株式会社 成膜装置和成膜方法
CN101459054A (zh) * 2007-12-14 2009-06-17 东京毅力科创株式会社 等离子体处理装置
CN101866078A (zh) * 2009-04-14 2010-10-20 常阳工学股份有限公司 密封装置
CN102239544A (zh) * 2009-09-17 2011-11-09 东京毅力科创株式会社 等离子体处理装置以及等离子体处理装置用气体供给机构
CN102859031A (zh) * 2010-04-28 2013-01-02 株式会社爱发科 真空处理装置、基板和对位掩模的移动方法以及对位方法及成膜方法

Also Published As

Publication number Publication date
CN107615460A (zh) 2018-01-19
JP2016225325A (ja) 2016-12-28
US10633737B2 (en) 2020-04-28
TW201642320A (zh) 2016-12-01
TWI684205B (zh) 2020-02-01
JP6054470B2 (ja) 2016-12-27
WO2016190005A1 (ja) 2016-12-01
US20180155823A1 (en) 2018-06-07

Similar Documents

Publication Publication Date Title
CN107615460B (zh) 原子层生长装置
US10190214B2 (en) Deposition apparatus and deposition system having the same
CN109314055B (zh) 原子层生长装置及原子层生长方法
JP5812606B2 (ja) 基板処理装置及び半導体装置の製造方法
CN107615459B (zh) 等离子体原子层生长装置
US10312076B2 (en) Application of bottom purge to increase clean efficiency
KR101576135B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
TW201708601A (zh) 包含流動隔離環的處理套組
KR20160026572A (ko) 기판 처리 장치
TW201810354A (zh) 基板支撐組件、具有其之處理腔室以及處理基板之方法
CN109312459B (zh) 原子层生长装置及原子层生长方法
US10968514B2 (en) Substrate mounting table
JP6309598B2 (ja) 原子層成長装置
JP2008235393A (ja) 成膜装置及び成膜方法
JP4089873B2 (ja) プラズマ処理装置およびその初期化方法
JP2007295001A (ja) プラズマ処理装置
KR20070020903A (ko) 반도체 제조 장치
KR20210045795A (ko) 플라즈마 원자층 증착 장치

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant