TW201304000A - 電漿蝕刻裝置及電漿蝕刻方法 - Google Patents

電漿蝕刻裝置及電漿蝕刻方法 Download PDF

Info

Publication number
TW201304000A
TW201304000A TW101111133A TW101111133A TW201304000A TW 201304000 A TW201304000 A TW 201304000A TW 101111133 A TW101111133 A TW 101111133A TW 101111133 A TW101111133 A TW 101111133A TW 201304000 A TW201304000 A TW 201304000A
Authority
TW
Taiwan
Prior art keywords
substrate
plasma
wafer
peripheral portion
frequency power
Prior art date
Application number
TW101111133A
Other languages
English (en)
Other versions
TWI497588B (zh
Inventor
Shigeki Doba
Satoshi Yamada
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201304000A publication Critical patent/TW201304000A/zh
Application granted granted Critical
Publication of TWI497588B publication Critical patent/TWI497588B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32651Shields, e.g. dark space shields, Faraday shields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本發明提供電漿蝕刻裝置,其對於基板表面形成有光阻圖案,且基板外周部露出有基板基體表面的基板進行電漿蝕刻;該電漿蝕刻裝置具有:支撐部6,用來支撐基板;蓋板構件5,設置成覆蓋住由支撐部6所支撐之基板的外周部,用來防止電漿迴流至基板的外周部;及照射部2、16,以藉由控制高頻電力之施加、與蝕刻用處理氣體之供給所產生的電漿,於外周部被蓋板構件5所覆蓋的狀態下,對於由支撐部所支撐的基板進行蝕刻;在該蝕刻後,以藉由控制高頻電力之施加、與灰化用處理氣體之供給所產生的電漿,對於經蝕刻的基板進行光阻圖案的灰化。

Description

電漿蝕刻裝置及電漿蝕刻方法
本發明係關於電漿蝕刻裝置及電漿蝕刻方法。
半導體裝置之製造領域中,人們進行了很多藉由細微化以提高密集度的嘗試。又,近年來廣泛地有人進行:藉由所謂三維立體封裝之半導體裝置堆疊以提高每單位面積之密集度的嘗試。
呈縱向堆疊的半導體裝置具備有貫通於由例如矽所構成基板而形成的電極,並透過該電極來進行電性連接。形成此種貫通於基板的電極時,採用塗佈裝置在基板塗佈光阻劑,並採用曝光裝置進行曝光後,利用顯影裝置進行顯影來形成光阻圖案。然後,以所形成的光阻圖案為光罩,採用電漿蝕刻裝置來將基板蝕刻,藉以形成貫通孔或介層洞。又,在基板形成貫通孔或介層洞後,將殘餘在基板上的光阻劑灰化而去除。
上述採用電漿蝕刻裝置進行蝕刻之際,當光阻劑被塗佈到基板的外周部時,會在基板搬運中與基板的載體或搬運臂接觸而剝落,有產生粉塵之虞。因此,在基板塗佈光阻劑後,利用塗佈裝置所具備之以有機溶劑構成的背面清洗機構或斜面清洗機構,將包含背面、斜面之外周部的光阻劑去除。藉此,可防止因為基板外周部之光阻劑剝落而產生粉塵(參照例如專利文獻1)。
或者,為了防止因為基板外周部之光阻劑剝落而產生粉塵,有人於使光阻劑在基板上成膜,並對基板整面施行圖案曝光後,進行阻止基板外周部之光阻劑被顯影液溶解的處理。(參照例如專利文獻2)。
【專利文獻1】日本特開2009-295636號公報
【專利文獻2】日本特開2000-331913號公報
然而,如上述般採用已去除外周部之光阻劑的基板,以光阻圖案為光罩來進行蝕刻,並將殘餘在基板上的光阻劑灰化而去除時,存在如下的問題。
利用電漿蝕刻裝置進行蝕刻時,由於基板的斜面區域露出了基板之基體表面,因此有產生所謂黑矽的情形,亦即露出之基體表面因為暴露於電漿而產生表面粗糙的情形。例如,於從基板外緣起既定寬度之區域已去除了塗佈在基板表面的光阻劑時,於該區域,會在基板之表面及背面兩面產生黑矽。
為了抑制黑矽的產生,如專利文獻1、2所示般,亦可認為:只要利用光阻劑來對於從基板外緣起既定寬度的區域進行保護即可。但是,利用光阻劑來保護既定寬度的區域時,如前所述,光阻劑會在基板搬運中剝落,而有產生粉塵之虞。
又,上述課題並不限於對1片基板進行蝕刻的情形。例如,對於利用黏接劑使複數基板貼合在一起而得的貼合基板進行蝕刻時,於貼合基板之外緣露出了黏接劑。因此,由於露出的黏接劑暴露於電漿,於是有黏接劑剝落而產生粉塵或者各基板間剝離之虞,而且有貼合基板的外周部脆性化或者產生裂縫之虞。
對於上述課題,本發明之目的為:提供電漿蝕刻裝置及電漿蝕刻方法,其在對於形成有光阻圖案的基板進行蝕刻時,能保護 基板的外周部。
為解決上述課題,依本發明之一態樣,提供一種電漿蝕刻裝置,其對於基板之表面形成有光阻圖案,並且該基板之外周部露出有該基板之基體表面的該基板進行電漿蝕刻;該電漿蝕刻裝置具有:支撐部,用來支撐該基板;蓋板構件,設置成覆蓋住由該支撐部所支撐之該基板的該外周部,用來防止電漿迴流至該基板的該外周部;及控制部,藉由控制來自高頻電源之高頻電力的施加、與來自第1處理氣體供給源之蝕刻用處理氣體的供給而產生電漿,利用所產生的電漿,於該外周部被該蓋板構件所覆蓋的狀態下,對於由該支撐部所支撐的該基板進行蝕刻;在該蝕刻後,藉由控制來自高頻電源之高頻電力的施加、與來自第2處理氣體供給源之灰化用處理氣體的供給而產生電漿,利用所產生的電漿,對於經蝕刻的該基板進行該光阻圖案的灰化。
又,依本發明之另一態樣,提供一種電漿蝕刻裝置,其對於藉由黏接劑貼合複數基板而成之貼合基板的表面形成有光阻圖案,並且該貼合基板之外周部露出有該黏接劑的該貼合基板進行電漿蝕刻;該電漿蝕刻裝置具有:支撐部,用來支撐該貼合基板;蓋板構件,設置成覆蓋住由該支撐部所支撐之該貼合基板的該外周部,用來防止電漿迴流至該貼合基板的該外周部;及控制部,藉由控制來自高頻電源之高頻電力的施加、與來自第1處理氣體供給源之蝕刻用處理氣體的供給而產生電漿,利用所產生的電漿,於該外周部被該蓋板構件所覆蓋的狀態下,對於由該支撐部所支撐的該貼合基板進行蝕刻;在該蝕刻後,藉由控制來自高頻電源之高頻電力的施加、與來自第2處理氣體供給源之灰化用處理氣體的供給而產生電漿,利用所產生的電漿,對於經蝕刻的該貼合基板進行該光阻圖案的灰化。
又,依本發明之另一態樣,提供一種電漿蝕刻方法,其對於基板之表面形成有光阻圖案,並且該基板之外周部露出有該基板之基體表面的該基板進行電漿蝕刻;該電漿蝕刻方法包含有:支撐步驟,以支撐部支撐該基板;蓋板構件配置步驟,將用來防止電漿迴流至該基板之該外周部的蓋板構件,設置成覆蓋住由該支撐部所支撐之該基板的該外周部;蝕刻步驟,藉由控制來自高頻電源之高頻電力的施加、與來自第1處理氣體供給源之蝕刻用處理氣體的供給而產生電漿,利用所產生的電漿,於該外周部被該蓋板構件所覆蓋的狀態下,對於由該支撐部所支撐的該基板進行蝕刻;及灰化步驟,在該蝕刻後,藉由控制來自高頻電源之高頻電力的施加、與來自第2處理氣體供給源之灰化用處理氣體的供給而產生電漿,利用所產生的電漿,對於經蝕刻的該基板進行該光阻圖案的灰化。
又,依本發明之另一態樣,提供一種電漿蝕刻方法,其對於藉由黏接劑貼合複數基板而成之貼合基板的表面形成有光阻圖案,並且該貼合基板之外周部露出有該黏接劑的該貼合基板進行電漿蝕刻;該電漿蝕刻方法包含有:支撐步驟,以支撐部支撐該貼合基板;蓋板構件配置步驟,將用來防止電漿迴流至該貼合基板之該外周部的蓋板構件,設置成覆蓋住由該支撐部所支撐之該貼合基板的該外周部;蝕刻步驟,藉由控制來自高頻電源之高頻電力的施加、與來自第1處理氣體供給源之蝕刻用處理氣體的供給而產生電漿,利用所產生的電漿,於該外周部被該蓋板構件所覆蓋的狀態下,對於由該支撐部所支撐的該貼合基板進行蝕刻;及灰化步驟,在該蝕刻後,藉由控制來自高頻電源之高頻電力的施加、與來自第2處理氣體供給源之灰化用處理氣體的供給而產生電漿,利用所產生的電漿,對於經蝕刻的該貼合基板進行該光阻圖案的灰化。
依本發明,在對於形成有光阻圖案的基板進行蝕刻時,能保護基板的外周部。
[實施發明之最佳形態]
接著,針對用來實施本發明的形態,與圖式一起進行說明。
(第1實施形態)
首先,針對依本發明之第1實施形態的電漿蝕刻裝置進行說明。
圖1係顯示依本實施形態的電漿蝕刻裝置之構成的概略剖面圖。
電漿蝕刻裝置具有氣密性地構成且設定成電性接地電位的處理腔室1。該處理腔室1係設計成圓筒狀,且由例如鋁等所構成。在處理腔室1內設有用來水平支撐待處理基板亦即半導體晶圓(以下簡稱「晶圓」)W的載置台2。載置台2以例如鋁等所構成,具有作為下部電極的功能。該載置台2被支撐在導體的支撐台4,且隔著絕緣板3,而載置於處理腔室1的底部。又,以圍繞著載置台2及支撐台4之周圍的方式,設有由例如石英等所構成之圓筒狀的內壁構件3a。
於載置台2的上方外周設有斜角覆蓋層5,斜角覆蓋層5的詳細構成如後述。又,斜角覆蓋層5相當於用來防止電漿迴流至基板外周部的蓋板構件。
於載置台2連接有RF電源(射頻電源),第1RF電源10a經由第1匹配器11a連接於載置台2,且第2RF電源10b經由第2匹配器11b連接於載置台2。第1RF電源10a為電漿產生用者,從 該第1RF電源10a將既定頻率(27MHz以上,例如100MHz)的高頻電力供給至載置台2。又,第2RF電源10b為離子導入用(偏壓用)者,從該第2RF電源10b將較第1RF電源10a低之既定頻率(13.56MHz以下,例如13.56MHz)的高頻電力供給至載置台2。另一方面,於載置台2的上方,以與載置台2平行對向的方式設置著具有作為上部電極之功能的噴淋頭16,噴淋頭16與載置台2發揮作為一對電極(上部電極與下部電極)的功能。
又,對於從上部電極亦即噴淋頭16導入至處理腔室1內的蝕刻用處理氣體,採用施加至下部電極亦即載置台2的高頻電力,而從該蝕刻用處理氣體產生電漿;利用所產生的電漿,於外周部被蓋板構件所覆蓋的狀態下,對晶圓W施行蝕刻處理。又,在蝕刻處理後,對於導入至處理腔室1內的灰化用處理氣體,採用施加至下部電極的高頻電力,而從該灰化用處理氣體產生電漿;利用所產生的電漿,於外周部被蓋板構件所覆蓋的狀態下,對晶圓W施行灰化處理。又,該等處理係由控制部90進行控制。
於載置台2之頂面,設有藉由靜電吸附來支撐晶圓W的靜電吸盤6。該靜電吸盤6係在絕緣體6b之間夾設有電極6a而構成,電極6a連接有直流電源12;而且構成為:藉由從直流電源12對於電極6a施加直流電壓,以在電極6a與晶圓W之間產生庫侖力,利用所產生的庫侖力來吸附晶圓W。如此一來,晶圓W被靜電吸盤6所支撐。
又,載置台2及靜電吸盤6相當於用來支撐基板的基板支撐部。
於支撐台4的內部形成有冷煤流路4a,冷煤流路4a連接有冷煤入口配管4b、冷煤出口配管4c。而且,藉由使適當的冷煤,例如冷卻水等在冷煤流路4a中循環,能將支撐台4及載置台2控制 在既定之溫度。又,以貫通於載置台2等的方式,設有用來將氦氣體等之冷熱傳遞用氣體(背面氣體)供給至晶圓W背面側的背面氣體供給配管30,且該背面氣體供給配管30連接於未圖示的背面氣體供給源。藉由該等構成,能夠將在載置台2之頂面被靜電吸盤6所吸附固持的晶圓W控制在既定之溫度。
前述的噴淋頭16設在處理腔室1的頂壁部分。噴淋頭16具備有本體部16a、及形成電極板的上部頂板16b,且透過絕緣性構件17被支撐在處理腔室1的上部。本體部16a由導電性材料,例如表面經陽極氧化處理的鋁所構成,且構成為能將上部頂板16b以可任意拆卸之方式支撐在其下部。
於本體部16a的內部設有氣體擴散室16c,並以位在該氣體擴散室16c之下部的方式,於本體部16a的底部形成有多數個氣體流通孔16d。又,於上部頂板16b,氣體導入孔16e以在厚度方向上貫通於該上部頂板16b的方式,設置成與上述氣體流通孔16d在端部連通。藉由此種構成,供給至氣體擴散室16c的處理氣體經由氣體流通孔16d及氣體導入孔16e,呈噴淋狀地被分散供給至處理腔室1內。又,於本體部16a等設有用來使冷煤循環之未圖示的配管,能使得噴淋頭16在電漿蝕刻處理中冷卻到所希望之溫度。
於本體部16a形成有用來將蝕刻用處理氣體往氣體擴散室16c導入的氣體導入口16f。在該氣體導入口16f,連接有氣體供給配管14a,在該氣體供給配管14a的另一端,連接有用來供給蝕刻用處理氣體的第1處理氣體供給源14。於氣體供給配管14a,從上游側起依序設有質量流量控制器(MFC)14b及開閉閥V1。而且,用來進行電漿蝕刻的處理氣體係從第1處理氣體供給源14透過氣體供給配管14a而供給至氣體擴散室16c,並從該氣體擴散室16c,經由氣體流通孔16d及氣體導入孔16e呈噴淋狀地分散供給至處 理腔室1內。
又,於本體部16a形成有用來將灰化用處理氣體往氣體擴散室16c導入的氣體導入口16g。在該氣體導入口16g,連接有氣體供給配管15a,在該氣體供給配管15a的另一端,連接有用來供給灰化用處理氣體的第2處理氣體供給源15。於氣體供給配管15a,從上游側起依序設有質量流量控制器(MFC)15b及開閉閥V2。而且,用來進行電漿灰化的處理氣體從第2處理氣體供給源15透過氣體供給配管15a而供給至氣體擴散室16c,並從該氣體擴散室16c,經由氣體流通孔16d及氣體導入孔16e呈噴淋狀地分散供給至處理腔室1內。
於上述作為上部電極的噴淋頭16,經由低通濾波器(LPF)71而電性連接有可變直流電源72。該可變直流電源72可利用開閉開關73來進行供電的開閉。可變直流電源72的電流電壓及開閉開關73的開閉係由後述的控制部90進行控制。又,如後述般,從第1RF電源10a、第2RF電源10b對於載置台2施加高頻電流以在處理空間產生電漿時,依所需而利用控制部90將開閉開關73打開,對於作為上部電極的噴淋頭16施加既定之直流電壓。
以從處理腔室1之側壁延伸到相較於噴淋頭16之高度位置為上方的方式,設有圓筒狀的接地導體1a。該圓筒狀的接地導體1a在其上部具有頂壁。
於處理腔室1之底部形成有排氣口81,該排氣口81透過排氣管82而連接有排氣裝置83。排氣裝置83具有真空泵,藉由令該真空泵作動,可將處理腔室1內減壓至既定之真空度。另一方面,於處理腔室1之側壁設有晶圓W的送入送出口84,在該送入送出口84設有用來開閉該送入送出口84的閘閥85。
圖中,86、87為設計成可任意拆卸式的沉積物屏蔽。沉積物屏蔽86係沿處理腔室1之內壁面而設置,具有防止蝕刻副產物(沉積物)附著在處理腔室1的功能。在該沉積物屏蔽86之與晶圓W大致相同高度的位置,設有以可控制方式連接有對地之電位的導電性構件(GND(接地)區塊)89,藉以防止異常放電。
接著,針對斜角覆蓋層5的詳細構成進行說明。
圖2係放大而示意地顯示斜角覆蓋層5之周邊的剖面圖。
如圖1及圖2所示,斜角覆蓋層5具有上側環構件51、下側環構件52、升降銷53及驅動機構54。
上側環構件51具有本體部51a及頂蓋部51b。本體部51a具有環形狀,頂蓋部51b則設置成:涵蓋著具有環形狀之本體部51a的全周,且相較於本體部51a,往徑向內側突出。藉由頂蓋部51b,將靜電吸盤6所支撐之晶圓W的外周部WE設置成被頂蓋部51b覆蓋。上側環構件51係用來以頂蓋部51b防止電漿迴流至晶圓W的外周部WE。
作為上側環構件51,可使用石英、氧化釔(Y2O3)。其中,就耐電漿性優異的觀點,較佳係使用氧化釔。又,就後述貫通孔之傾斜角的抑制效果而言,如後面採用圖16所述,使用氧化釔也具有與石英大致相同或較石英更好的效果。
下側環構件52具有與上側環構件51對應的環形狀。在下側環構件52的頂面形成有環形狀的溝槽52a。上側環構件51係藉由本體部51a嵌合至下側環構件52頂面所形成有的環形狀之溝槽52a,而在水平方向上被約束。
下側環構件52中,沿著周向而在複數處(例如3處)形成有上下貫通於下側環構件52的貫通孔52b。上側環構件51之與貫通孔52b對應的部分形成有突起部51c。上側環構件51係藉由突起部51c嵌合至下側環構件52所形成有的貫通孔52b,使得相對於下側環構件52之沿周向的移動被約束。又,作為下側環構件52,可使用石英。
在上側環構件51之突起部51c的底面,形成有孔部51d。
升降銷53以可上下移動方式設於:與形成在上側環構件51之孔部51d對應而形成在靜電吸盤6的孔部6c內,由驅動機構54進行上下驅動。升降銷53上升時,升降銷53的前端將上側環構件51之孔部51d的頂面往上推,藉以使上側環構件51上升。
又,靜電吸盤6具有升降銷61及驅動機構62。升降銷61以可上下移動方式設於靜電吸盤6所形成有的孔部6d內,由驅動機構62進行上下驅動。升降銷61上升時,升降銷61的前端將晶圓W往上推,藉以使晶圓W上升。
上述構成的電漿蝕刻裝置係藉由控制部90總括地控制其動作。該控制部90設有:具備CPU(中央處理單元),對電漿蝕刻裝置之各部進行控制的製程控制器91、使用者介面92及記憶部93。
使用者介面92由製程管制者進行指令之輸入操作以管理電漿蝕刻裝置的鍵盤、及將電漿蝕刻裝置之運轉狀況以視覺化方式顯示的顯示器等所構成。
記憶部93存放有配方,該配方儲存有:用來在製程控制器91的控制下,將由電漿蝕刻裝置執行之各種處理予以實現的控制程式(軟體)、或處理條件資料等。製程控制器91依來自使用者介面 92的指示等,從記憶部93叫出任意的配方來執行。藉此,使電漿蝕刻裝置在製程控制器91的控制下進行所希望之處理。又,控制程式或處理條件資料等之配方也可利用存放於電腦可讀取之電腦記憶媒體(例如硬碟、CD(光碟)、軟碟、半導體記憶體)等的狀態者。或者,控制程式或處理條件資料等之配方也可透過例如專用線路從其他裝置隨時傳送,而直接連線利用。
接下來,針對依本實施形態之電漿蝕刻方法進行說明。
圖3至圖6係示意地顯示由靜電吸盤6支撐晶圓W時的晶圓W及斜角覆蓋層5之狀態的剖面圖。
首先,於靜電吸盤6未支撐晶圓W的狀態下(參照圖3),升降銷53被驅動機構54驅動而上升,上升的升降銷53將上側環構件51往上推,藉以使上側環構件51上升(參照圖4)。
其次,打開閘閥85,利用未圖示的輸送機器人等,將表面形成有光阻圖案的晶圓W,經由未圖示的真空預備室而從送入送出口84送入至處理腔室1內的靜電吸盤6上。如此一來,升降銷61就被驅動機構62驅動而上升,由上升的升降銷61從輸送機器人接收晶圓W(參照圖5)。
接著,令輸送機器人退避至處理腔室1外,關閉閘閥85。然後,升降銷61被驅動機構62驅動而下降,將晶圓W載置到靜電吸盤6(參照圖6)。進而,從直流電源12對於靜電吸盤6的電極6a施加既定之直流電壓,使晶圓W由庫侖力進行靜電吸附,而被支撐。
再來,隨著升降銷53被驅動機構54驅動後下降,上側環構件51便下降,並再度被收納至環形狀的溝槽52a內。此時的狀態 係與圖2所示的狀態相同。藉此,利用上側環構件51的頂蓋部51b,將晶圓W的外周部WE加以覆蓋。
又,本實施形態中,已針對在上側環構件51下降前,以靜電吸盤6進行晶圓W之靜電吸附的例子加以說明。但是,也可在上側環構件51下降後,以靜電吸盤6進行晶圓W之靜電吸附。
圖7係放大顯示在被上側環構件51之頂蓋部51b所覆蓋的狀態下由靜電吸盤6所支撐之晶圓W的狀態之剖面圖。
如圖7所示,於晶圓W的外周部WE中之從晶圓W外緣起既定寬度L的區域,晶圓W被上側蓋板構件51所覆蓋。又,晶圓W之表面雖形成有光阻圖案,但是於晶圓W的外周部WE中之從晶圓W外緣起既定寬度L1的區域,已去除光阻劑PR,而露出有晶圓W的基體表面。因此,如下述式(1)所示,L>L1 (1)
既定寬度L較佳係至少較既定寬度L1為大。
又,既定寬度L1為滿足式(1)要件之事先所設定第1既定寬度的一例。
在此,以上側環構件51的內徑為DI,以晶圓W的外徑為DO時(參照圖2),DI、DO、L滿足下述式(2)的關係。
L=(DO-DI)/2 (2)
因此,根據式(1)、式(2),較佳係滿足下述式(3)的關係。
DI<DO-2L1 (3)
亦即,上側環構件51之頂蓋部51b的內徑DI較佳係根據晶圓W的外徑DO及既定寬度L1所設定。
接下來,利用排氣裝置83的真空泵,經由排氣口81將處理 腔室1內進行排氣。然後,將蝕刻用處理氣體導入至處理腔室1內而產生電漿,藉此對晶圓W進行蝕刻處理。
蝕刻處理係於處理腔室1內形成既定之真空度,且保持在既定壓力的狀態下,從第1處理氣體供給源14將既定之處理氣體(蝕刻氣體)導入至處理腔室1內。以光阻圖案為光罩,而對晶圓W的基體亦即Si進行蝕刻時,可使用例如Cl2、Cl2+HBr、Cl2+O2、CF4+O2、SF6、Cl2+N2、Cl2+HCl、HBr+Cl2+SF6等之所謂鹵素系氣體,作為處理氣體。或者,在晶圓W的表面形成有單層或複數層SiO2、SiN等之硬罩膜,以光阻圖案為光罩,而對該等硬罩膜進行蝕刻時,可使用例如CF4、C4F8、CHF3、CH3F、CH2F2等之CF系氣體、與Ar氣體等的混合氣體、或依所需而在該混合氣體添加氧的氣體等,作為處理氣體。於導入有此種處理氣體的狀態下,從第1RF電源10a將頻率為例如100MHz的高頻電力供給至載置台2。又,從第2RF電源10b將頻率為例如13.56MHz的高頻電力(偏壓用)供給至載置台2,用以進行離子導入。
然後,藉由對於下部電極亦即載置台2施加高頻電力,以在上部電極亦即噴淋頭16與下部電極亦即載置台2之間形成電場。於存在有晶圓W的處理空間內產生放電,藉由該放電而從處理氣體產生電漿。晶圓W係利用電漿,於外周部WE被上側蓋板構件51所覆蓋的狀態下,以形成在晶圓W表面的光阻圖案為光罩,而進行異向性蝕刻。
然後,當上述蝕刻處理結束時,繼續進行用來將殘餘之光阻劑去除的灰化處理。亦即,利用從灰化用處理氣體所產生的電漿,對晶圓W進行灰化處理。
灰化處理係於處理腔室1內形成既定之真空度,且保持在既定壓力的狀態下,從第2處理氣體供給源15將既定之處理氣體(灰 化氣體)導入至處理腔室1內。作為處理氣體,可使用例如O2氣體、NO氣體、N2O氣體、H2O氣體、O3氣體等之氣體。於導入有此種處理氣體的狀態下,從第1RF電源10a將頻率為例如100MHz的高頻電力供給至載置台2。又,從第2RF電源10b將頻率為例如13.56MHz的高頻電力(偏壓用)供給至載置台2,用以進行離子導入。
然後,藉由對於下部電極亦即載置台2施加高頻電力,以在上部電極亦即噴淋頭16與下部電極亦即載置台2之間形成電場。於存在有晶圓W的處理空間內產生放電,藉由該放電而從處理氣體產生電漿。於晶圓W之外周部WE被上側蓋板構件51所覆蓋的狀態下,殘餘在晶圓W表面的光阻劑被電漿進行灰化而去除。
以此方式進行蝕刻處理及灰化處理後,停止進行高頻電力的供給、直流電壓的供給及處理器體的供給,並以與前述順序相反的順序,將晶圓W從處理腔室1內送出。
接著,針對依本實施形態,在將形成有光阻圖案的晶圓W進行蝕刻時,晶圓W之外周部WE不會發生表面粗糙的情形,一面與比較例對比,一面進行說明。
作為比較例,考慮未設有用來覆蓋晶圓W之外周部WE的上側蓋板構件51之情形。於是,電漿也暴露至晶圓W的外周部WE。如前所述,於晶圓W的外周部WE中之從晶圓W外緣起既定寬度L1的區域,已去除光阻劑PR,而露出了晶圓W的基體表面。因此,由於露出之晶圓W的基體表面暴露於電漿,而如圖8所示般,於晶圓W的外周部WE有產生所謂黑矽的情形,亦即晶圓W之基體表面產生表面粗糙的情形。
另一方面,本實施形態則如前所述,於晶圓W的外周部WE 中之從晶圓W外緣起既定寬度L的區域,晶圓W被上側蓋板構件51所覆蓋。藉此,於蝕刻處理中,可防止電漿迴流至晶圓W的外周部WE。因此,於晶圓W的外周部WE中之從晶圓W外緣起既定寬度L1的區域,露出之晶圓W的基體表面不會暴露於電漿,而能夠於晶圓W的外周部WE,防止晶圓W之基體表面發生表面粗糙的情形。亦即,能保護晶圓W的外周部WE。
又,依本實施形態,對於形成有光阻圖案之晶圓W進行蝕刻以形成貫通孔V時,於晶圓W的外周部WE,可抑制貫通孔V在垂直方向上產生傾斜角。以下針對該在垂直方向上產生之傾斜角的抑制進行說明。
設置有用來覆蓋晶圓W之外周部WE的上側蓋板構件51時,於上側蓋板構件51之頂蓋部51b的前端附近,形成在晶圓W的貫通孔V會傾斜。亦即,如圖9所示,對於貫通孔V的中心軸,以其與水平方向所形成的角為θ時,該中心軸係以傾斜角(90-θ)在垂直方向上傾斜。此可認為係由於:以頂蓋部51b防止電漿迴流至晶圓W之外周部WE的同時,另一方面也使得電漿的照射方向傾斜。
圖10係顯示下述結果的圖表:於設定成DO=300mm,且L=1.7mm(DI=296.6mm)或L=1.0mm(DI=298mm)的例子中,在與晶圓W外緣之距離不同的各點,對於以蝕刻所形成貫通孔V之中心軸在垂直方向上所產生傾斜角(90-θ)進行測定的結果。反黑點表示L=1.0mm時,反白點表示L=1.7mm時。又,圖10中,傾斜角(90-θ)=0時,意指中心軸完全未傾斜;傾斜角(90-θ)較大時,意指中心軸也大大地傾斜。
無論是L=1.7mm及L=1.0mm任一者的情形,在與晶圓W外緣之距離較大的區域,亦即晶圓W之中心部側的區域,均由於(90 -θ)大致等於0,因此貫通孔V大致沿垂直方向而形成,幾乎並未傾斜。而且,無論是L=1.7mm及L=1.0mm任一者的情形,在與晶圓W外緣之距離較小的區域,亦即晶圓W之外周部側的區域,均隨著接近至上側蓋板構件51之頂蓋部51b的前端,而貫通孔V的傾斜角(90-θ)增大。
又,在與晶圓W外緣之距離相等的位置,L=1.0mm時的傾斜角(90-θ)又相較於L=1.7mm時較小。亦即,既定寬度L越小,貫通孔V在垂直方向上產生的傾斜角(90-θ)越小。此依上述式(2)係意指:上側蓋板構件51之頂蓋部51b的內徑DI越大,貫通孔V在垂直方向上產生的傾斜角(90-θ)越小。
在此,以晶圓W相對於上側蓋板構件51之相對位置的定位精度為±a0。又,以晶圓W之起因於上述輸送機器人或升降銷61等晶圓W輸送系統的定位精度為±a1,而以斜角覆蓋層5之起因於升降銷53或斜角覆蓋層5之形狀精度的定位精度為±a2。如此一來,如下述式(4)所示,a0=a1+a2 (4)
晶圓W相對於上側蓋板構件51之相對位置的定位精度±a0之絕對值a0將等於:晶圓W之定位精度±a1的絕對值a1、與斜角覆蓋層5之定位精度±a2的絕對值a2二者的和。
此時,於將起因於定位精度之變動加入的情形,既定寬度L同樣較佳係設計成並非未滿既定寬度L1的值。其原因為:若假定既定寬度L未滿既定寬度L1,於晶圓W的外周部WE中,已去除光阻劑而露出了晶圓W基體表面的區域會暴露於電漿。因此,在將起因於定位精度之變動加入時的既定寬度L之範圍(L±a0)中,最小值(L-a0)等於既定寬度L1時,可一面保護晶圓W的外周部WE而抑制表面粗糙的發生,一面使得貫通孔V在垂直方向上產生的傾斜角(90-θ)為最小。
又,圖7係顯示在將起因於定位精度之變動加入時的既定寬度L之最小值(L-a0)等於既定寬度L1的情形。
或者,在將起因於定位精度之變動加入時的既定寬度L之最小值(L-a0)也可設計成等於:在既定寬度L1加入既定之邊限α而得的值(L1+α)。亦即,如下述式(5)所示,L=L1+(a0+α) (5)
既定寬度L也可設定為:既定寬度L1、與依晶圓W相對於上側蓋板構件51之相對位置之定位精度a0及邊限α而定的既定寬度(a0+α)二者之和。因此,根據式(5)、式(2),較佳係滿足下述式(6)的關係。
DI=DO-2(L1+a0+α) (6)
亦即,上側環構件51之頂蓋部51b的內徑DI較佳係根據晶圓W的外徑DO、既定寬度L1、及依定位精度a0而定的既定寬度(a0+α)所設定。藉此,可一面保護晶圓W的外周部WE而抑制表面粗糙的發生,一面使得貫通孔V在垂直方向上產生的傾斜角(90-θ)為最小。又,上述依定位精度a0而定的既定寬度(a0+α),係依基板相對於蓋板構件之相對位置之定位精度而定的第2既定寬度之一例。
接著,針對設定為L=1.7mm,且使用石英或氧化釔(Y2O3)作為上側環構件51之情形、及設定為L=1.0mm,且使用氧化釔(Y2O3)作為上側環構件51之情形的3例,將在與晶圓中心之距離不同的各點,對於所形成貫通孔V之相對於水平方向的角度θ(°)進行測定的結果顯示於圖16。
當對於圖16之上段與中段所顯示結果進行比較時,於使用由氧化釔(Y2O3)所構成之上側環構件51的情形,與使用具有相同內徑(DI=296.6mm)而由石英所構成之上側環構件51的情形大致相 同,可得到大致接近90°的角度θ。若考慮到氧化釔之耐電漿性相較於石英為優異的觀點,藉由使用氧化釔作為上側環構件51,能保護晶圓W的外周部WE,並且使上側環構件51長壽命化。
另一方面,當對於圖16之中段與下段所顯示結果進行比較時,於使用由氧化釔(Y2O3)所構成而具有互不相同內徑(DI=296.6mm)之上側環構件51的情形,上側環構件51的內徑DI越大,越能得到更接近90°的角度θ。因此,上側環構件51的內徑DI越大,越能夠抑制貫通孔V在垂直方向上產生傾斜角。
又,依本實施形態,將殘餘在晶圓W上的光阻劑進行灰化時,於晶圓W的外周部WE,能抑制灰化率的下降。以下,針對該灰化率下降的抑制進行說明。
圖11係顯示下述結果的圖表:在與晶圓W外緣之距離不同的各點,對於採用實施例1、2之不同條件來灰化時的光阻劑之灰化率進行測定的結果。實施例1、2的條件如下。
(實施例1)
成膜裝置內之壓力:300mTorr
高頻電源功率(上部電極/下部電極):0/1500W
處理氣體之流量:O2=300sccm
處理時間:30秒
(實施例2)
成膜裝置內之壓力:100mTorr
高頻電源功率(上部電極/下部電極):0/2000W
處理氣體之流量:O2=1300sccm
處理時間:30秒
如圖11所示,與晶圓W之外緣的距離越小,亦即越是晶圓外周側,灰化率越下降。此情形顯示:以上側蓋板構件51防止電漿迴流至晶圓W之外周部WE的同時,另一方面也使得上側蓋板構件 51附近的灰化率下降。實施例1中,距離外緣0.3mm位置之灰化率相對於距離外緣3mm位置之灰化率的比為10%左右。
然而,實施例2中,相較於實施例1,整體區域的灰化率增加。又,距離外緣0.3mm位置之灰化率相對於距離外緣3mm位置之灰化率的比增加到50%左右。因此,藉由使得製程條件最佳化,在被上側蓋板構件51所覆蓋之晶圓W的外周部WE,也能抑制灰化率的下降。
圖12係顯示下述結果的圖表:於上側蓋板構件51之內徑為DI=296.6mm及DI=298mm的情形,在與晶圓W外緣之距離不同的各點,對於灰化前後之光阻薄膜厚度進行測定的結果。又,上側蓋板構件51之內徑為任一值,灰化前之光阻薄膜的厚度均相等。
在與晶圓W外緣之距離為0.5mm的位置,相較於DI=296.6mm時之灰化後的光阻薄膜厚度,DI=298mm時之灰化後的光阻薄膜厚度較小。亦即,藉由使上側蓋板構件51的內徑加大,在被上側蓋板構件51所覆蓋之晶圓W的外周部WE,也能抑制灰化率的下降。
(第2實施形態)
接下來,針對依本發明之第2實施形態的電漿蝕刻方法進行說明。
依本實施形態的電漿蝕刻方法中,可採用與依第1實施形態之電漿蝕刻裝置相同的裝置。因此,對於依本實施形態的蝕刻裝置,則省略說明。
又,依本實施形態的電漿蝕刻方法係採用矽穿孔(TSV,Through-Silicon Via)技術在晶圓形成貫通孔,用以在三維立體封裝 的半導體裝置形成貫通電極。因此,依本實施形態之電漿蝕刻方法不同於第1實施形態之處為:對於藉由黏接劑將用來形成貫通孔之晶圓(以下亦稱「元件晶圓」)貼合到支撐晶圓而成的貼合晶圓,進行蝕刻。
圖13係示意地顯示貼合晶圓LW之構成的剖面圖。
貼合晶圓LW包含有元件晶圓W與支撐晶圓SW。元件晶圓W為在表面Wa形成有電晶體等之半導體裝置的基板。支撐晶圓SW係在將元件晶圓W之背面Wb研磨而使其薄化時,用來對於薄化之元件晶圓W進行補強的基板。又,元件晶圓W係藉由黏接劑G而貼合於支撐晶圓SW。
圖14及圖15係用來說明包含依本實施形態之電漿蝕刻方法的半導體裝置製造方法,且為示意地顯示各步驟中之晶圓狀態的剖面圖。
首先,在由矽晶圓等所構成之元件晶圓W的表面形成電晶體101,並在形成有電晶體101之元件晶圓W上形成層間絕緣膜102(圖14A)。
其次,在層間絕緣膜102上形成配線構造103。詳言之,在層間絕緣膜102上,交互地堆疊配線層104、絕緣膜105,並且形成:以貫通於絕緣膜105之方式將上下配線層104之間電性連接的介層洞106(圖14B)。
接著,使元件晶圓W上下反轉,並藉由黏接劑G而與支撐晶圓SW貼合,藉以準備成貼合晶圓LW。支撐晶圓SW係在將元件晶圓W之背面Wb研磨而使其薄化時,用來對於薄化之元件晶圓W進行補強,並防止翹曲的支撐體亦即基板,且由例如矽晶圓等 所構成。然後,將貼合晶圓LW支撐在例如研磨裝置所具備的支撐部,對晶圓W的背面Wb側進行研磨,使得研磨前的厚度T1薄化成既定厚度T2(圖14C)。又,既定厚度T2可設定為例如50~200μm。
又,圖14中,為了容易圖示,將層間絕緣膜102及配線構造103的厚度誇張描繪,但實際上,層間絕緣膜102及配線構造103的厚度相較於晶圓W之基體本身的厚度係屬極小(圖15亦同)。
又,於貼合晶圓LW的外周部WE露出有黏接劑G。
接下來,在晶圓W之背面Wb塗佈光阻劑,並進行曝光、顯影,藉以形成未圖示的光阻圖案。然後,對於晶圓W之背面Wb形成有光阻圖案的貼合晶圓LW,以與依第1實施形態之電漿蝕刻方法同樣方式進行蝕刻,而形成貫通孔V。然後,對於形成有貫通孔V之貼合晶圓LW的晶圓W之背面Wb上所殘餘的光阻劑,以與依第1實施形態之電漿蝕刻方法同樣方式進行灰化而去除(圖15A)。係用來說明包含依第2實施形態之電漿蝕刻方法的半導體裝置製造方法,且為示意地顯示各步驟中之晶圓狀態的剖面圖(其1)。
貫通孔V的直徑可設定為例如1~10μm。又,貫通孔V的深度相當於將晶圓W之背面Wb研磨而薄化後之晶圓W基體本身的厚度,可如前所述般設定為例如50~200μm。
再來,以被覆貫通孔V之內周面的方式形成例如聚醯亞胺等之絕緣膜107,並以電解電鍍法等,在內周面由絕緣膜107所被覆的貫通孔V內形成貫通電極108(圖15B)。
再下來,藉由從晶圓W剝下支撐晶圓SW以進行薄化,得到形成有貫通電極108的晶圓W。又,例如藉由照射紫外線(UV光), 可使得光反應性之黏接劑G的黏接力降低而加以剝下(圖15C)。
本實施形態相同於第1實施形態,貼合晶圓LW中,外周部WE中之從外緣起既定寬度的外周區域被上側蓋板構件所覆蓋。藉此,於蝕刻處理中,可防止電漿迴流至貼合晶圓LW的外周部WE。因此,於貼合晶圓LW之晶圓W的外周部WE中之從晶圓W外緣起既定寬度的區域,露出之晶圓W的基體表面不會暴露於電漿,而能夠於晶圓W的外周部WE,防止晶圓W之基體表面發生表面粗糙的情形。
又,於貼合晶圓LW的外周部WE中,晶圓W與支撐晶圓SW之間露出有黏接劑G。因此,露出於貼合晶圓LW之外周部WE的黏接劑G不會暴露於電漿,能夠防止黏接劑G剝落而產生粉塵的情形、及各晶圓間的剝離。而且,可防止貼合基板LW之外周部WE的脆性化、及裂縫的產生。亦即,能保護貼合基板LW的外周部WE。
以上,已一面參照附圖,一面針對本發明之最佳實施形態進行詳細說明,但本發明並不限於該等實例。只要是本發明所屬技術領域中具有通常知識者,於申請專利範圍所記載之技術思想的範疇內,顯然可思及各種變更例或修正例,該等變更例或修正例亦當然屬於本發明的技術性範圍。
本國際申請案主張以2011年3月29日所申請之日本專利申請案2011-73191號為基礎的優先權,並於本國際申請案援用其全部內容。
1‧‧‧處理腔室
1a‧‧‧接地導體
2‧‧‧載置台(照射部)
3‧‧‧絕緣板
3a‧‧‧內壁構件
4‧‧‧支撐台
4a‧‧‧冷煤流路
4b‧‧‧冷煤入口配管
4c‧‧‧冷煤出口配管
5‧‧‧斜角覆蓋層(蓋板構件)
6‧‧‧靜電吸盤(支撐部)
6a‧‧‧電極
6b‧‧‧絕緣體
6c、6d‧‧‧孔部
10a、10b‧‧‧RF電源
11a、11b‧‧‧匹配器
12‧‧‧直流電源
14、15‧‧‧處理氣體供給源
14a、15a‧‧‧氣體供給配管
14b、15b‧‧‧質量流量控制器
16‧‧‧噴淋頭(照射部)
16a‧‧‧本體部
16b‧‧‧上部頂板
16c‧‧‧氣體擴散室
16d‧‧‧氣體流通孔
16e‧‧‧氣體導入孔
16f、16g‧‧‧氣體導入口
17‧‧‧絕緣性構件
30‧‧‧背面氣體供給配管
51‧‧‧上側環構件(上側蓋板構件)
51a‧‧‧本體部
51b‧‧‧頂蓋部
51c‧‧‧突起部
51d‧‧‧孔部
52‧‧‧下側環構件
52a‧‧‧溝槽
52b‧‧‧貫通孔
53、61‧‧‧升降銷
54、62‧‧‧驅動機構
71‧‧‧低通濾波器
72‧‧‧可變直流電源
73‧‧‧開閉開關
81‧‧‧排氣口
82‧‧‧排氣管
83‧‧‧排氣裝置
84‧‧‧送入送出口
85‧‧‧閘閥
86、87‧‧‧沉積物屏蔽
89‧‧‧導電性構件
90‧‧‧控制部
91‧‧‧製程控制器
92‧‧‧使用者介面
93‧‧‧記憶部
101‧‧‧電晶體
102‧‧‧層間絕緣膜
103‧‧‧配線構造
104‧‧‧配線層
105‧‧‧絕緣膜
106‧‧‧介層洞
107‧‧‧絕緣膜
108‧‧‧貫通電極
a0‧‧‧定位精度
DI‧‧‧上側環構件的內徑
DO‧‧‧晶圓的外徑
G‧‧‧黏接劑
L、L1‧‧‧既定寬度
LW‧‧‧貼合晶圓
PR‧‧‧光阻劑
SW‧‧‧支撐晶圓
T1‧‧‧研磨前的厚度
T2‧‧‧既定厚度
V‧‧‧貫通孔
V1、V2‧‧‧開閉閥
W‧‧‧晶圓(元件晶圓)
Wa‧‧‧元件晶圓的表面
Wb‧‧‧元件晶圓的背面
WE‧‧‧晶圓(或貼合晶圓)的外周部
θ‧‧‧貫通孔相對於水平方向的角度
圖1係顯示依第1實施形態的電漿蝕刻裝置之構成的概略剖 面圖。
圖2係放大而示意地顯示斜角覆蓋層之周邊的剖面圖。
圖3係示意地顯示由靜電吸盤支撐晶圓時的晶圓及斜角覆蓋層之狀態的剖面圖(其1)。
圖4係示意地顯示由靜電吸盤支撐晶圓時的晶圓及斜角覆蓋層之狀態的剖面圖(其2)。
圖5係示意地顯示由靜電吸盤支撐晶圓時的晶圓及斜角覆蓋層之狀態的剖面圖(其3)。
圖6係示意地顯示由靜電吸盤支撐晶圓時的晶圓及斜角覆蓋層之狀態的剖面圖(其4)。
圖7係放大顯示在被上側環構件之頂蓋部所覆蓋的狀態下由靜電吸盤所支撐之晶圓的狀態之剖面圖。
圖8係用來說明下述情況的剖面圖:於未設置用以覆蓋晶圓外周部之上側蓋板構件的情形,於晶圓外周部中在晶圓之基體表面產生表面粗糙的情況。
圖9係用來說明形成於晶圓上之貫通孔傾斜情況的剖面圖。
圖10係顯示下述結果的圖表:在與晶圓外緣之距離不同的各點,對於以蝕刻所形成貫通孔之中心軸在垂直方向上所產生傾斜角進行測定的結果。
圖11係顯示下述結果的圖表:在與晶圓外緣之距離不同的各點,對於採用實施例1、2之不同條件來灰化時的光阻劑之灰化率進行測定的結果。
圖12係顯示下述結果的圖表:在與晶圓外緣之距離不同的各點,對於灰化前後之光阻薄膜厚度進行測定的結果。
圖13係示意地顯示貼合晶圓之構成的剖面圖。
圖14A係用來說明包含依第2實施形態之電漿蝕刻方法的半導體裝置製造方法,且為示意地顯示各步驟中之晶圓狀態的剖面圖(其1)。
圖14B係用來說明包含依第2實施形態之電漿蝕刻方法的半導體裝置製造方法,且為示意地顯示各步驟中之晶圓狀態的剖面 圖(其1)。
圖14C係用來說明包含依第2實施形態之電漿蝕刻方法的半導體裝置製造方法,且為示意地顯示各步驟中之晶圓狀態的剖面圖(其1)。
圖15A係用來說明包含依第2實施形態之電漿蝕刻方法的半導體裝置製造方法,且為示意地顯示各步驟中之晶圓狀態的剖面圖(其2)。
圖15B係用來說明包含依第2實施形態之電漿蝕刻方法的半導體裝置製造方法,且為示意地顯示各步驟中之晶圓狀態的剖面圖(其2)。
圖15C係用來說明包含依第2實施形態之電漿蝕刻方法的半導體裝置製造方法,且為示意地顯示各步驟中之晶圓狀態的剖面圖(其2)。
圖16係顯示下述結果的表:在與晶圓中心之距離不同的各點,對於所形成貫通孔V之相對於水平方向的角度θ進行測定的結果。
1‧‧‧處理腔室
1a‧‧‧接地導體
2‧‧‧照射部(載置台)
3‧‧‧絕緣板
3a‧‧‧內壁構件
4‧‧‧支撐台
4a‧‧‧冷煤流路
4b‧‧‧冷煤入口配管
4c‧‧‧冷煤出口配管
5‧‧‧蓋板構件(斜角覆蓋層)
6‧‧‧支撐部(靜電吸盤)
6a‧‧‧電極
6b‧‧‧絕緣體
10a、10b‧‧‧RF電源
11a、11b‧‧‧匹配器
12‧‧‧直流電源
14、15‧‧‧處理氣體供給源
14a、15a‧‧‧氣體供給配管
14b、15b‧‧‧質量流量控制器
16‧‧‧照射部(噴淋頭)
16a‧‧‧本體部
16b‧‧‧上部頂板
16c‧‧‧氣體擴散室
16d‧‧‧氣體流通孔
16e‧‧‧氣體導入孔
16f、16g‧‧‧氣體導入口
17‧‧‧絕緣性構件
30‧‧‧背面氣體供給配管
53、61‧‧‧升降銷
54、62‧‧‧驅動機構
71‧‧‧低通濾波器
72‧‧‧可變直流電源
73‧‧‧開閉開關
81‧‧‧排氣口
82‧‧‧排氣管
83‧‧‧排氣裝置
84‧‧‧送入送出口
85‧‧‧閘閥
86、87‧‧‧沉積物屏蔽
89‧‧‧導電性構件
90‧‧‧控制部
91‧‧‧製程控制器
92‧‧‧使用者介面
93‧‧‧記憶部
V1、V2‧‧‧開閉閥
W‧‧‧晶圓

Claims (8)

  1. 一種電漿蝕刻裝置,對於基板之表面形成有光阻圖案,並且該基板之外周部露出有該基板之基體表面的該基板進行電漿蝕刻;具備有:支撐部,用來支撐該基板;蓋板構件,設置成覆蓋住由該支撐部所支撐之該基板的該外周部,用來防止電漿迴流至該基板的該外周部;及控制部,藉由控制來自高頻電源之高頻電力的施加、與來自第1處理氣體供給源之蝕刻用處理氣體的供給而產生電漿,利用所產生的電漿,於該外周部被該蓋板構件所覆蓋的狀態下,對於由該支撐部所支撐的該基板進行蝕刻;在該蝕刻後,藉由控制來自高頻電源之高頻電力的施加、與來自第2處理氣體供給源之灰化用處理氣體的供給而產生電漿,利用所產生的電漿,對於經蝕刻的該基板進行該光阻圖案的灰化。
  2. 一種電漿蝕刻裝置,對於藉由黏接劑貼合複數基板而成之貼合基板的表面形成有光阻圖案,並且該貼合基板之外周部露出有該黏接劑的該貼合基板進行電漿蝕刻;具備有:支撐部,用來支撐該貼合基板;蓋板構件,設置成覆蓋住由該支撐部所支撐之該貼合基板的該外周部,用來防止電漿迴流至該貼合基板的該外周部;及控制部,藉由控制來自高頻電源之高頻電力的施加、與來自第1處理氣體供給源之蝕刻用處理氣體的供給而產生電漿,利用所產生的電漿,於該外周部被該蓋板構件所覆蓋的狀態下,對於由該支撐部所支撐的該貼合基板進行蝕刻;在該蝕刻後,藉由控制來自高頻電源之高頻電力的施加、與來自第2處理氣體供給源之灰化用處理氣體的供給而產生電漿,利用所產生的電漿,對於經蝕刻的該貼合基板進行該光阻圖案的灰化。
  3. 如申請專利範圍第1項之電漿蝕刻裝置,其中,該基板呈 圓板狀,並且於從該基板之外緣起第1既定寬度的區域,露出有該基體表面;且該蓋板構件具有環形狀,並且該蓋板構件的內徑係根據該基板的外徑及該第1既定寬度所設定。
  4. 如申請專利範圍第3項之電漿蝕刻裝置,其中,該蓋板構件中,該蓋板構件的內徑係根據該基板的外徑、該第1既定寬度、及依該基板相對於該蓋板構件之相對位置之定位精度而定的第2既定寬度所設定。
  5. 一種電漿蝕刻方法,對於基板之表面形成有光阻圖案,並且該基板之外周部露出有該基板之基體表面的該基板進行電漿蝕刻;包含有:支撐步驟,以支撐部支撐該基板;蓋板構件配置步驟,將用來防止電漿迴流至該基板之該外周部的蓋板構件,設置成覆蓋住由該支撐部所支撐之該基板的該外周部;蝕刻步驟,藉由控制來自高頻電源之高頻電力的施加、與來自第1處理氣體供給源之蝕刻用處理氣體的供給而產生電漿,利用所產生的電漿,於該外周部被該蓋板構件所覆蓋的狀態下,對於由該支撐部所支撐的該基板進行蝕刻;及灰化步驟,在該蝕刻後,藉由控制來自高頻電源之高頻電力的施加、與來自第2處理氣體供給源之灰化用處理氣體的供給而產生電漿,利用所產生的電漿,對於經蝕刻的該基板進行該光阻圖案的灰化。
  6. 一種電漿蝕刻方法,對於藉由黏接劑貼合複數基板而成之貼合基板的表面形成有光阻圖案,並且該貼合基板之外周部露出有該黏接劑的該貼合基板進行電漿蝕刻;包含有:支撐步驟,以支撐部支撐該貼合基板;蓋板構件配置步驟,將用來防止電漿迴流至該貼合基板之該 外周部的蓋板構件,設置成覆蓋住由該支撐部所支撐之該貼合基板的該外周部;蝕刻步驟,藉由控制來自高頻電源之高頻電力的施加、與來自第1處理氣體供給源之蝕刻用處理氣體的供給而產生電漿,利用所產生的電漿,於該外周部被該蓋板構件所覆蓋的狀態下,對於由該支撐部所支撐的該貼合基板進行蝕刻;及灰化步驟,在該蝕刻後,藉由控制來自高頻電源之高頻電力的施加、與來自第2處理氣體供給源之灰化用處理氣體的供給而產生電漿,利用所產生的電漿,對於經蝕刻的該貼合基板進行該光阻圖案的灰化。
  7. 如申請專利範圍第5項之電漿蝕刻方法,其中,該基板呈圓板狀,並且於從該基板之外緣起第1既定寬度的區域,露出有該基體表面;且該蓋板構件具有環形狀,並且該蓋板構件的內徑係根據該基板的外徑及該第1既定寬度所設定。
  8. 如申請專利範圍第7項之電漿蝕刻方法,其中,該蓋板構件中,該蓋板構件的內徑係根據該基板的外徑、該第1既定寬度、及依該基板相對於該蓋板構件之相對位置之定位精度而定的第2既定寬度所設定。
TW101111133A 2011-03-29 2012-03-29 電漿蝕刻裝置及電漿蝕刻方法 TWI497588B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2011073191 2011-03-29

Publications (2)

Publication Number Publication Date
TW201304000A true TW201304000A (zh) 2013-01-16
TWI497588B TWI497588B (zh) 2015-08-21

Family

ID=46931292

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101111133A TWI497588B (zh) 2011-03-29 2012-03-29 電漿蝕刻裝置及電漿蝕刻方法

Country Status (5)

Country Link
US (2) US20140017900A1 (zh)
JP (1) JP6001529B2 (zh)
KR (1) KR101896491B1 (zh)
TW (1) TWI497588B (zh)
WO (1) WO2012133585A1 (zh)

Families Citing this family (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102037542B1 (ko) * 2012-01-17 2019-10-28 도쿄엘렉트론가부시키가이샤 기판 배치대 및 플라즈마 처리 장치
US9249014B2 (en) * 2012-11-06 2016-02-02 Infineon Technologies Austria Ag Packaged nano-structured component and method of making a packaged nano-structured component
JP2014204062A (ja) * 2013-04-09 2014-10-27 サムコ株式会社 プラズマエッチング方法
JP5934939B2 (ja) * 2013-05-09 2016-06-15 パナソニックIpマネジメント株式会社 プラズマ処理装置及びプラズマ処理方法
JP5962921B2 (ja) * 2013-05-09 2016-08-03 パナソニックIpマネジメント株式会社 プラズマ処理装置及びプラズマ処理方法
JP6083529B2 (ja) * 2013-09-02 2017-02-22 パナソニックIpマネジメント株式会社 プラズマ処理装置及びプラズマ処理方法
CN103943450B (zh) * 2013-12-24 2016-05-18 成都天马微电子有限公司 一种干刻设备的电极和干刻设备
US9410249B2 (en) * 2014-05-15 2016-08-09 Infineon Technologies Ag Wafer releasing
JP2016040800A (ja) * 2014-08-12 2016-03-24 アズビル株式会社 プラズマエッチング装置
US11195756B2 (en) 2014-09-19 2021-12-07 Applied Materials, Inc. Proximity contact cover ring for plasma dicing
GB201419210D0 (en) * 2014-10-29 2014-12-10 Spts Technologies Ltd Clamp assembly
WO2016083508A1 (de) * 2014-11-26 2016-06-02 Von Ardenne Gmbh Substrathaltevorrichtung, substrattransportvorrichtung, prozessieranordnung und verfahren zum prozessieren eines substrats
US10475688B2 (en) * 2015-02-18 2019-11-12 Sumitomo Osaka Cement Co., Ltd. Electrostatic chuck device, and semiconductor manufacturing device
WO2017131927A1 (en) * 2016-01-26 2017-08-03 Applied Materials, Inc. Wafer edge ring lifting solution
US10651015B2 (en) * 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US9947517B1 (en) 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
JP7055039B2 (ja) * 2017-03-22 2022-04-15 東京エレクトロン株式会社 基板処理装置
US11404249B2 (en) * 2017-03-22 2022-08-02 Tokyo Electron Limited Substrate processing apparatus
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
KR102617972B1 (ko) * 2017-11-21 2023-12-22 램 리써치 코포레이션 하단 링 및 중간 에지 링
KR102505152B1 (ko) * 2017-12-15 2023-02-28 램 리써치 코포레이션 플라즈마 챔버에서 사용하기 위한 링 구조체들 및 시스템들
US11043400B2 (en) 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
KR20200101833A (ko) * 2018-01-17 2020-08-28 에스피피 테크놀로지스 컴퍼니 리미티드 와이드 갭 반도체 기판, 와이드 갭 반도체 기판의 제조 장치 및 와이드 갭 반도체 기판의 제조 방법
JP6995008B2 (ja) * 2018-04-27 2022-01-14 東京エレクトロン株式会社 基板処理装置
US10790123B2 (en) 2018-05-28 2020-09-29 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
JP7045931B2 (ja) * 2018-05-30 2022-04-01 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
KR20230106754A (ko) * 2018-08-13 2023-07-13 램 리써치 코포레이션 에지 링 포지셔닝 및 센터링 피처들을 포함하는 플라즈마 시스 튜닝을 위한 교체가능한 에지 링 어셈블리 및/또는 접을 수 있는 에지 링 어셈블리
JP7037459B2 (ja) * 2018-09-10 2022-03-16 キオクシア株式会社 半導体製造装置および半導体装置の製造方法
US11106126B2 (en) * 2018-09-28 2021-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing EUV photo masks
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
WO2020121166A1 (en) * 2018-12-13 2020-06-18 Dh Technologies Development Pte. Ltd. Inception electrostatic linear ion trap
JP7224175B2 (ja) * 2018-12-26 2023-02-17 東京エレクトロン株式会社 成膜装置及び方法
US20200234928A1 (en) * 2019-01-17 2020-07-23 Applied Materials, Inc. Semiconductor plasma processing equipment with wafer edge plasma sheath tuning ability
WO2020214327A1 (en) 2019-04-19 2020-10-22 Applied Materials, Inc. Ring removal from processing chamber
CN112981372B (zh) * 2019-12-12 2024-02-13 Asm Ip私人控股有限公司 衬底支撑板、包括它的衬底处理设备以及衬底处理方法
JP7454976B2 (ja) * 2020-03-24 2024-03-25 東京エレクトロン株式会社 基板支持台、プラズマ処理システム及びエッジリングの交換方法
JP2023536154A (ja) * 2020-07-31 2023-08-23 ラム リサーチ コーポレーション 低傾斜トレンチエッチングのための薄いシャドウリング

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW357404B (en) * 1993-12-24 1999-05-01 Tokyo Electron Ltd Apparatus and method for processing of plasma
JP3267199B2 (ja) * 1996-07-11 2002-03-18 株式会社デンソー 半導体装置の製造方法
US6511543B1 (en) * 1997-12-23 2003-01-28 Unaxis Balzers Aktiengesellschaft Holding device
US6773562B1 (en) * 1998-02-20 2004-08-10 Applied Materials, Inc. Shadow frame for substrate processing
JP3234576B2 (ja) * 1998-10-30 2001-12-04 アプライド マテリアルズ インコーポレイテッド 半導体製造装置におけるウェハ支持装置
JP2000331913A (ja) 1999-05-20 2000-11-30 Sony Corp パターン形成方法及びこれを用いた半導体装置の製造方法
JP3769157B2 (ja) * 1999-11-15 2006-04-19 松下電器産業株式会社 ウェハのドライエッチング装置およびドライエッチング方法
US6589352B1 (en) * 1999-12-10 2003-07-08 Applied Materials, Inc. Self aligning non contact shadow ring process kit
US6503331B1 (en) * 2000-09-12 2003-01-07 Applied Materials, Inc. Tungsten chamber with stationary heater
TW200415681A (en) * 2002-10-17 2004-08-16 Matsushita Electric Ind Co Ltd Plasma processing apparatus
US6897128B2 (en) * 2002-11-20 2005-05-24 Matsushita Electric Industrial Co., Ltd. Method of manufacturing semiconductor device, plasma processing apparatus and plasma processing method
JP4482308B2 (ja) * 2002-11-26 2010-06-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP2006049461A (ja) * 2004-08-03 2006-02-16 Seiko Epson Corp ドライエッチング装置及び半導体装置の製造方法
US7964511B2 (en) * 2005-09-09 2011-06-21 Tokyo Electron Limited Plasma ashing method
JP2007220815A (ja) * 2006-02-15 2007-08-30 Matsushita Electric Ind Co Ltd プラズマ処理装置およびプラズマ処理方法
JP2009295636A (ja) 2008-06-02 2009-12-17 Nec Electronics Corp 半導体装置の製造方法
JP5264332B2 (ja) * 2008-07-09 2013-08-14 ラピスセミコンダクタ株式会社 接合ウエハ、その製造方法、及び半導体装置の製造方法
JP2010118549A (ja) 2008-11-13 2010-05-27 Tokyo Electron Ltd プラズマエッチング方法及びプラズマエッチング装置
JP5250445B2 (ja) * 2009-02-16 2013-07-31 Sppテクノロジーズ株式会社 プラズマ処理装置
US8252682B2 (en) * 2010-02-12 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for thinning a wafer
JP5784556B2 (ja) * 2012-07-20 2015-09-24 株式会社東芝 半導体装置およびその製造方法

Also Published As

Publication number Publication date
US10090161B2 (en) 2018-10-02
TWI497588B (zh) 2015-08-21
US20140017900A1 (en) 2014-01-16
WO2012133585A1 (ja) 2012-10-04
KR20140016907A (ko) 2014-02-10
JPWO2012133585A1 (ja) 2014-07-28
US20170133234A1 (en) 2017-05-11
KR101896491B1 (ko) 2018-09-07
JP6001529B2 (ja) 2016-10-05

Similar Documents

Publication Publication Date Title
TWI497588B (zh) 電漿蝕刻裝置及電漿蝕刻方法
TWI571929B (zh) 基板載置台及電漿處理裝置
US8975188B2 (en) Plasma etching method
TWI469211B (zh) 矽結構之製造及藉由輪廓控制之矽深蝕刻
US7432172B2 (en) Plasma etching method
TWI545646B (zh) 臨界尺寸偏差降低之含矽抗反射塗布層之蝕刻方法
JP4914830B2 (ja) 有機材料の均一な除去を提供するための方法
TWI401741B (zh) Plasma etching method
US9048191B2 (en) Plasma etching method
US20090221148A1 (en) Plasma etching method, plasma etching apparatus and computer-readable storage medium
JP2010205967A (ja) プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体
KR20140016920A (ko) 질화규소막 에칭 방법
TWI692029B (zh) 電漿處理方法
JP2007194284A (ja) プラズマ処理方法、プラズマ処理装置、及び記憶媒体
JP2014090192A (ja) 通常の低k誘電性材料および/または多孔質の低k誘電性材料の存在下でのレジスト剥離のための方法
KR101540816B1 (ko) 플라즈마 에칭 방법, 컴퓨터 기억 매체 및 플라즈마 에칭 장치
JP4451934B2 (ja) 導電層をエッチングする方法及び集積回路
JP3808902B2 (ja) プラズマエッチング方法
US20070163995A1 (en) Plasma processing method, apparatus and storage medium
JP4645167B2 (ja) フォーカスリング、プラズマエッチング装置及びプラズマエッチング方法。
JP6096438B2 (ja) プラズマエッチング方法及びプラズマエッチング装置
WO1999059198A1 (fr) Procede d&#39;attaque au plasma
WO2022249964A1 (ja) クリーニング方法およびプラズマ処理方法
KR20230147642A (ko) 기판 처리 방법 및 기판 처리 장치
KR20030068324A (ko) 반도체 장치의 제조 방법