KR20140016920A - 질화규소막 에칭 방법 - Google Patents

질화규소막 에칭 방법 Download PDF

Info

Publication number
KR20140016920A
KR20140016920A KR1020137026122A KR20137026122A KR20140016920A KR 20140016920 A KR20140016920 A KR 20140016920A KR 1020137026122 A KR1020137026122 A KR 1020137026122A KR 20137026122 A KR20137026122 A KR 20137026122A KR 20140016920 A KR20140016920 A KR 20140016920A
Authority
KR
South Korea
Prior art keywords
gas
plasma
film
substrate
sin
Prior art date
Application number
KR1020137026122A
Other languages
English (en)
Other versions
KR102023784B1 (ko
Inventor
데츠야 니시즈카
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20140016920A publication Critical patent/KR20140016920A/ko
Application granted granted Critical
Publication of KR102023784B1 publication Critical patent/KR102023784B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명은, 마스크 패턴으로 피복된 질화규소(SiN)막에 피처를 플라즈마 에칭하기 위한 처리 방법을 제공한다. 이 처리 방법은, SiN막과 이 SiN막 상의 마스크 패턴을 포함하는 필름 스택을 기판 상에 마련하는 공정과, 탄소-불소-함유 가스, O2 가스 및 선택적으로 HBr 가스를 포함하는 제1 플라즈마에 상기 필름 스택을 노출시키고, 탄소-불소-함유 가스, O2 가스, 규소-불소-함유 가스 및 선택적으로 HBr 가스를 포함하는 제2 플라즈마에 상기 필름 스택을 노출시킴으로써, 상기 마스크 패턴을 상기 SiN막에 전사하는 공정을 포함한다.

Description

질화규소막 에칭 방법{METHOD OF ETCHING SILICON NITRIDE FILMS}
본 출원은 2011년 3월 4일 출원된 미국 가특허 출원 제61/449,560호를 우선권으로 주장하며, 이 특허 출원의 전체 내용은 본 명세서에 참조로 인용되어 있다.
본 발명은 반도체 디바이스를 제조하는 방법에 관한 것이며, 보다 구체적으로는 패터닝된 마스크를 이용하여 질화규소(SiN)막을 플라즈마 에칭하는 방법에 관한 것이다.
다수의 반도체 제조 방법은, 차후에 디바이스의 구성 요소/피처(예컨대, 트랜지스터, 커패시터, 도전성 라인, 비아 등)를 웨이퍼 상에 형성하기 위해, 특정 영역에서 웨이퍼 상의 재료를 제거하는 에칭 프로세스를 행하는 데, 플라즈마를 이용한다. 이러한 제조 방법들은, 에칭 프로세스로부터 보호되어야 되는 웨이퍼의 영역 상에 형성되는 마스크 패턴을 이용한다.
장시간의 플라즈마 노출을 필요로 하는 깊은 피처를 에칭하는 동안에, 마스크 패턴은 웨이퍼 표면으로부터 완전히 제거될 수 있고, 이에 의해 표면은 보호받지 못하는 상태에 놓이게 된다. 따라서, 웨이퍼에 깊은 피처를 에칭하는 것은, 마스크 패턴의 재료와 에칭 대상 재료 사이의 에칭 선택도에 의해 제한될 수 있는데, 이 경우 에칭 선택도가 클수록, 보다 깊은 피처를 에칭할 수 있다. 또한, 깊은 피처의 에칭에는, 일반적으로 직선형의 피처 측벽과, 피처의 바닥에서의 재료에 대하여 높은 에칭 선택도가 요구된다.
질화규소(SiN)막은 마이크로 제조 프로세스에서 유전체 및 마스크 재료로서 널리 사용되고 있다. 반도체 처리에서는 대개, Si 웨이퍼 기판 상의 비교적 두꺼운 SiN막의 층에, 또는 Si 웨이퍼 기판 상에 지지되어 있는 비교적 얇은 이산화규소(SiO2)의 층에, 피처를 에칭하는 것이 수반되는데, 이 에칭에서 하지(下地) SiO2막 또는 Si 기판에서 발생되는 손상을 감소 혹은 방지하기 위해서는, Si와 SiO2 모두에 대하여 SiN 에칭의 선택도가 높은 것이 강하게 요망된다.
에칭 프로세스가 완료되기까지 마스크 패턴의 충분한 부분이 남아서 웨이퍼에 있어서 보호되어야 하는 영역을 덮고, 하지 기판 재료가 에칭되거나 손상되지 않도록, 직선형 측벽을 가진 깊은 SiN 피처의 에칭 과정에서 선택도를 증대시키기 위한 새로운 방법이 필요하다. 또한, 마스크층 및 SiN 측벽의 측방 에칭은 에칭된 SiN 피처의 폭을 허용 한계를 넘지 않게 감소시킬 수 있다.
본 발명의 실시형태는, 마스크 패턴으로 피복된 SiN막에 피처를 플라즈마 에칭하기 위한 처리 방법을 제공한다. 이 처리 방법은 직선 측벽을 가진 깊은 SiN 피처를 제공하고, 마스크 패턴 및 하지 재료에 대하여 양호한 에칭 선택도를 제공한다.
본 발명의 일 실시형태에 따르면, 이 처리 방법은, 질화규소(SiN)막과 이 SiN막 상의 마스크 패턴을 포함하는 필름 스택을 기판 상에 마련하는 공정과, 탄소-불소-함유 가스, O2 가스 및 선택적으로 HBr 가스를 포함하는 제1 프로세스 가스로부터 제1 플라즈마를 형성하는 공정, 그리고 상기 필름 스택을 상기 제1 플라즈마에 노출시킴으로써 메인 에칭(ME) 단계를 수행하는 공정을 포함한다. 상기 처리 방법은, 탄소-불소-함유 가스, O2 가스, 규소-불소-함유 가스 및 선택적으로 HBr 가스를 포함하는 제2 프로세스 가스로부터 제2 플라즈마를 형성하는 공정과, 상기 필름 스택을 상기 제2 플라즈마에 노출시킴으로써 오버 에칭(OE) 단계를 수행하는 공정을 더 포함한다. 일 실시형태에 따르면, 상기 처리 방법은, 제1 플라즈마에 대한 노출 동안에는 기판 홀더에 제1 펄스형 RF 바이어스 파워를 인가하는 공정과, 제2 플라즈마에 대한 노출 동안에는 기판 홀더에 제2 펄스형 RF 바이어스 파워를 인가하는 공정을 더 포함하며, 상기 제1 펄스형 RF 바이어스 파워는 기판 홀더에 인가된 상기 제2 펄스형 RF 바이어스 파워보다 크다.
본 발명의 다른 실시형태에 따르면, 상기 처리 방법은, 질화규소(SiN)막과 이 SiN막 상의 마스크 패턴을 포함하는 필름 스택을 기판 상에 마련하는 공정과, 불화탄소 가스, O2 가스 및 HBr 가스를 포함하는 제1 프로세스 가스로부터 제1 플라즈마를 형성하는 공정, 그리고 상기 필름 스택을 상기 제1 플라즈마에 노출시킴으로써 메인 에칭(ME) 단계를 수행하는 공정을 포함한다. 상기 기판 처리 방법은, 불화탄소 가스, O2 가스, HBr 가스 및 규소-불소-함유 가스를 포함하는 제2 프로세스 가스로부터 제2 플라즈마를 형성하는 공정과, 상기 필름 스택을 상기 제2 플라즈마에 노출시킴으로써 오버 에칭(OE) 단계를 수행하는 공정을 더 포함한다. 일례에서, 제1 프로세스 가스는 CF4 가스, HBr 가스, O2 가스 및 Ar 가스를 포함하고, 제2 프로세스 가스는 CF4 가스, HBr 가스, O2 가스, Ar 가스 및 SiF4 가스를 포함한다.
본 발명의 또 다른 실시형태에 따르면, 상기 처리 방법은, 질화규소(SiN)막과 이 SiN막 상의 마스크 패턴을 포함하는 필름 스택을 기판 상에 마련하는 공정과, 수소화불화탄소 가스 및 O2 가스를 포함하는 제1 프로세스 가스로부터 제1 플라즈마를 형성하는 공정, 그리고 상기 필름 스택을 상기 제1 플라즈마에 노출시킴으로써 메인 에칭(ME) 단계를 수행하는 공정을 포함한다. 상기 기판 처리 방법은, 수소화불화탄소 가스, O2 가스 및 규소-불소-함유 가스를 포함하는 제2 프로세스 가스로부터 제2 플라즈마를 형성하는 공정과, 상기 필름 스택을 상기 제2 플라즈마에 노출시킴으로써 오버 에칭(OE) 단계를 수행하는 공정을 더 포함한다. 일례에서, 제1 프로세스 가스는 CH3F 가스, O2 가스 및 Ar 가스를 포함하고, 제2 프로세스 가스는 CH3F 가스, O2 가스 및 SiF4 가스를 포함한다.
도 1의 (a)~(c)는 본 발명의 일 실시형태에 따라 기판 상의 SiN막에 마스크 패턴을 전사하는 것을 보여준다.
도 1의 (d)는 SiN막 상의 마스크 패턴을 포함하는 필름 스택을 플라즈마 에칭하는 과정에서 측방 에칭의 결과를 보여준다.
도 2는 본 발명의 실시형태에 따라 플라즈마 에칭 중에 기판을 지지하는 기판 홀더에 대한 RF 바이어스 파워를 펄스화하는 것을 개략적으로 보여준다.
도 3a 및 도 3b는 본 발명의 실시형태에 따라 플라즈마 에칭 중에 기판을 지지하는 기판 홀더에 대한 RF 바이어스 파워를 펄스화하는 것의 결과를 개략적으로 보여준다.
도 4는 본 발명의 일 실시형태에 따라 SiN 패턴 에칭용 레이디얼 라인 슬롯 안테나(RLSA) 플라즈마 소스를 포함하는 플라즈마 처리 시스템의 개략도이다.
도 5는 본 발명의 일 실시형태에 따라 기판 상의 SiN막에 마스크 패턴을 전사하는 방법의 흐름도이다.
본 발명의 예시적인 실시형태를 도시하는 첨부 도면을 참조하여, 본 발명의 실시형태를 설명한다. 이하의 설명은 본 개시 내용의 범위, 적용성, 또는 구성을 한정하려는 것이 아니다. 오히려, 몇몇 예시적인 실시형태에 대한 이하의 설명은, 본 발명의 바람직한 예시적인 실시형태를 실시할 수 있게 하는 설명을 당업자에게 제공한다. 본 발명의 실시형태는 첨부된 청구범위에 명기된 본 발명의 정신 및 범위를 벗어나지 않는 다양한 형태로 실시될 수 있다는 점을 주목해야 할 필요가 있다.
본 발명의 실시형태는, 직선형 측벽 프로파일과, SiN 에칭 피처의 바닥에서의 재료 및 위에 덮여 있는 마스크 패턴에 대하여 높은 SiN의 에칭 선택도를 갖는 SiN 에칭 피처(예컨대, 트렌치)를 제공하는, SiN 플라즈마 에칭 프로세스에 관한 것이다. 몇몇 실시형태에서는, SiO2, SiON, 또는 이들의 조합을 포함하는 마스크 패턴을 이용하여, SiN 에칭 피처를 형성한다. 몇몇 실시형태에서는, SiN 에칭 피처의 바닥에서의 재료는 SiO2, Si, 또는 이들의 조합을 포함한다. 본 발명의 실시형태에 따르면, 질화규소(SiN)막과 이 SiN막 상의 마스크 패턴을 포함하는 필름 스택을 기판 상에 마련한다. 직선형 측벽 프로파일을 갖는 SiN 에칭 피처는, 탄소-불소-함유 가스, O2 가스 및 선택적으로 HBr 가스를 포함하는 제1 프로세스 가스로부터 제1 플라즈마를 형성하고, 상기 필름 스택을 상기 제1 플라즈마에 노출시킴으로써 메인 에칭(ME) 단계를 수행하며, 탄소-불소-함유 가스, O2 가스, 규소-불소-함유 가스 및 선택적으로 HBr 가스를 포함하는 제2 프로세스 가스로부터 제2 플라즈마를 형성하고, 상기 필름 스택을 상기 제2 플라즈마에 노출시킴으로써 오버 에칭(OE) 단계를 수행함으로써 얻어진다.
도 1의 (a)는 본 발명의 일 실시형태에 따라 기판 상의 SiN막 상에 형성된 마스크 패턴을 보여준다. 필름 스택(100)은, SiN막(102)을 노출시키는 마스크 구멍(104)을 갖는 마스크 패턴(103)과, SiN막(102)의 아래에 있는 기판(101)을 포함한다. 마스크 패턴(103)은 예컨대 SiO2, SiON, 또는 이들의 조합을 포함할 수 있다. 마스크 패턴(103)은 선폭 또는 임계 치수(CD)(111)를 가질 수 있고, 예컨대 포토레지스트(PR)와, 실리콘 함유 반사 방지 코팅(Si-ARC) 및 유기 유전체층(ODL)으로부터 선택된 하나 이상의 층을 이용하여, 종래의 리소그래피 및 에칭 방법에 의해 형성될 수 있다. 몇몇 예에서는, 마스크 패턴(103)은 100 ㎚ 미만, 50 ㎚ 미만, 또는 40 ㎚ 미만의 CD(111)를 가질 수 있다.
플라즈마 에칭 처리는, 도 1의 (a)~(d)에 도시된 바와 같이, 미세한 피처를 갖는 복수의 인접 구조를 에칭하기에 특히 유용할 수 있지만, 피처 사이즈 및 간격에 대한 요구가 보다 엄격해짐에 따라, 플라즈마 에칭 프로세스의 한계는 보다 분명해지고 있다. 플라즈마 에칭의 한 가지 공통적인 한계는, 동일 기판 상의 여러 반도체 구조 사이의 간격이 가변적인 집적 회로(IC)의 제조에 관한 것이다. 예를 들어, 에칭률은 패턴 밀도에 대한 의존성, 즉 "마이크로-로딩"이라 하는 현상을 나타낼 수 있다. 치수가 매우 작고 특히 종횡비가 높은 영역에서, 고밀도(즉, 피처 사이의 간격이 보다 좁게)로 패터닝된 재료의 에칭 속도는, 저밀도(즉, 피처 사이의 간격이 보다 크게)로 패터닝된 동일 재료의 에칭 속도보다 느릴 수 있다. 따라서, 동일 기판 상의 여러 구조를 모두 완전히 에칭하기 위해서는 오버-에칭(OE)이 필요할 수 있으며, 즉 먼저 완전히 에칭된 영역은, 완전히 에칭되지 않은 영역에서의 에칭 프로세가 완료되기까지의 사이에, 에칭 프로세스에 계속 노출된다. 일부 경우에서는, OE 단계가 하지 재료에 대하여 양호한 선택도를 보이지 못하고 피처의 측방 에칭이 방지 또는 최소화되지 않는다면, 그 결과로 형성되는 반도체 구조에 OE 단계가 유해한 영향을 미칠 수 있다. 마스크 패턴으로 덮인 SiN막을 플라즈마 에칭하는 경우에, 기판 및 마스크 패턴에 대한 SiN막의 높은 에칭 선택도는, 마이크로-로딩 효과를 현저히 감소시킨다.
본 발명의 실시형태에 따르면, 직선형 측벽 프로파일(106)과, SiN 에칭 피처(105)의 바닥에서의 재료 및 마스크 패턴(103)에 대한 SiN막(102)의 높은 에칭 선택도를 갖는 SiN 에칭 피처(105)(예컨대, 트렌치)를 형성하도록, 필름 스택(100)을 플라즈마 에칭한다. 도 1의 (b)는 메인 에칭(ME) 단계에서 높은 에칭률로 마스크 패턴(103)을 SiN막(102)에 전사하여, SiN 패턴(107) 및 SiN 에칭 피처(105)를 형성하는 것을 개략적으로 보여준다. ME 단계 이후에, 부분적으로 패터닝된 필름 스택(110)은 SiN막(102)에 있어서 에칭되지 않은 부분(102a)을 포함한다. 본 발명의 실시형태에 따르면, ME 단계는 탄소-불소-함유 가스, O2 가스 및 선택적으로 HBr 가스를 포함하는 제1 프로세스 가스를 이용한다. 수소화불화탄소 가스는 CHF3, CH2F2, CH3F, 또는 이들의 조합을 포함하거나 또는 이로 구성될 수 있다. 탄소-불소-함유 가스는 CF4를 포함하거나 또는 이로 구성될 수 있다. 몇몇 예에서는, ME 단계 동안에, 프로세스 챔버 압력이 약 30 mTorr 내지 약 200 mTorr, 또는 약 50 mTorr 내지 약 150 mTorr이거나, 예컨대 70 mTorr일 수 있다.
본 발명의 일 실시형태에 따르면, ME 단계는, 필름 스택(100)을 포함하는 기판(101)을 지지하는 기판 홀더에 인가되는 제1 펄스형 RF 바이어스 파워를 이용하여 행해진다. 제1 펄스형 RF 바이어스 파워를 사용함으로써, SiN 에칭 피처(105)에 직선형 SiN 측벽(106)을 제공하고, 마스크 패턴에 대하여 SiN막(102)의 높은 에칭 선택도를 제공하는 데 기여할 수 있다.
ME 단계 이후에는, 에칭률이 ME 단계보다 낮고, 탄소-불소-함유 가스, O2 가스, 규소-불소-함유 가스 및 선택적으로 HBr 가스를 포함하는 제2 프로세스 가스를 이용하는 것을 특징으로 하는 오버 에칭(OE) 단계가 실시된다. 상기 탄소-불소-함유 가스는 불화탄소 가스, 수소화불화탄소 가스, 또는 불화탄소 가스와 수소화불화탄소 가스 양자 모두를 포함할 수 있다. 수소화불화탄소 가스는 CHF3, CH2F2, CH3F, 또는 이들의 조합을 포함하거나 또는 이로 구성될 수 있다. 불화탄소 가스는 CF4를 포함하거나 또는 이로 구성될 수 있다. 규소-불소-함유 가스는 SiF4, SiHF3, SiH2F2, SiH3F, 또는 이들의 조합을 포함할 수 있다. 본 발명의 몇몇 실시형태에 따르면, 제1 프로세스 가스와 제2 프로세스 가스는 동일한 탄소-불소-함유 가스를 포함하지만, 제1 프로세스 가스와 제2 프로세스 가스는 서로 다른 탄소-불소-함유 가스를 포함할 수 있으므로, 이는 필수적인 것은 아니다. 마찬가지로, 제1 프로세스 가스와 제2 프로세스 가스는 동일한 규소-불소-함유 가스를 포함하지만, 제1 프로세스 가스와 제2 프로세스 가스는 서로 다른 규소-불소-함유 가스를 포함할 수 있으므로, 이는 필수적인 것은 아니다.
일례에서, ME 단계 동안에는 Ar/CF4/O2/HBr 프로세스 가스를 사용할 수 있고, OE 단계 동안에는 Ar/CF4/O2/HBr/SiF4 프로세스 가스를 사용할 수 있다. 본 발명자는, CF4 가스를 이용하는 경우, 에칭 프로세스에 유익한 수소(H)를 플라즈마 환경에 제공하기 위해 HBr 가스를 첨가할 수 있다는 것을 확인하였다. 이와는 대조적으로, 다른 예에서, ME 단계 동안에는 Ar/CH3F/O2 프로세스 가스를 사용할 수 있고, OE 단계 동안에는 Ar/CH3F/O2/SiF4 프로세스 가스를 사용할 수 있다. 이 예에서는, CH3F가 플라즈마 환경에 H를 제공하며, HBr이 불필요해질 수 있다. 또한, 이는 그 밖의 수소화불화탄소 가스에도 적용된다. 그러나, 몇몇 예에서, HBr은 ME 단계에서는 Ar/CH3F/O2 또는 Ar/CH3F/CF4/O2와 함께 사용될 수 있고, OE 단계에서는 Ar/CH3F/O2/SiF4 또는 Ar/CH3F/CF4/O2/SiF4와 함께 사용될 수 있다.
몇몇 예에서는, OE 단계 동안에, 프로세스 챔버 압력이 약 10 mTorr 내지 약 200 mTorr, 또는 약 30 mTorr 내지 약 100 mTorr일 수 있다. OE 단계는, 필요로 하는 SiN 에칭 피처(105)의 바닥에서의 기판(101)의 재료 및 마스크 패턴(103)에 대한 SiN막(102)의 에칭 선택도를 제공하기 위해, 제2 펄스형 RF 바이어스 파워를 또한 이용할 수 있다. 본 발명의 몇몇 실시형태에 따르면, OE 단계에서의 제2 펄스형 RF 바이어스 파워는, ME 단계에서의 제1 펄스형 RF 바이어스 파워보다 낮을 수 있다. OE 단계는, SiN막(102)의 에칭되지 않은 부분(102a)을 제거하는 기간과, SiN 에칭 피처(105)에 있어서 SiN막(102)의 에칭되지 않은 부분(102a)의 완전 제거를 전체 기판에 걸쳐 보장하면서 기판(101)의 표면(101a) 상에서 정지되는 추가적인 기간 동안에 행해질 수 있다. 도 1의 (c)는 전체 SiN막(102)에 걸쳐 연장되며 표면(101a) 상에서 정지되는 SiN 에칭 피처(105)를 포함하는 OE 단계 이후의 완전히 패터닝된 필름 스택(115)을 개략적으로 보여준다. 몇몇 실시형태에 따르면, SiN 패턴(107)은 그 종횡비(높이/폭)가 1 내지 5, 또는 2 내지 4일 수 있다.
전술한 바와 같이, 마스크 패턴(103)에 대한 SiN막(102)의 에칭 선택도를 향상시키기 위해, ME 단계, OE 단계, 또는 ME 단계 및 OE 단계 모두는, 기판(101)을 지지하는 기판 홀더에 인가된 RF 바이어스 파워를 선택적으로 펄스화함으로써 행해질 수 있다. RF 바이어스 파워를 펄스화함으로써 관찰되는 마스크 패턴(103)에 대한 SiN막(102)의 에칭 선택도의 향상은, RF 바이어스 파워의 펄스화에 있어서의 OFF 기간 동안에 이루어지는 마스크 패턴 보호에 기인하는 것으로 고려된다.
ME 단계 동안에, 에칭되는 SiN막(102)으로부터의 Si가, SiF 부산물을 형성한 후, 마스크 패턴(103) 및 SiN 측벽(106)을 비롯하여 필름 스택(110) 상에 증착되는 SiOF종을 형성한다. 이와 같이 증착된 SiOF종은 마스크 패턴(103) 및 SiN 측벽(106)을 측방 에칭으로부터 보호한다. 그러나, SiN막(102)에의 패턴 전사의 완료 시에 또는 이에 가까운 시점에는, 보다 적은 SiN으로부터의 Si가, SiF 부산물 및 SiOF종의 형성에 이용 가능하다. 이는 마스크 패턴(103) 및 SiN 측벽(106)의 보호의 감소로 이어져, 마스크 패턴(103) 및 SiN 측벽(106)의 측방 에칭이 증대되는 결과를 초래한다. 그 결과, 도 1의 (d)에 개략적으로 도시된 바와 같이, 폭이 줄어든 SiN 에칭 피처(107')와 마스크 패턴(103')을 포함하는 필름 스택(125)에서, 용납될 수 없는 CD의 감소가 종종 관찰된다.
본 발명의 실시형태는, OE 단계에서 규소-불소-함유 가스의 형태로 Si를 프로세스 가스에 첨가함으로써, SiN막(102)에의 패턴 전사의 완료 시에 또는 이에 가까운 시점에 SiN막(102)으로부터 구할 수 있는 Si의 양이 줄어드는 문제를 해결한다. 이러한 Si 첨가에 의해, 플라즈마에서의 SiOF종의 형성이 증대되고, 마스크 패턴(103) 및 SiN 측벽(106)을 측방 에칭으로부터 보다 잘 보호할 수 있게 된다. 그 결과, CD의 감소가 방지 또는 최소화된다. 본 발명의 몇몇 실시형태에 따르면, ME 단계에도 규소-불소-함유 가스가 첨가될 수 있지만, 통상적으로 SiN 에칭 동안에는 마스크 및 측벽을 보호하는 Si가 많이 공급되므로, 이러한 규소-불소-함유 가스 첨가는 대개 불필요하다.
도 2는 본 발명의 실시형태에 따라 플라즈마 에칭 중에 기판에 대한 RF 바이어스 파워를 펄스화하는 것을 개략적으로 보여준다. ME 단계 동안에 기판을 지지하는 기판 홀더에 인가된 RF 바이어스 파워는, 기간 T1(ON 기간) 동안에는 RF 바이어스 파워 P2로 유지되고, 그 후에, 상기 RF 바이어스 파워는 기간 T2(저 바이어스 파워 또는 OFF 기간) 동안에 RF 바이어스 파워 P0로 유지되는데, 여기서 상기 RF 바이어스 파워 P2는 상기 RF 바이어스 파워 P0보다 크다. 본 발명의 몇몇 실시형태에 따르면, 상기 RF 바이어스 파워 P2는 100 W 이상, 예컨대 110 W, 120 W, 130 W, 140 W, 150 W, 160 W, 또는 그 이상일 수 있다. 상기 RF 바이어스 파워 P0는 0 W 이상, 예컨대 10 W, 20 W, 30 W, 40 W, 50 W, 또는 그 이상일 수 있다. 본 발명의 몇몇 실시형태에 따르면, 상기 기간 T1은 상기 기간 T2보다 클 수 있다. 다시 말하자면, 듀티 사이클(T1/T2+T2)는 0.5(50%)보다 클 수 있고, 예컨대 0.6(60%)보다, 0.7(70%)보다, 0.8(80%)보다, 또는 더 나아가 0.9(90%)보다 클 수 있다. 다른 실시형태에서, 상기 기간 T2는 상기 기간 T1과 같거나 혹은 그보다 더 클 수 있다. 상기 RF 바이어스 파워 P2의 펄스화 주파수는 1 Hz보다 클 수 있고, 예컨대 2Hz, 4Hz, 6Hz, 8Hz, 10Hz, 20Hz, 30Hz, 50Hz, 또는 그 이상일 수 있다. 도 2에는 ME 단계 동안에 펄스형 RF 바이어스 파워의 3개의 펄스 사이클만이 도시되어 있지만, 당업자라면 통상의 ME 단계가 다수의 펄스를 포함할 것이라는 것을 쉽게 인식할 것이다. 예를 들어, 10 Hz의 펄스 주파수를 이용한 400초의 ME 단계의 경우에는, 펄스형 RF 바이어스 파워에 4,000 펄스가 포함된다.
도 2를 계속 참조해 보면, OE 단계 동안에 기판을 지지하는 기판 홀더에 인가된 RF 바이어스 파워는, 기간 T3(ON 기간) 동안에는 RF 바이어스 파워 P1로 유지되고, 그 후에, 상기 RF 바이어스 파워는 기간 T4(저 바이어스 파워 또는 OFF 기간) 동안에 RF 바이어스 파워 P0로 유지되는데, 여기서 상기 RF 바이어스 파워 P1은 상기 RF 바이어스 파워 P0보다 크다. 본 발명의 몇몇 실시형태에 따르면, 상기 RF 바이어스 파워 P1은 상기 RF 바이어스 파워 P2보다 낮을 수 있고, 100 W 미만, 예컨대 90 W, 80 W, 70 W, 60 W, 40 W, 30 W, 또는 더 낮을 수 있다. 상기 RF 바이어스 파워 P0는 0 W 이상, 예컨대 10 W, 20 W, 30 W, 40 W, 50 W, 또는 그 이상일 수 있다. 본 발명의 몇몇 실시형태에 따르면, 상기 기간 T3은 상기 기간 T4보다 클 수 있다. 다시 말하자면, 듀티 사이클(T3/T3+T4)는 0.5(50%)보다 클 수 있고, 예컨대 0.6(60%)보다, 0.7(70%)보다, 0.8(80%)보다, 또는 더 나아가 0.9(90%)보다 클 수 있다. 몇몇 예에서는, OE 단계에서 사용된 듀티 사이클이 ME 단계에서 사용된 듀티 사이클보다 적을 수 있다. 상기 RF 바이어스 파워 P1의 펄스화 주파수는 1 Hz보다 클 수 있고, 예컨대 2Hz, 4Hz, 6Hz, 8Hz, 10Hz, 20Hz, 30Hz, 50Hz, 또는 그 이상일 수 있다. 도 2에는 OE 단계 동안에 펄스형 RF 바이어스 파워의 3개의 펄스 사이클만이 도시되어 있지만, 당업자라면 통상의 OE 단계가 다수의 펄스를 포함할 수 있다는 것을 쉽게 인식할 것이다.
또한, 외부의 마이크로파 발생기로부터 공급되는 플라즈마 발생 파워는 OE 단계 동안보다는 ME 단계 동안에 클 수 있으므로, 프로세스 챔버 내에서의 플라즈마 밀도는 OE 단계 동안보다는 ME 단계 동안에 클 수 있다. 예를 들어, ME 단계 동안에 인가된 플라즈마 발생 마이크로파 파워는 2000 W 내지 3000 W, 예컨대 3000 W일 수 있고, OE 단계 동안에 인가된 플라즈마 발생 마이크로파 파워는 1000 W 내지 2000 W, 예컨대 1800 W일 수 있다. 일례에서, ME 단계 동안에 인가된 플라즈마 발생 마이크로파 파워는 2000 W 내지 3000 W일 수 있고, RF 바이어스 파워는 100 W 이상일 수 있다. 일례에서, OE 단계 동안에 인가된 플라즈마 발생 마이크로파 파워는 1000 W 내지 2000 W일 수 있고, RF 바이어스 파워는 100 W 미만일 수 있다. 몇몇 예에서, ME 단계 동안의 프로세스 챔버 압력은 OE 단계 동안의 프로세스 챔버 압력보다는 높을 수 있다. 예를 들어, ME 단계 동안의 프로세스 챔버 압력은 약 30 mTorr 내지 약 200 mTorr일 수 있고, OE 단계 동안의 프로세스 챔버 압력은 약 10 mTorr 내지 약 150 mTorr일 수 있다. ME 단계에서의 에칭 시간은, SiN막의 두께에 좌우된다. 몇몇 예에서, ME 단계에서의 에칭 시간은 1분 내지 10분일 수 있고, OE 단계에서의 에칭 시간은 10초 내지 2분일 수 있다.
표 1 및 표 2는 본 발명의 실시형태에 따른 ME 및 OE에서의 예시적인 플라즈마 에칭 조건을 보여준다.
ME 및 OE 단계에서의 예시적인 플라즈마 에칭 조건
단계 P
(mTorr)
Power Top/Bot
(W/W)
Ar
(sccm)
CF4
(sccm)
O2
(sccm)
HBr
(sccm)
SiF4
(sccm)
ME 70 3000/150 200 100 50 1000 0
OE 100 1500/80 107 50 125 450 5~20
ME 단계는 Ar/CF4/O2/HBr 프로세스 가스를 사용하고, OE 단계는 Ar/CF4/O2/HBr/SiF4 프로세스 가스를 사용한다. Power Top/Bot는 RLSA 마이크로파 파워(Top)와 기판을 지지하는 기판 홀더에 인가된 펄스화되지 않은 RF 바이어스 파워(Bot)에 관한 것이다.
ME 및 OE 단계에서의 예시적인 플라즈마 에칭 조건
단계 P
(mTorr)
Power Top/Bot
(W/W)
Ar
(sccm)
CH3F
(sccm)
O2
(sccm)
SiF4
(sccm)
ME 70 3000/150 200 100 50 0
OE 100 100 1000 20 13 5~20
ME 단계는 Ar/CH3F/O2 프로세스 가스를 사용하고, OE 단계는 Ar/CH3F/O2/SiF4 프로세스 가스를 사용한다.
일 실시형태에 따르면, 기판 처리 방법은, 질화규소(SiN)막과 이 SiN막 상의 마스크 패턴을 포함하는 필름 스택을 기판 상에 마련하는 공정과, 탄소-불소-함유 가스 및 O2 가스를 포함하는 제1 프로세스 가스로부터 제1 플라즈마를 형성하는 공정, 그리고 상기 필름 스택을 상기 제1 플라즈마에 노출시킴으로써 메인 에칭(ME) 단계를 수행하는 공정을 포함한다. 상기 기판 처리 방법은, 탄소-불소-함유 가스, O2 가스 및 규소-불소-함유 가스를 포함하는 제2 프로세스 가스로부터 제2 플라즈마를 형성하는 공정과, 상기 필름 스택을 상기 제2 플라즈마에 노출시킴으로써 오버 에칭(OE) 단계를 수행하는 공정을 더 포함한다.
다른 실시형태에 따르면, 기판 처리 방법은, 질화규소(SiN)막과 이 SiN막 상의 마스크 패턴을 포함하는 필름 스택을 기판 상에 마련하는 공정과, 불화탄소 가스, O2 가스 및 HBr 가스를 포함하는 제1 프로세스 가스로부터 제1 플라즈마를 형성하는 공정, 그리고 상기 필름 스택을 상기 제1 플라즈마에 노출시킴으로써 메인 에칭(ME) 단계를 수행하는 공정을 포함한다. 상기 기판 처리 방법은, 불화탄소 가스, O2 가스, HBr 가스 및 규소-불소-함유 가스를 포함하는 제2 프로세스 가스로부터 제2 플라즈마를 형성하는 공정과, 상기 필름 스택을 상기 제2 플라즈마에 노출시킴으로써 오버 에칭(OE) 단계를 수행하는 공정을 더 포함한다. 일례에서, 제1 프로세스 가스는 CF4 가스, HBr 가스, O2 가스 및 Ar 가스를 포함하고, 제2 프로세스 가스는 CF4 가스, HBr 가스, O2 가스, Ar 가스 및 SiF4 가스를 포함한다.
또 다른 실시형태에 따르면, 기판 처리 방법은, 질화규소(SiN)막과 이 SiN막 상의 마스크 패턴을 포함하는 필름 스택을 기판 상에 마련하는 공정과, 수소화불화탄소 가스 및 O2 가스를 포함하는 제1 프로세스 가스로부터 제1 플라즈마를 형성하는 공정, 그리고 상기 필름 스택을 상기 제1 플라즈마에 노출시킴으로써 메인 에칭(ME) 단계를 수행하는 공정을 포함한다. 상기 기판 처리 방법은, 수소화불화탄소 가스, O2 가스 및 규소-불소-함유 가스를 포함하는 제2 프로세스 가스로부터 제2 플라즈마를 형성하는 공정과, 상기 필름 스택을 상기 제2 플라즈마에 노출시킴으로써 오버 에칭(OE) 단계를 수행하는 공정을 더 포함한다. 일례에서, 제1 프로세스 가스는 CH3F 가스, O2 가스 및 Ar 가스를 포함하고, 제2 프로세스 가스는 CH3F 가스, O2 가스 및 SiF4 가스를 포함한다.
도 3a 및 도 3b는 본 발명의 실시형태에 따라 플라즈마 에칭 중에 기판에 대한 RF 바이어스 파워를 펄스화하는 것의 결과를 개략적으로 보여준다. 도 3a는 마스크 패턴(303)을 SiN막(302)에 전사하는 동안에 RF 바이어스 파워를 기판에 인가하는 것의 결과를 개략적으로 보여주는데, 이 경우 플라즈마 내의 이온은 기판을 향하여 강하게 가속되어, SiN막(302)의 이온 에칭 및 마스크 패턴(303)의 플라즈마 침식을 야기한다. 도 3b는 기판에 RF 바이어스 파워를 인가하지 않는 것의 결과를 개략적으로 보여주는데, 이 경우 플라즈마 내의 이온은 기판을 향해 강하게 가속되지 않고, 플라즈마 프로세스는, 중성 라디칼(예컨대, CBr 및 O)에 대한 마스크 패턴(303)의 노출을 통한 증착 및 산화에 의하여 마스크 패턴(303) 상에 보호층(303a)이 형성됨으로써 진행된다. RF 바이어스 파워의 펄스화에 의해 형성된 보호층(303 a)은, 이후의 RF 바이어스 ON 기간 동안에 마스크 패턴(303)을 보호하고, 이에 의해 마스크 패턴(303)에 대한 SiN막(302)의 에칭 선택도가 증대된다.
도 4는 본 발명의 일 실시형태에 따라 SiN 패턴 에칭을 행하기 위한 레이디얼 라인 슬롯 안테나(RLSA)를 구비하는 플라즈마 처리 시스템의 개략도이다. 이 플라즈마 처리 시스템(30)은 프로세스 챔버(120)와, 레이디얼 라인 슬롯 플레이트(300)와, 처리 대상 기판(예컨대, 300 ㎜의 Si 웨이퍼)을 지지하도록 되어 있는 기판 홀더(140), 그리고 유전체 창(160)을 포함한다. 프로세스 챔버(120)는 기판 홀더(140)의 아래에 위치해 있는 바닥부(17)와, 이 바닥부(17)의 둘레로부터 상방으로 연장되는 원통형 측벽(18)을 구비한다. 프로세스 챔버(120)의 상부는 개방단이다. 유전체 창(160)은 기판 홀더(140)의 맞은편에 배치되고, O-링(20)을 통해 프로세스 챔버(120)의 상측에 대하여 밀봉되어 있다. 플라즈마 처리 시스템(30)은, 플라즈마 처리 시스템(30)의 처리 조건과 전체 작동을 제어하도록 구성되어 있는 컨트롤러(55)를 더 포함한다.
외부의 마이크로파 발생기(15)가 동축 도파로(24) 및 지파(遲波) 플레이트(28)를 통해 레이디얼 라인 슬롯 플레이트(300)에 소정의 주파수, 예컨대 2.45 GHz의 마이크로파 파워를 제공한다. 외부의 마이크로파 발생기(15)는 약 1000 W 내지 약 3000 W의 마이크로파 파워를 제공하도록 구성될 수 있다. 동축 도파로(24)는 중앙 컨덕터(25)와 주변 컨덕터(26)를 포함할 수 있다. 마이크로파 파워는 이후에 레이디얼 라인 슬롯 플레이트(300)에 마련된 복수의 슬롯(29)을 통과하여 유전체 창(160)에 전송된다. 외부의 마이크로파 발생기(15)로부터의 마이크로파는, 유전체 창(160)의 바로 아래에 전기장을 발생시키고, 이어서 프로세스 챔버(120) 내에서 플라즈마 가스의 여기를 일으킨다. 유전체 창(160)의 내측에 마련된 오목부(27)에 의해, 프로세스 챔버(120)의 내부에 효과적으로 플라즈마를 발생시킬 수 있게 된다.
기판 홀더(140)에는 매칭 유닛(38) 및 전력 공급 폴(pole)(39)을 통해 외부 고주파 파워 공급원(37)이 전기 접속되어 있다. 고주파 파워 공급원(37)은 기판에 끌어당겨지는 이온의 에너지를 제어하기 위해 소정 주파수, 예컨대 13.56 MHz의 RF 바이어스 파워를 발생시킨다. 매칭 유닛(38)은, RF 파워 공급원의 임피던스를 부하, 즉 프로세스 챔버(120)의 임피던스와 매칭시킨다. 본 발명의 실시형태에 따르면, 외부의 마이크로파 발생기(15)에 의해 제공된 마이크로파 파워는, 프로세스 챔버(120)에서 프로세스 가스로부터 플라즈마를 발생시키는 데 사용되고, 외부 고주파 파워 공급원(37)은 플라즈마 내의 이온을 기판을 향해 가속시키도록 상기 외부의 마이크로파 발생기(15)와는 독립적으로 제어된다. 정전 척(41)은 DC 파워 공급원(46)을 통한 정전 흡착 파워에 의해 기판을 유지하도록 기판 홀더(140)의 상면에 마련되어 있다.
기판 홀더(140)가 RF 바이어스 파워에 대하여 바이어싱 요소의 역할을 하여 에칭 프로세스 동안에 이온화된 가스를 기판을 향해 가속시키도록, 기판 홀더(140)는 고주파 파워 공급원(37)으로부터 RF 바이어스 파워(신호)를 받도록 되어 있다. 고주파 파워 공급원(37)은 도 2에 개략적으로 도시된 바와 같이 RF 바이어스 파워를 선택적으로 펄스화하도록 구성되어 있으며, 펄스화 주파수는 1 Hz보다 클 수 있고, 예컨대 2Hz, 4Hz, 6Hz, 8Hz, 10Hz, 20Hz, 30Hz, 50Hz, 또는 그 이상일 수 있다.
고주파 파워 공급원(37)의 파워 레벨이 처리 대상인 기판의 크기와 관련되어 있다는 것을 당업자가 알 것이라는 점에 주목해야 할 필요가 있다. 예를 들어, 300 ㎜의 Si 웨이퍼는 200 ㎜ Si 웨이퍼보다 처리 과정에서 필요한 전력 소비가 크다.
플라즈마 처리 시스템(30)은 프로세스 가스 공급부(13)를 더 포함한다. 도 4에는 프로세스 가스 공급부(13)의 확대도가 또한 도시되어 있다. 이 도면에 도시된 바와 같이, 프로세스 가스 공급부(13)는, 유전체 창(160)의 하면(63)에 비해, 유전체 창(16)의 내측으로 후퇴한 위치에 있는 베이스 인젝터(61)를 포함할 수 있다. 프로세스 가스 공급부(13)는, 베이스 인젝터(61)를 유지하도록 유전체 창(160)의 두께의 일부분에 걸쳐 연장되어 있는 베이스 홀더(64)를 더 포함한다. 도 4에는 베이스 인젝터(61)의 평면도가 또한 도시되어 있다. 이 도면에 도시된 바와 같이, 기판 홀더(140)의 맞은편에 배치된 편평한 벽면(67)에는 복수의 공급 구멍(66)이 형성되어 있다. 복수의 공급 구멍(66)은 편평한 벽면(67)의 중앙에 방사상으로 배치되어 있다.
프로세스 가스 공급부(13)는 가스 덕트(68)를 더 포함한다. 도 4에 도시된 바와 같이, 가스 덕트(68)는 동축 도파로(24)의 중앙 컨덕터(25), 레이디얼 라인 슬롯 플레이트(300) 및 유전체 창(160)을 관통해 연장되어 복수의 공급 구멍(66)에까지 이른다. 가스 공급 시스템(72)은, 중앙 컨덕터(25)의 상단부에 형성된 가스 진입 구멍(69)에 연결되어 있다. 가스 공급 시스템(72)은 온-오프 밸브(70) 및 유량 제어기(71), 예컨대 질량 유량 제어기를 포함할 수 있다. 또한, 프로세스 가스는, 원통형 측벽(18)에 마련된 2개 이상의 가스 덕트(89)에 의해 프로세스 챔버(120) 내로 공급될 수 있다. 2개 이상의 가스 덕트(89)에 의해 프로세스 챔버(120) 내로 공급된 프로세스 가스의 원소 조성은, 가스 덕트(68)에 의해 프로세스 챔버(120) 내로 공급된 프로세스 가스의 원소 조성과 동일한 것일 수 있다. 몇몇 실시형태에 따르면, 2개 이상의 가스 덕트(89)에 의해 프로세스 챔버(120) 내로 공급된 프로세스 가스의 원소 조성은, 독립적으로 제어될 수 있고, 가스 덕트(68)에 의해 프로세스 챔버(120) 내로 공급된 프로세스 가스의 원소 조성과 서로 다를 수 있다. 몇몇 에칭 프로세스의 경우, 프로세스 챔버 압력은 약 10 mTorr 내지 약 1000 mTorr로 제어될 수 있다.
도 5는 본 발명의 일 실시형태에 따라 기판 상의 SiN막에 마스크 패턴을 전사하는 방법의 흐름도이다. 이 흐름도(500)는, 502에서 SiN막과 이 SiN막 상의 마스크 패턴을 포함하는 필름 스택을 기판 상에 마련하는 공정을 포함한다. 일부 실시형태에서, 상기 마스크 패턴은 SiO2, SiON, 또는 이들의 조합을 포함할 수 있고, 상기 기판은 SiO2, Si,또는 이들의 조합을 포함할 수 있다.
504에서는, 탄소-불소-함유 가스, O2 가스 및 선택적으로 HBr 가스를 포함하는 제1 프로세스 가스로부터 제1 플라즈마를 형성한다. 상기 탄소-불소-함유 가스는 불화탄소 가스, 수소화불화탄소 가스, 또는 불화탄소 가스와 수소화불화탄소 가스 양자 모두를 포함할 수 있다. 일례에서, 상기 불화탄소 가스는 CF4로 구성되거나, 이를 포함한다. 몇몇 예에서는, 상기 수소화불화탄소 가스는 CHF3, CH2F2, CH3F, 또는 이들의 조합으로 구성되거나, 이를 포함한다. 제1 프로세스 가스는 Ar 가스 또는 He 가스를 더 포함할 수 있다. 일 실시형태에 따르면, 제1 플라즈마는 레이디얼 라인 슬롯 안테나(RLSA)를 구비하는 마이크로파 플라즈마 소스에 의해 상기 프로세스 가스를 여기함으로써 형성될 수 있다.
506에서는, 상기 필름 스택을 상기 제1 플라즈마에 노출시킴으로써 ME 단계를 수행한다. 이러한 상기 제1 플라즈마에 대한 노출에 의해, 마스크 패턴이 SiN막에 전사된다. 몇몇 실시형태에 따르면, ME 단계에서는 기판을 지지하는 기판 홀더에 연속적인 또는 펄스화된 바이어스 파워가 인가될 수 있다.
508에서는, 탄소-불소-함유 가스, O2 가스, 규소-불소-함유 가스 및 선택적으로 HBr 가스를 포함하는 제2 프로세스 가스로부터 제2 플라즈마를 형성한다. 상기 탄소-불소-함유 가스는 불화탄소 가스, 수소화불화탄소 가스, 또는 불화탄소 가스와 수소화불화탄소 가스 양자 모두를 포함할 수 있다. 일례에서, 상기 불화탄소 가스는 CF4로 구성되거나, 이를 포함한다. 몇몇 예에서는, 상기 수소화불화탄소 가스는 CHF3, CH2F2, CH3F, 또는 이들의 조합으로 구성되거나, 이를 포함한다. 규소-불소-함유 가스는 SiF4, SiHF3, SiH2F2, SiH3F, 또는 이들의 조합을 포함할 수 있다. 제2 프로세스 가스는 Ar 가스 또는 He 가스를 더 포함할 수 있다.
510에서는, 상기 필름 스택을 상기 제2 플라즈마에 노출시킴으로써 OE 단계를 수행한다. 몇몇 실시형태에 따르면, OE 단계에서는 기판을 지지하는 기판 홀더에 연속적인 또는 펄스화된 바이어스 파워가 인가될 수 있다.
본 발명의 몇몇 실시형태에 따르면, 제1 프로세스 가스와 제2 프로세스 가스는 동일한 수소화불화탄소 가스를 포함하지만, 제1 프로세스 가스와 제2 프로세스 가스는 서로 다른 수소화불화탄소 가스를 포함할 수 있으므로, 이는 필수적인 것은 아니다. 마찬가지로, 제1 프로세스 가스와 제2 프로세스 가스는 동일한 규소-불소-함유 가스를 포함하지만, 제1 프로세스 가스와 제2 프로세스 가스는 서로 다른 규소-불소-함유 가스를 포함할 수 있으므로, 이는 필수적인 것은 아니다. 일 실시형태에 따르면, 제2 플라즈마는 레이디얼 라인 슬롯 안테나(RLSA)를 구비하는 마이크로파 플라즈마 소스에 의해 상기 프로세스 가스를 여기함으로써 형성될 수 있다.
일 실시형태에 따르면, 상기 마스크 패턴의 SiN막에의 전사는, 메인 에칭(ME) 단계에서 SiN막의 전체 두께보다 적게 에칭하는 공정과, 그 후에, 오버 에칭(OE) 단계에서 SiN막의 나머지 두께를 에칭하고 기판 상에서 에칭을 정지하는 공정을 포함한다. 일례에서, 상기 전사는, ME 단계 동안에는 기판에 제1 펄스형 RF 바이어스 파워를 인가하고, OE 단계 동안에는 기판에 제2 펄스형 RF 바이어스 파워를 인가하는 것을 포함한다. 본 발명의 일 실시형태에 따르면, 제1 펄스형 RF 바이어스 파워는 제2 펄스형 RF 바이어스 파워보다 클 수 있다.
일 실시형태에 따르면, 상기 마스크 패턴의 SiN막에의 전사는, 메인 에칭(ME) 단계에서 제1 플라즈마를 이용하여 SiN막의 전체 두께보다 적게 에칭하는 공정과, 그 후에, 오버 에칭(OE) 단계에서 제2 플라즈마를 이용하여 SiN막의 나머지 두께를 에칭하고 기판 상에서 에칭을 정지하는 공정을 포함한다. 일례에서, 상기 전사는, ME 단계 동안에는 기판에 제1 펄스형 RF 바이어스 파워를 인가하고, OE 단계 동안에는 기판에 제2 펄스형 RF 바이어스 파워를 인가하는 것을 포함한다. 본 발명의 일 실시형태에 따르면, 제1 펄스형 RF 바이어스 파워는 제2 펄스형 RF 바이어스 파워보다 클 수 있다. 몇몇 실시형태에 따르면, 상기 마스크 패턴을 SiN막에 전사하는 동안에, 상기 RF 바이어스 파워는 연속적일 수 있다.
마스크 패턴으로 피복된 SiN막에 피처를 플라즈마 에칭하기 위한 처리 방법을 제공하는 복수의 실시형태를 기술하였다. 본 발명의 실시형태에 대한 이상의 설명은 예시 및 설명을 목적으로 주어진 것이다. 개시된 특정 형태에 본 발명을 한정하려는 의도는 전혀 없다. 명세서 및 이하의 청구범위는, 단지 설명의 목적으로 사용되고 있고 제한적인 것으로 해석되어서는 안 되는 용어를 포함한다. 예를 들어, 본원에(청구범위 포함) 사용된 용어 "위(상)"는, 기판 "위(상)"의 막이 기판의 바로 위에 있고 바로 접촉하고 있는 것을 요구하지 않으며; 상기 막과 기판 사이에 제2의 막 또는 다른 구조가 존재할 수 있다.
당업자는, 상기의 교시 내용을 고려하여 다양한 수정 및 변형이 실시될 수 있음을 인지할 수 있다. 당업자는, 도면에 도시된 여러 구성 요소에 대하여 다양한 등가의 조합과 대체물을 인지할 것이다. 따라서, 본 발명의 범위는 본 상세한 설명에 의해 한정되지 않고, 오히려 첨부된 청구범위에 의해 한정되도록 되어 있다.

Claims (20)

  1. 기판 처리 방법으로서,
    질화규소(SiN)막과 이 SiN막 상의 마스크 패턴을 포함하는 필름 스택을 기판 상에 마련하는 공정;
    탄소-불소-함유 가스 및 O2 가스를 포함하는 제1 프로세스 가스로부터 제1 플라즈마를 형성하는 공정;
    상기 필름 스택을 상기 제1 플라즈마에 노출시킴으로써 메인 에칭(ME) 단계를 수행하는 공정;
    탄소-불소-함유 가스, O2 가스 및 규소-불소-함유 가스를 포함하는 제2 프로세스 가스로부터 제2 플라즈마를 형성하는 공정; 및
    상기 필름 스택을 상기 제2 플라즈마에 노출시킴으로써 오버 에칭(OE) 단계를 수행하는 공정
    을 포함하는 기판 처리 방법.
  2. 제1항에 있어서, 상기 마스크 패턴을 상기 SiN막에 전사하는 것은, 상기 ME 단계에서 상기 SiN막의 전체 두께보다 적게 에칭하고, 그 후에, 상기 OE 단계에서 SiN막의 나머지 두께를 에칭하며 기판 상에서 에칭을 정지하는 것에 의해 행해지는 것인 기판 처리 방법.
  3. 제1항에 있어서, 상기 탄소-불소-함유 가스는 불화탄소 가스, 수소화불화탄소 가스, 또는 불화탄소 가스와 수소화불화탄소 가스 양자 모두를 포함하는 것인 기판 처리 방법.
  4. 제3항에 있어서, 상기 제1 프로세스 가스, 제2 프로세스 가스, 또는 제1 프로세스 가스와 제2 프로세스가 가스 양자 모두는 HBr 가스를 더 포함하는 것인 기판 처리 방법.
  5. 제3항에 있어서, 상기 수소화불화탄소 가스는 CHF3, CH3F2, CH3F, 또는 이들의 조합으로 구성되거나, 이를 포함하는 것인 기판 처리 방법.
  6. 제3항에 있어서, 상기 불화탄소 가스는 CF4를 포함하거나 또는 이를 포함하는 것인 기판 처리 방법.
  7. 제1항에 있어서, 규소-불소-함유 가스는 SiF4, SiHF3, SiH2F2, SiH3F, 또는 이들의 조합을 포함하는 것인 기판 처리 방법.
  8. 제1항에 있어서, 상기 제1 프로세스 가스는 CH3F 가스, CF4 가스, O2 가스, Ar 가스 및 HBr 가스를 포함하고, 상기 제2 프로세스 가스는 CH3F 가스, CF4 가스, O2 가스, HBr 가스, Ar 가스 및 SiF4 가스를 포함하는 것인 기판 처리 방법.
  9. 제1항에 있어서, 상기 기판을 지지하는 기판 홀더에 RF 바이어스 파워를 인가하는 공정을 더 포함하는 것인 기판 처리 방법.
  10. 제1항에 있어서, 상기 기판을 지지하는 기판 홀더에 펄스형 RF 바이어스 파워를 인가하는 공정을 더 포함하는 것인 기판 처리 방법.
  11. 제10항에 있어서, 상기 ME 단계 중에 상기 기판 홀더에 제1 펄스형 RF 바이어스 파워를 인가하는 공정; 및
    상기 OE 단계 중에 상기 기판 홀더에 제2 펄스형 RF 바이어스 파워를 인가하는 공정을 더 포함하는 것인 기판 처리 방법.
  12. 제11항에 있어서, 상기 제1 펄스형 RF 바이어스 파워는 상기 기판 홀더에 인가된 상기 제2 펄스형 RF 바이어스 파워보다 큰 것인 기판 처리 방법.
  13. 제1항에 있어서, 상기 제1 및 제2 플라즈마를 형성하는 공정은, 레이디얼 라인 슬롯 안테나(RLSA)를 구비하는 마이크로파 플라즈마 소스에 의해 상기 제1 및 제2 프로세스 가스를 여기하는 것을 포함하는 것인 기판 처리 방법.
  14. 제1항에 있어서, 상기 마스크 패턴은 SiON막, SiO2막, 또는 이들의 조합을 포함하는 것인 기판 처리 방법.
  15. 제1항에 있어서, 상기 기판은 Si막, SiO2막, 또는 이들의 조합을 포함하는 것인 기판 처리 방법.
  16. 제1항에 있어서, 상기 제1 및 제2 프로세스 가스는 아르곤(Ar) 가스 또는 헬륨(He) 가스를 더 포함하는 것인 기판 처리 방법.
  17. 기판 처리 방법으로서,
    질화규소(SiN)막과 이 SiN막 상의 마스크 패턴을 포함하는 필름 스택을 기판 상에 마련하는 공정;
    불화탄소 가스, O2 가스 및 HBr 가스를 포함하는 제1 프로세스 가스로부터 제1 플라즈마를 형성하는 공정;
    상기 필름 스택을 상기 제1 플라즈마에 노출시킴으로써 메인 에칭(ME) 단계를 수행하는 공정;
    불화탄소 가스, O2 가스, HBr 가스 및 규소-불소-함유 가스를 포함하는 제2 프로세스 가스로부터 제2 플라즈마를 형성하는 공정; 및
    상기 필름 스택을 상기 제2 플라즈마에 노출시킴으로써 오버 에칭(OE) 단계를 수행하는 공정
    을 포함하는 기판 처리 방법.
  18. 제17항에 있어서, 상기 제1 프로세스 가스는 CF4 가스, HBr 가스, O2 가스 및 Ar 가스를 포함하고, 상기 제2 프로세스 가스는 CF4 가스, HBr 가스, O2 가스, Ar 가스 및 SiF4 가스를 포함하는 것인 기판 처리 방법.
  19. 기판 처리 방법으로서,
    질화규소(SiN)막과 이 SiN막 상의 마스크 패턴을 포함하는 필름 스택을 기판 상에 마련하는 공정;
    수소화불화탄소 가스 및 O2 가스를 포함하는 제1 프로세스 가스로부터 제1 플라즈마를 형성하는 공정;
    상기 필름 스택을 상기 제1 플라즈마에 노출시킴으로써 메인 에칭(ME) 단계를 수행하는 공정;
    수소화불화탄소 가스, O2 가스 및 규소-불소-함유 가스를 포함하는 제2 프로세스 가스로부터 제2 플라즈마를 형성하는 공정; 및
    상기 필름 스택을 상기 제2 플라즈마에 노출시킴으로써 오버 에칭(OE) 단계를 수행하는 공정
    을 포함하는 기판 처리 방법.
  20. 제19항에 있어서, 상기 제1 프로세스 가스는 CH3F 가스, O2 가스 및 Ar 가스를 포함하고, 상기 제2 프로세스 가스는 CH3F 가스, O2 가스 및 SiF4 가스를 포함하는 것인 기판 처리 방법.
KR1020137026122A 2011-03-04 2012-03-03 질화규소막 에칭 방법 KR102023784B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201161449560P 2011-03-04 2011-03-04
US61/449,560 2011-03-04
PCT/US2012/027632 WO2012122064A1 (en) 2011-03-04 2012-03-03 Method of etching silicon nitride films

Publications (2)

Publication Number Publication Date
KR20140016920A true KR20140016920A (ko) 2014-02-10
KR102023784B1 KR102023784B1 (ko) 2019-09-20

Family

ID=46798524

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020137026122A KR102023784B1 (ko) 2011-03-04 2012-03-03 질화규소막 에칭 방법

Country Status (4)

Country Link
US (1) US20130344702A1 (ko)
KR (1) KR102023784B1 (ko)
TW (1) TWI478234B (ko)
WO (1) WO2012122064A1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160007441A (ko) * 2014-07-10 2016-01-20 도쿄엘렉트론가부시키가이샤 기판의 고정밀 플라즈마 에칭을 위한 방법
KR20210019398A (ko) * 2018-06-15 2021-02-22 도쿄엘렉트론가부시키가이샤 에칭 방법 및 플라즈마 처리 장치

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8969210B2 (en) * 2010-09-15 2015-03-03 Tokyo Electron Limited Plasma etching apparatus, plasma etching method, and semiconductor device manufacturing method
JP5932599B2 (ja) * 2011-10-31 2016-06-08 株式会社日立ハイテクノロジーズ プラズマエッチング方法
TWI556306B (zh) * 2012-02-01 2016-11-01 Tokyo Electron Ltd Plasma etching method and plasma etching device
US9236243B2 (en) * 2014-01-09 2016-01-12 Stmicroelectronics Pte Ltd Method for making semiconductor devices including reactant treatment of residual surface portion
JP2019004029A (ja) * 2017-06-14 2019-01-10 キヤノン株式会社 半導体装置の製造方法
CN110391136B (zh) * 2018-04-17 2022-03-15 联华电子股份有限公司 图案化方法
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
WO2020154310A1 (en) 2019-01-22 2020-07-30 Applied Materials, Inc. Feedback loop for controlling a pulsed voltage waveform
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
WO2020121540A1 (ja) * 2019-02-04 2020-06-18 株式会社日立ハイテク プラズマ処理方法及びプラズマ処理装置
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5188704A (en) * 1989-10-20 1993-02-23 International Business Machines Corporation Selective silicon nitride plasma etching
US20070134907A1 (en) * 2004-06-02 2007-06-14 Tokyo Electron Limited Substrate processing method and fabrication process of a semiconductor device
US7547636B2 (en) * 2007-02-05 2009-06-16 Lam Research Corporation Pulsed ultra-high aspect ratio dielectric etch
US20090176375A1 (en) * 2008-01-04 2009-07-09 Benson Russell A Method of Etching a High Aspect Ratio Contact
KR20100109478A (ko) * 2009-03-31 2010-10-08 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 플라즈마 처리 방법

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5786276A (en) * 1997-03-31 1998-07-28 Applied Materials, Inc. Selective plasma etching of silicon nitride in presence of silicon or silicon oxides using mixture of CH3F or CH2F2 and CF4 and O2
US20010045354A1 (en) * 1997-06-02 2001-11-29 Yiqiong Wang Method of etching high aspect ratio openings in silicon
JP4184851B2 (ja) * 2003-03-31 2008-11-19 東京エレクトロン株式会社 プラズマ処理方法
WO2009085672A2 (en) * 2007-12-21 2009-07-09 Lam Research Corporation Fabrication of a silicon structure and deep silicon etch with profile control
US8173547B2 (en) * 2008-10-23 2012-05-08 Lam Research Corporation Silicon etch with passivation using plasma enhanced oxidation

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5188704A (en) * 1989-10-20 1993-02-23 International Business Machines Corporation Selective silicon nitride plasma etching
US20070134907A1 (en) * 2004-06-02 2007-06-14 Tokyo Electron Limited Substrate processing method and fabrication process of a semiconductor device
US7547636B2 (en) * 2007-02-05 2009-06-16 Lam Research Corporation Pulsed ultra-high aspect ratio dielectric etch
US20090176375A1 (en) * 2008-01-04 2009-07-09 Benson Russell A Method of Etching a High Aspect Ratio Contact
KR20100109478A (ko) * 2009-03-31 2010-10-08 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 플라즈마 처리 방법

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160007441A (ko) * 2014-07-10 2016-01-20 도쿄엘렉트론가부시키가이샤 기판의 고정밀 플라즈마 에칭을 위한 방법
US10211065B2 (en) 2014-07-10 2019-02-19 Tokyo Electron Limited Methods for high precision plasma etching of substrates
US10483127B2 (en) 2014-07-10 2019-11-19 Tokyo Electron Limited Methods for high precision plasma etching of substrates
KR20210019398A (ko) * 2018-06-15 2021-02-22 도쿄엘렉트론가부시키가이샤 에칭 방법 및 플라즈마 처리 장치

Also Published As

Publication number Publication date
KR102023784B1 (ko) 2019-09-20
TW201241915A (en) 2012-10-16
US20130344702A1 (en) 2013-12-26
WO2012122064A1 (en) 2012-09-13
TWI478234B (zh) 2015-03-21

Similar Documents

Publication Publication Date Title
KR102023784B1 (ko) 질화규소막 에칭 방법
KR101811910B1 (ko) 질화규소막에 피처를 에칭하는 방법
JP6532066B2 (ja) 原子層をエッチングする方法
KR101111924B1 (ko) 이중층 레지스트 플라즈마 에칭 방법
KR101713330B1 (ko) Sf6 및 탄화수소를 이용하여 arc층을 패터닝하는 방법
KR102192281B1 (ko) 순수 환원성 플라즈마에서 높은 종횡비 포토레지스트 제거를 위한 방법
JP6017928B2 (ja) プラズマエッチング方法及びプラズマエッチング装置
JP2014090192A (ja) 通常の低k誘電性材料および/または多孔質の低k誘電性材料の存在下でのレジスト剥離のための方法
KR20130102504A (ko) 저 유전상수 손상을 감소시키도록 노출된 저 유전상수 표면에 SiOCl-함유 층을 형성하는 방법
KR101540816B1 (ko) 플라즈마 에칭 방법, 컴퓨터 기억 매체 및 플라즈마 에칭 장치
JP4351806B2 (ja) フォトレジストマスクを使用してエッチングするための改良技術
JP2001110784A (ja) プラズマ処理装置および処理方法
JP2003023000A (ja) 半導体装置の製造方法
US20120238098A1 (en) Method for manufacturing semiconductor device
KR20150031227A (ko) 플라즈마 에칭 방법 및 플라즈마 처리 장치
TWI773767B (zh) 使用硫基化學品之電漿蝕刻含矽有機膜的方法
JP2006253245A (ja) 微細パターン形成方法
KR20220022458A (ko) 산소 펄싱을 이용하여 구조들을 에칭하기 위한 방법들
JP7054759B2 (ja) プラズマ処理方法
US8846528B2 (en) Method of modifying a low k dielectric layer having etched features and the resulting product
JP4224422B2 (ja) プラズマエッチング処理方法
US7615164B2 (en) Plasma etching methods and contact opening forming methods

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant