TW201026877A - Method for depositing conformal amorphous carbon film by plasma-enhanced chemical vapor deposition (PECVD) - Google Patents

Method for depositing conformal amorphous carbon film by plasma-enhanced chemical vapor deposition (PECVD) Download PDF

Info

Publication number
TW201026877A
TW201026877A TW098134833A TW98134833A TW201026877A TW 201026877 A TW201026877 A TW 201026877A TW 098134833 A TW098134833 A TW 098134833A TW 98134833 A TW98134833 A TW 98134833A TW 201026877 A TW201026877 A TW 201026877A
Authority
TW
Taiwan
Prior art keywords
amorphous carbon
gas
substrate
processing chamber
plasma
Prior art date
Application number
TW098134833A
Other languages
English (en)
Other versions
TWI421364B (zh
Inventor
Kwangduk Douglas Lee
Takashi Morii
Yoichi Suzuki
Sudha Rathi
Martin Jay Seamons
Deenesh Padhi
Bok Hoen Kim
Cynthia Pagdanganan
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201026877A publication Critical patent/TW201026877A/zh
Application granted granted Critical
Publication of TWI421364B publication Critical patent/TWI421364B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02592Microstructure amorphous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3146Carbon layers, e.g. diamond-like layers

Description

201026877 六、發明說明: 【發明所屬之技術領域】 本發明實施例大體而言係有關於積體電路的製造,並 且更明確地說,係有關於在一半導體基材上沈積一無定 形碳層。 【先前技術】 φ 積體電路已演進為可容納數百萬個電晶體、電容器和 電阻器在單一個晶片上的複雜元件。晶片設計的進化持 續要求更快的電路及更大的電路密度。對於更快的電路 以及更大的電路密度之要求也對用來製造此類積體電路 的材料加諸相對應的要求。明確地說,隨著積體電路零 組件的尺寸縮小至次微米尺寸,不僅必須使用低電阻係 數的導電材料,例如銅,以改善元件的電氣效能,並且 參也必須使用低介電常數絕緣材料,常稱為低k介電材 料。低k介電材料一般具有低於38的介電常數。 製造具有低k介電材料以及極少或沒有表面缺陷或特 徵結構變形的元件是困難的。介電常數低於約3 〇的低k 介電材料常是多孔且容易在隨後的製程步驟期間到傷或 受損,因此增加缺陷形成在該基材表面上的可能性。此 類低k介電材料通常是易碎的且可能在習知研磨製程下 變形,例如化學機械研磨(CMP)。一種限制或減少此類 低k介電材料的表面缺陷及變形的解決方法是在圖案化 4 201026877 及蝕刻之前,先在暴露出的低k介電材料上沈積硬光 罩。該硬光罩避免脆弱的低k介電材料損壞及變形。此 外,硬光罩層結合習知微影技術可作用為钱刻光罩,以 避免低k介電材料在餘刻期間被除去。 此外,硬光罩幾乎在積體電路製造製程的每-個步驟 中使用’用於前端和後端製程兩者。隨著元件尺寸縮小 以及圖案結構日益複雜和難以製造,韻刻硬光罩更形重
要,因4現行《阻無法符合银刻抗性要纟,並且光阻僅 是用來進行影像轉移而非在微影和_製程中做為儀刻 光罩。反之,接收影像圖案的硬光罩日漸成為在下方層 中有效蝕刻圖案的首要材料。 無定形氫化碳是一種可用來做為金屬、無定形矽、以 及介電材料,例如二氧切錢切㈣,除了其他之 外’的硬光罩之材料。無定形氫化碳,也稱為無定形碳 並可表示為a_C:H或a_c:H’被視為是無長程結晶序的 碳材料,且可含有重要的氫含量,例如約i〇“5的氫 原子.百分比等級。已觀察到無定形碳擁有化學惰性、光 學透明性、以及良好的機械性fe雖然可用若干技術來 沈積a_C:H膜,但電衆增強化學氣相沈積(PECVD)因其 成本效益及薄膜性質可調性而廣為使用。在一典型 PECVD製程巾’-錢化合物來源,例如承載在一載氣 中的氣態碳氫化合物或液態碳氫化合物,係經通入一 PECVD腔室内。㈣在該腔室内起始電衆,以產生激發 的CH-自由基。該等激發的自由基化學性鍵結至設置在 5 201026877 該腔室内的t _ ^ 材之表面’在其上形成預期的a-C:H膜。 就=光罩層係'沈積在具有形貌特徵結冑的基材上之應 用β該硬光罩層必須共形覆蓋該形貌特徵結構的所 有表面此外’隨著特徵結構尺寸縮小,由於光波長和 圖案尺寸的限制’光阻材料難以正確轉移圖案。因此, 日漸需要新的製程和材料來滿足這些挑戰,其中硬光罩 件之關鍵尺寸的有效轉移變得不可或缺。 硬光罩層沈積共形性在具有下方形貌的基材上是很難實 現的’例如用來對準該圖案化製程的對準鍵。第1圖示 出具有特徵結構111及形成在其上之非共形無定形碳層 112的基材1〇〇之概要剖面圖。因為非共形無定形碳層 U2並非完全覆蓋特徵結構111的侧壁114,隨後的蝕刻 製程可能造成有害的側壁! 14腐蝕。侧壁i 14由非共形 無定形碳層112完整覆蓋的缺乏也可能造成非共形碳層 112下方材料的光阻毒化,其已知會損傷電子元件。 φ 因此,存有對於一種可用於積體電路製造之可共形沈 積在具有形貌特徵結構的基材上之材料層的沈積方法之 需要。 【發明内容】 本發明實施例提供一種處理基材的方法,例如藉由在 該基材上沈積一無定形碳層。該方法,根據一第一實施 例’包含將一基材設置在一基材處理室内,將碳對氫原 6 201026877 子比大於1:2的一碳氫化合物來源通入該處理室,將選 自由氫氣、氦氣、氬氣、氮氣、及其組合物所組成的族 群之一電漿起始氣體通入該處理室,並且該碳氫化合物 來源的體積流速對該電漿起始氣體的體積流速比為1:2 或更大’在該處理室内以1瓦/平方公分或更低的rF 功率、2托耳或更高的壓力、以及約3〇〇〇c至約48〇。(:的 溫度產生一電漿,以及在該基材上形成一共形無定形碳 層。 在另一實施例中,提供一種處理一基材的方法,其包 含執行一沈積循環’包含在該基材的一表面上形成一共 形無定形碳材料,以及使一淨化氣體流動通過該處理 室’以及重複該循環2至50次之間。 在另一實施例中’提供一種處理一基材的方法,其包 含將一基材設置在一基材處理室内,將碳對氫原子比大 於1.2的一碳氫化合物來源通入該處理室,將選自由氫 Φ 氣、氦氣、氬氣、氮氣、及其組合物所組成的族群之一 電聚起始氣體通入該處理室,並且該碳氫化合物來源的 艘積流速對該電漿起始氣體的體積流速比為1:2或更 大’其中該碳氫化合物來源和該電漿起始氣體係利用設 置在距離基材表面400密爾和600密爾之間的一氣體分 配器通入該處理室,在該處理室内以1瓦/平方公分或 更低的RF功率以及約〇ec至約1 〇〇°c之間的溫度產生一 電漿’以及在該基材上形成一共形無定形碳層。 7 201026877 【實施方式】 在此所述實施例大體而言提供在一化學氣相沈積系統 内沈積具有改善的共形性之無定形碳材料(a_c.H)的方 法。一個層的共形性通常是用沈積在一特徵結構側壁上 之一層的平均厚度對相同沈積層在該基材範圍,或上表 面’上的平均厚度之比例(其可表示為百分比)來量化。 觀察到利用在此所述方法沈積的層具有大於約3〇%,例 φ 如70°/〇或更尚,約7 : 1〇或更大,例如約80。/。或更高, 約4 : 5或更大’至約100% ’約! : !的共形性。例如, 會認定第1圖所示之先前技藝非共形無定形碳層112具 有〇%共形性,因為在侧壁丨14上缺乏層沈積。 明確地說,提供一改善的a_c:H層共形沈積之方法。 共形沈積可藉由使用碳對氫比例為1:2或更高的前驅 物,例如碳對氫比例為2: 3或更高,利用選自由氦氣、 氫氣、氮氣、氬氣、或其組合物所組成的族群之電漿起 • 始氣體’以增加的前驅物對電漿起始氣體流速,以增加 的沈積壓力,以增加的沈積溫度,以較低的rf功率應 用,利用具有降低的沈積速率之電漿環境,藉由在多個 層内沈積無定形碳,及其組合來改善。此間沈積製程可 在一適合的處理系統内執行。 第3圖係一基材處理系統’系統3〇〇,的概要示意圖, 其可用來進行根據本發明實施例之無定形碳層沈積。適 合系統的範例包含CENTURA®系統,其可使用DxZ™處 8 201026877 理室,PRECISION 5000®系統,PR〇DUCERTM系統例 如PRODUCER SE™處理室和pr〇ducer GTTM處理室 所有皆可由加州聖塔克拉拉的應用材料公司購得。 系統300包含製程腔室325、氣體分配盤33〇、控制單 元3 1 0、及其他硬體零組件,例如電源和真空幫浦。在 本發明中使用的系統之一實施例的細節在共同讓渡之 2002年4月2號核准之美國專利第6,364 954號之“出叻
TemperatUre Chemical Vapor Deposition Chamber,,中描 述’其在此藉由引用的方式併入本文中。 該製程腔室325通常包含基材支撐座35〇,其係用來 支撐一基材,例如一半導體基材39〇。此基材支撐座35〇 在該製程腔室325内利用連接至支桿36〇的位移機構(未 不出)在垂直方向上移動。取決於製程,可在處理前先將 該半導體基材390加熱至一預期溫度^該基材支撐座35〇 係利用一嵌入式加熱器元件37〇加熱。例如,該基材支 ❹ 撐座350可藉由從一電源供應器3〇6施加電流至該加熱 器το件3 70來阻抗加熱。該半導體基材39〇轉而由該基 材支撐座3 50加熱。一溫度感應器372,例如一熱電偶, 也嵌入在該基材支撐座350内以監控該基材支撐座35〇 的溫度。測得的溫度被用於一回饋迴路中以控制用於該 加熱器元件370的電源供應器3〇6。該基材溫度可保持 或控制在選用於特定製程應用的溫度下。 使用一真空幫浦302來排空該製程腔室325並在該製 程腔室325内維持適當的氣流和壓力。一喷頭32〇,製 201026877 程氣體藉其通入製程腔室325内,係設置在該基材支撐 座3 50上方’並且適於提供均勻分佈的製程氣體至製程 腔室325内。該喷頭32〇係連接至一氣體分配盤33〇, 其控制及供應用於該製程程序不同步驟内的各種製程氣 體。製程氣體可包含一碳氫化合物來源及一電聚起始氣 體’並且在下方結合一例示氬氣稀釋沈積製程之敘述更 詳細描述。 該氣體分配盤330也可用來控制及供應各種氣化的液 態刖驅物。雖未示出’可氣化來自一液態前驅物供應源 的液態前驅物,例如,利用一液體注射蒸發器,並在載 氣存在下傳送至製程腔室325。該載氣通常是一種惰性 氣趙’例如氮氣,或一種鈍體,例如氩氣或氦氣。或者, 該液態前驅物可利用一熱及/或真空輔助氣相製程從一 安瓿氣化。 該喷頭320和基材支撐座350也可形成一對隔開的電 極。在該等電極之間產生電場時,通入腔室325内的該 等製程氣體被點燃成為電漿392。通常,該電場係利用 一匹配網路(未示出)連接該基材支揮座350至一單頻或 雙頻的射頻(RF)功率源(未示出)來產生。或者,該RF功 率源和匹配網路可連接至該喷頭320,或連接至該喷頭 320和該基材支撐座350兩者。電漿輔助化學氣相沈積 技術藉由施加電場至靠近該基材表面的反應區域,產生 一反應物種電漿來促進反應氣體的激發及/或分解。該 電漿内的物種之反應性減少發生一化學反應所需要的能 201026877 量’實際上降低此種電漿輔助化學氣相沈積製程所需的 溫度》 流經該氣體分配盤330的氣體和液體之適當控制及調 節係利用質流控制器(未示出)及例如電腦的控制單元 310來執行。該喷頭320容許來自該氣體分配盤330的 製程氣體均勻地分配及通入該製程腔室325内。例示 地’該控制單元310包含一中央處理單元(CPU)3 12、支 撐電路3 14、以及含有相關控制軟體3 16的記憶體。此 控制單元310負責基材處理所需之眾多步驟的自動控 制,例如基材傳輸、氣體流量控制、液體流量控制、溫 度控制、腔室排空等等。當該製程氣體混合物離開該喷 頭320時,該碳氫化合物的電漿辅助熱分解在該半導體 基材390表面3 95發生,致使一無定形碳層沈積在該半 導體基材390上。 沈積製程 . 本發明態樣提供a-C:H層改善的共形沈積。改善的共 形沈積可藉由使用碳對氫比例為1:2或更高的前驅物之 製程來實現’例如碳對氫比例為2: 3或更高,可利用選 自由氦氣、氫氣、氮氣、氬氣、或其組合物所組成的族 群之電漿起始氣體來實現,可以增加的前驅物對電漿起 始氣體流速來實現’可以增加的沈積壓力實現,可以增 加的沈積溫度實現,可以較低的RF功率應用實現,可利 用具有降低的沈積速率之電漿環境實現,可利用增加氣 體分配盤和基材表面之間的間距來實現,可藉由在多個 201026877 層内沈積無定形碳來實現,及其組合。咸信在此所述製 程提供降低的沈積速率及/或更等向的沈積製程,因 此,提供更為共形的沈積製程。 在沈積製程之一態樣中,一 a_C:H層係利用一製程來 形成,其包含通入一碳氫化合物來源及一電漿起始氣體 至一處理室内’例如在上面結合第3圖所述之製程腔室
3 25。該碳氫化合物來源係一或多種碳氫化合物,並且選 擇性地例如氬氣之載氣,的混合物。 該一或多種碳氫化合物可包含碳原子對氫原子比為 1 . 2或更尚的化合物,例如大於n 2。例如,觀察到2 : 3或更高的碳對氫(或氫的取代基,例如氟)比例,像從 3至2 : 1,例如從約2 : 3至約3 : 2,產生具有改善的 共形性之無定形碳膜層。咸信具有所述的碳對氫原子比 之此類碳氫化合物造成更為等向的沈積製程。 該等碳氫化合物可以部分或完全換雜,碳氮化合物的 衍生物也可受惠於本發明方法。衍生物包含n 氧-、氫氧根以及碳氳化合物的含硼衍生物。 般而β,可包含在該碳氫化合物來源内的碳氫化 物或其衍生物可由式CaHbFc表示,其中Α的範圍在' 和24之間’B的範圍在〇和5〇之間,c的範圍在。和 5〇之間…對B + c的比例是1:2或更高,例如大於 1:2。例如,A對B+c的比例可以是2:3或更高,例 如從2:3至2:卜並且在—進—步範例中,從2:3至 3:2。在一實施例中’其中C=〇,該碳氫化合物來源可 12 201026877
❷ 具備式CXHY,並且2/3<=x/y=<3/2 ’其中x/y是個別的 原子數。或者,就氧及/或氮取代化合物而言,該碳氫 化合物來源可用式CaHb〇cFdNe表示,其中A的範圍在 1和24之間,B的範圍在〇和50之間,c的範圍在0和 10之間’ D的範圍在〇和50之間,E的範圍在〇和1〇 之間’而A對B+C+D+E的比例是1 : 2或更高,例如大 於1 : 2。例如,A對B + C+D+E的比例可以是2 : 3或更 高,例如從2:3至2: 1,並且在一進一步範例中,從2: 3 至 3 : 2。 適合的碳氫化合物包含一或多種如下化合物,例如, 炔,像乙炔(C2H2),乙烯乙炔及其衍生物,芳香族碟氫 化合物,例如苯、苯乙烯、甲苯、二甲苯、吡啶、乙苯、 苯乙鲷、苯甲酸甲酯、乙酸苯酯、酚、甲酚、吱喃、以 及諸如此類,α -松油烯,異丙基甲苯,1,1,3,3,_四甲基 丁苯,第三丁醚,甲基丙烯酸甲酯,以及第三丁基糖基 醚,具備式CsH2和C5H4的化合物,鹵化的芳香族化合 物,包含氟苯、二氟苯、四氟苯、六氟苯及諸如此類。 其它適合的碳氫化合物包含烯,例如乙烯、丙歸、丁婦、 戊婦、及諸如此類’二稀,例如丁二烯、異戊二稀、戊 二婦、己二稀及諸如此類,以及由化的缔,包含氣乙稀、 二氟乙烯、三氟乙烯、四氟乙烯、氣乙烯、二氯乙稀、 三氟乙烯、四氯乙烯、以及諸如此類。碳原子對氣原子 比大於1: 2的前驅物之一範例是CUH2 ’其可以是丁 _ 炔。 13 201026877 此外,本發明預期使用碳原子對氫原子比為3:〖或更 高的前雜物’像5: 1,例如1〇: 1或更高。 咸信隨著礙對氫比例增加,碳原子會在沈積期間與相 鄰的碳原子鍵結,藉由形成複雜的三維短程結構網路而 造成沈積膜較佳的共形性。 該a-C:H沈積製程包含使用一種電漿起始氣體,其係 在該碳氫化合物之前及/或與其同時通入該腔室,並且 起始一電漿以開始沈積。該電漿起始氣體可以是一種高 游離電位氣趙’包含但不限於’氦氣、氫氣、氮氣、氬 氣及其組合物’其中氦氣是較佳的。該電漿起始氣體也 可以是一種化學惰性氣體,例如氦氣、氮氣、或氬氣是 較佳的。適合的氣體游離電位是從約5 eV(電子電位)至 25 eV。該電漿起始氣體可在該碳氫化合物之前通入該腔 室内,這容許形成穩定的電漿並降低電弧的可能性。已 觀察到使用具有高游離電位的電漿起始氣體可在沈積期 間提供較少的薄膜非等向性蝕刻,因此改善無定形碳膜 沈積的共形性。做為稀釋氣體或載氣的惰性氣體,例如 氬氣,可連同該電漿起始氣體、該碳氫化合物、或其組 合物一起通入^ 該碳氫化合物和電漿起始氣體可以從約1:100或更高 的碳氫化合物對電漿起始氣流比例通入,例如,從約i : 100至100 : 1,像就該無定形碳沈積而言從約1 : 10至 約10:1。在一實施例中,該碳氫化合物對電漿起始氣 流比例可從約1 : 5或更高,像從約1 : 5至約2 : 1,例 201026877 如從約I:2至約I:1,可用於該無定形碳沈積。已觀 察到增加碳氫化合物對電漿電漿起始氣流比例可提供優 於較低比例的改善之共形性。 該a-C:H層可從該處理氣鱧沈積,藉由將腔室壓力保 持在約2托耳或更高,例如從約2托耳至約2〇托耳,並 且在一實施例中,約7托耳或更高,例如從約7托耳至 約9托耳。已觀察到共形性隨著壓力增加而增加,並且 攀 咸信離子在抵達該基材之前更為分散,因此喪失一些姓 刻能力’並且更為分散的自由基以更隨機且等向的角度 抵達該基材表面,以利更加等向且共形的薄膜沈積。 該a-C:H層可在基材溫度維持在從約〇。〇至約8〇〇。(:的 腔室内從該礙氫化合物來源沈積,例如在從約〇。〇至約 100°C的溫度下’或在從約30(TC至約480°C的溫度下, 例如,從約400°C至約450。(:。已觀察到在增加的溫度下 沈積無定形碳膜層會降低沈積速率,因此改善共形性。 ❹ 此外,在增加的溫度下’吸附的碳前驅物之擴散性或流 動性會增加,導致更加等向的沈積和改善的共形性。 此外,該a-C:H層也可以更為共形的方式沈積,當該 層係在將基材溫度維持在低於約1 〇〇°C的腔室内從該碳 氫化合物來源沈積時。例如,一 a-C:H層係藉由透過與 該基材表面隔開310密爾的噴頭提供3800 seem的C2H2 及6000 seem的氦氣至維持在9托耳壓力以及75 °C下的 製程腔室,並藉由應用30瓦的高頻功率產生電漿來沈 積。分析的沈積層展現出77.8%的共形性(共形性的量度 15 201026877 係定義為沈積在一特徵結構的側壁上之無定形碳層的平 均厚度s對基材上表面上的無定形碳層的平均厚度τ的 比例)。同樣地,也觀察到該特徵結構底部上的無定形碳 層的厚度對比於基材上表面上的無定形碳層的厚度T的 比例是72.2%。 同時驚人且不預期地發現到在降低的氦氣流逮下,例 如約3000 sccm的氦氣’溫度低於1〇〇。〇的沈積在—特徵 結構定義底部上的沈積厚度方面產生實質改#,與在密 集的特徵結構定義,即每16〇〇平方奈米約9個特徵結構 上之該特徵結構底部上的無定形碳層之厚度對比於基材 上表面上的無^形碳層的厚度τ之比例是72以相比。 也觀察到所沈積的無定形碳層之共形性隨著沈積該層 時該喷頭和基材表面間的間距增加而改善,例如介於· 密爾和600密爾之間的間距,例如約5〇〇密爾的間距。 例如,-第二無定形碳層與前段在相同的低溫沈積條件 下沈積,但是該喷頭間距為5〇〇密爾,與31〇密爾相較。 分析的沈積之第二層展現出9().9%至9ι 7%的共㈣(共 形性的量度係定義為沈積在—特徵結構的侧壁上之盖定 形碳層的平均厚度S對基材上表面上的無定形碳層的平 均厚度Τ的比例)。同樣地,也觀察到在具有不同密度的 特徵結構圊案上,例如密集的特徵結構定義,即每1600 平方奈米約4至2〇個特徵結構,例如9個特徵結構定 義,對比於較不密集的,每16〇〇平方奈米低於4個特徵 結構定義’例如1個特徵結構定義,的特徵結構定義, 16 201026877 該特徵結構底部上的無定形碳層的厚度對比於基材上表 面上的無定形碳層的厚度τ的比例是9〇 9%至91 7%,。 也觀察到該500密爾間距的無定形碳層具有約138埃 /分鐘的沈積速率,與該310密爾間距的沈積製程之3〇〇 埃/分鐘的沈積速率相比。 該碳氫化合物纟源及-電漿起始氣體係經通入該腔室 内,並且起始一電漿以開始沈積。可用一雙頻rf i生該電咸信一雙頻RF功率應用可提供通量和離子 能量的獨立控制,因為咸信衝擊該薄膜表面的該等離子 之能量會影響薄膜密度。相信該高頻電浆控制電衆密 度,而一低頻電漿控制衝擊該基材表面的離子之動能。 具有混合的RF功率之雙頻來源提供範圍從約1〇厘112至 約30MHz的高頻功率,例如約13 56 MHz,以及範圍從 約10 kHz至約1 MHz的低頻功率,例如約35〇 kHz。當 使用一雙頻RF系統來沈積一 a_c:H膜時,該第二RF# φ 率對總混合頻率功率的比例較佳地低於約0.6至 1.0(0.6.1)。可基於基材尺寸和所用設備來改變所施加的 RF功率和一或多種頻率的使用。可使用一單頻功率 應用’並且通常是應用如在此所述之高頻功率。 可藉由施加功率密度對基材表面積從約〇〇1瓦/平方 公分至約5瓦/平方公分的RF功率來產生電漿,例如從 約〇·01至約1瓦/平方公分,例如約0.1瓦/平方公分。 就一 300亳米的基材而言,該功率應用可從約ι瓦至約 2000瓦’例如從約瓦至約200瓦,例如約20瓦。電 17 201026877 極間距,即該基材和該喷頭之間的距離,可以是從約200 密爾至約1000密爾。 雖未遵循任何特定理論,但相信電漿製程藉由減少能 量化離子數目來降低無定形碳沈積速率,使碳氫化合 物,即自由基’以更隨機的沈積圖案抵達該基材表面, 因此提供所形成的薄膜成長更等向的沈積圖案而改善共 形性。也觀察到該降低的電漿沈積可提供較低的沈積速 率’其容許吸附的碳前驅物在該基材表面上擴散,而提 ·#更為共形的膜層。 處理300毫米圓形基材之一例示沈積製程運用一種電 聚起始氣體’例如氦氣’及一種碳氫化合物來源,例如 乙炔(CzH2)。該製程可包含供應流速從約4〇〇 sccm至約 8000 SCCm的電漿起始氣體,例如氦氣,供應流速從約 400 seem至8000 seem的碳氳化合物來源,例如乙炔 (CzH2),施加從約1〇瓦至約2000瓦的雙頻111?功率,將 φ 腔至壓力維持在從約2托耳至約20托耳,以及將腔室溫 度維持在從約25°C至約475°C。此製程範圍提供a_C:H 層範圍在約10埃/分鐘至約30000埃/分鐘内的沈積速 率以及從約30%至約100%的共形性(沈積在一特徵結構 側壁上之一層的平均厚度對相同沈積層在該基材範圍, 或上表面,上的平均厚度之比例)。熟知技藝者,在閱讀 此間揭示後,可計算出適當的製程參數,以製造沈積速 率不同的a-C:H膜。 在該沈積製程之一實施例中,執行複數個獨立的無定 18 201026877 形碳沈積以形成一無定形碳層。在該複合沈積製程之一 態樣中’-沈積步驟,如在此所述者之後緊接一暫停 ,驟’其中電漿起始氣體、—稀釋氣體、及/或前驅物 可乂降低或無沈積速率的狀態流通。適合的電裝起始氣 鱧、稀釋氣體、及/或前驅物可以從約1〇〇 s_至約 40000 seem的流速通入該腔室内。若使用該電漿起始氣 體即/或-稀釋氣體,可為該暫停步驟起始__電聚。該 ❹沈積和暫停步驟然、後可重複直到得到預期厚度為止,並 且可以循環1至100次,例如循環1〇至5〇次例如循 環30次,或者是沈積約1%至約1〇〇%之間的無定形碳材 料厚度,例如從約2。/。至約10%的循環,例如約3 3%。 一獨立週期的每一次循環可沈積從約1埃至約1〇〇〇埃厚 的無定形碳材料’以形成厚度從約1〇埃至約15〇〇〇埃的 無定形碳層。該循環沈積製程可使用一或多種上述製程 參數調整。 φ 或者,也可抽出氣體,然後在沈積步驟之前或暫停步 驟期間再次通入。 咸信一多層沈積方案會降低有效薄膜沈積速率,改善 共形性。此外,新沈積的碳原子可在該暫停步驟期間擴 散,更進一步改善共形性❶一般而言,共形性在一特定 無定形碳膜層厚度的層數量增加時(較薄的個別層厚度 及較多次重複),並且在暫停步驟時間對沈積步驟時間的 比例較大時(低的有效沈積速率)獲得改善。例如,暫停 步驟時間對沈積步驟時間的比例可從約100 : 1至約!: 1 〇〇。藉由調整各別層的厚度和暫停對沈積時間比例,可 19 201026877 調整無定形碳膜層的共形性 另一種樞紐以改善一特定電 條件(前驅物、氡體、流速、 共形性。 以符合元件需求,因而提供 衆輔助化學氣相沈積之沈積 壓力、溫度、RF功率等)的 本發明方法之—± 轨+ 主要優勢在於優於其他a-C:H沈積製 程的共形性增強,如笙?阁拼- , 如第2圖所不。第2圖示出具有一特 徵結構2(Π及-無定形碳層2()2形成在其上的基材細 之概要面圖。無定形碳層202示出利用本發明方法沈 帛薄膜的’、型外觀。就質而言,無定形碳層202係高 度共形且το全覆蓋特徵結構2〇1的侧壁和底部Μ〗。 就量而言,無定形碳層2〇2可擁有從約3〇%至約1〇〇%等 級的共形性。例如從約鳩至約9G%,其中共形性(共形 性董度)係定義為沈積在側壁2〇4上的無定形碳層2〇2之 平均厚度S對基材200上表面205上的無定形碳層2〇2 之平均厚度T的比例。再參見第!圖,非共形之無定形 碳層202係經示為具有約5%的共形性。 利用在此所述製程沈積之無定形碳材料的範例如下。 ® 比較範例A: 一供比較的無定形碳沈積製程範例包含 提供約400 seem的氦氣流速至該處理室,約8〇〇() sccm 的氬氣流速至該處理室’提供約6000 seem的C3H6流速 至該處理室,施加約1250瓦的高頻rf功率(13 56 MHz) ’將沈積溫度維持在約3〇〇它,將腔室壓力維持在 約4.5托耳’連同約380密爾的間距以在密集區域上以 及開放區域階梯覆蓋(共形性量度)產生共形性約2〇%的 無定形碳層。 在一第一範例中,藉由提供約4000 sccrn的氦氣流速 20 201026877 ^該處理室,提供約2000 sccm的C#2流速至該處理 至’施加約50瓦的高頻RF功率(13.56 MHz),將沈積溫 度維持在約400。(:,將腔室壓力維持在約9托耳,連同 約3〇〇密爾的間距來沈積一無定形碳層,產生187埃/ 分鐘的沈積速率,並且觀察到達成密集區域約83%至開 放區域階梯覆蓋(共形性量度)約96%的共形性。所有範 例皆在深寬比約2.1:1的特徵結構上執行。 在第一範例中,藉由提供約2000 seem的氦氣流速 至該處理室,提供約200〇 seem的C2H2流速至該處理 ® 室,施加約100瓦的高頻RF功率(13.56 MHZ),將沈積 溫度維持在約400°C,將腔室壓力維持在約9牦耳,連 同約300密爾的間距來沈積一無定形碳層,產生516埃 /分鐘的沈積速率,並且觀察到達成密集區域約82%至 開放區域階梯覆蓋(共形性量度)約86%的共形性。該第 一範例及該第二範例皆在深寬比約2.1:1的特徵結構上 執行。 在一第三範例中,藉由提供約4000 sccm的氦氣流速 © 至該處理室,提供約2000 sccm的C2HZ流速至該處理 室,施加約20瓦的高頻RF功率(13 56 MHz),將沈積溫 度維持在約400。(:,將腔室壓力維持在約9托耳,連同 約300密爾的間距來沈積一無定形碳層,產生64埃/分 鐘的沈積速率,並且觀察到達成密集區域約93%至開放 區域階梯覆蓋(共形性量度)約97%的共形性。 在一第四範例中,藉由提供約4000 sccm的氦氣流速 至該處理室,提供約2000 seem的CzH2流速至該處理 室,施加約1〇〇〇瓦的高頻RF功率(13 56 MHz),將沈積 21 201026877 溫度維持在約40(rc,將腔室壓力維持在約7托耳,連 同約31〇密爾的間距來沈積一無定形碳層。 在一第五範例中’利用沈積步驟之後緊接氦氣淨化步 驟之丨4次沈積循環來沈積一無定形碳層,該沈積步驟提 供約4〇〇〇 scem的氦氣流速至該處理室提供約2〇⑽ m的C2H2流速至該處理室’施加約1 〇〇瓦的高頻rf 功率(13.56 MHz),將沈積溫度維持在約3〇〇t:,將腔室 壓力維持在約9托耳,連同約300密爾的間距,產生9〇9 埃/分鐘的沈積速率,並且觀察到達成約84%的密集區 域覆蓋(共形性量度)。該氦氣淨化步驟係在相同製程參 數下執行,除了無流並且無施加RF功率之外。 在一第六範例中,利用沈積步驟之後緊接氦氣淨化步 驟之14 _人沈積循環來沈積一無定形碳層。該沈積步驟提 供約400 seem的低氦氣流速至該處理室,提供約4〇〇 seem的C2H2流速至該處理室,施加約1〇〇瓦的高頻好 功率(13.56 MHz)’將沈積溫度維持在約3G(rc,將腔室 壓力維持在約7托耳,連同約3〇〇密爾的間距,產生9〇9 粵埃/刀鐘的沈積速率,並且觀察到達成約。的側壁對 頂。P共形性’ 94%的側壁對底部共形性以及72%的底部 f頂°卩共形性。該氦氣淨化步驟係在相同製程參數下執 行除了無C2H2流並且無施加rf功率之外。 ,在第七範你J中,進行在不同功率範圍下沈積的無定 形碳層之比較。就兩個製程而言藉由提供約糊〇 的氦氣流速至該處理室,提供約2〇〇〇 的c而流速 〇該處理室’施加約5〇瓦或2〇瓦的高頻功率⑴% Hz)將沈積溫度維持在約4〇〇。〇,將腔室壓力維持在 22 201026877 =9托耳’連同約3⑻密爾的間距來沈積該無定形礙。 =0瓦沈積製程以沈積速率細埃/分鐘產生㈣的側 對頂部共形性,而該2G瓦沈積製程以沈積速率64埃 /刀鐘產生93-970/〇的側壁對頂部共形性。 在一第八範例中,進行循環相對於單一步驟沈積所沈 積出的無定形碳層之比較。就兩個製程而言藉由提供 約4000 sccm的氦氣流速至該處理室提供約“ο。 的QH2流速至該處理室,施加約1〇〇瓦的高頻rf功率 (56 MHz),將沈積溫度維持在約4〇〇乞,將腔室壓力 維持在約9托耳’連同約3〇〇密爾的間距來沈積該無定 形碳。該單—步驟沈積製程產生51%的側壁對頂部共形 性87/〇的側壁對底部共形性以及59%的底部對頂部共 形性。包含沈積之後緊接一氦氣淨化步驟之14次循環的 循環沈積步驟產生71%的側壁對頂部共形性,92%的側 壁對底部共形性以及77%的底部對頂部共形性。該氦氣 淨化步驟係在相同條件下執行,除了無施加RF功率並且 不提供C2H2之外。 • 在一第九範例中,進行兩種循環製程相對於單一步驟 沈積所沈積出的無定形碳層之比較。就兩個製程而言, 藉由提供約4000 sccm的氦氣流速至該處理室提供約 2000 seem的qH2流速至該處理室,施加約5〇瓦的高頻 RF功率(13.56 MHz),將沈積溫度維持在約4〇〇〇c,將腔 室壓力維持在約9托耳,連同約300密爾的間距來沈積 該無定形碳。該單一步驟沈積製程使用25瓦的RF功率 應用並產生密集結構之56%的侧壁對頂部共形性及開放 結構之87%的側壁對頂部共形性。該第一循環製程之第 23 201026877 一循環處理系列使用25次持續7秒、每一者20埃之上 述沈積和氦氣冷卻循環’產生密集結構之5 5 %的側壁對 頂部共形性及開放結構之82%的側壁對頂部共形性;而 該第二系列使用10次持續15秒、每一者50埃之沈積和 氦氣循環,產生密集結構之54%的側壁對頂部共形性及 開放結構之7 5 %的侧壁對頂部共形性。該第二製程之第 一循環處理系列使用25次每一者20埃之七秒鐘的沈積 循環,產生密集結構之78%的側壁對頂部共形性及開放 結構之89%的側壁對頂部共形性;該第二系列使用1〇次 每一者50埃之十五秒鐘的沈積循環,產生密集結構之 69%的側壁對頂部共形性及開放結構之89%的側壁對頂 邛共形性,而該第三系列使用25次每一者20埃之七秒 鐘的沈積和13秒鐘的穩定步驟循環,產生密集結構之 55%的侧壁對頂部共形性及開放結構之92%的側壁對頂 部共形性。 第4A-41圖係使用在此所述之無定形碳層蝕刻一材料 層之製程的概要側視圖。在一基材表面(未示出)上沈積 _ 。基底材料41〇,以開始形成一材料堆疊40(^該基底材 料可以是用來形成半導體元件之一或多種材料,包含矽 基材材料、氧化物材料、多晶石夕材料或諸如此類。在 該基底材料410上沈積一第一無定形碳層42〇,並且在 該第一無定形碳層420上沈積一第一抗反射層材料 430,如第4B圓所示。該無定形碳層可以是能夠從加州 聖塔克拉扳的應用材料公司購得之先進曝光圖樣薄膜 (APF)材料’或者’如在此所述之無定形碳材料。該第一 抗反射層材料43G係用來在微影圖案化製程期間控制光 24 201026877 線反射。該第一抗反射層材料43 0可包含二氧化矽、氧 氮化矽、氮化矽、或其組合物。該抗反射層材料可以是 勺從加州聖塔克拉拉的應用材料公司購得之darcTM 材料層。 可在該第一抗反射層材料上依序沈積一第二無定形碳 層440和一第二抗反射層材料45〇,如第圖所示。該 第一無疋形碳層440和該第二抗反射層材料 450可以是 如沈積層420和帛一抗反射層材#43〇者相同的材料。 然後在該第二抗反射層材料450上沈積一光阻層46〇, 例如光阻劑材料,如第4D圖所示。然後利用一微影製 程圖案化該光阻層’產生一圖案化光阻層461,如第4e 圖所不。形成在該光阻層461内的第一圖案462係利用 一或多個蝕刻製程首先蝕刻該第二抗反射層材料45〇, 然後蝕刻該第二無定形碳層44〇來轉移至該第二無定形 碳層440,而形成一圖案化的第二無定形碳層441〇如第 4F圖所不。該圖案化的第二無定形碳層441可做為下方 材料的硬光罩。該第二抗反射層材料45〇可利用該一或 # 多個餘刻製程或利用一分開的製程移除》 在該第一抗反射層材料430和該圖案化的第二無定形 碳層441上沈積一共形的無定形碳層47〇,如第4G圖所 示。該共形的無定形碳層可利用在此所述之任何製程沈 積。利用一非等向蝕刻製程圖案化該共形的無定形碳層 470 ’以提供側壁無定形碳材料471,如第々η圖所示。 該侧壁無定形碳材料471的存在容許第二圖案472形 成,其具有與否則可在正常情況下利用現行微影製程實 現者相比縮小的關鍵尺寸和特徵結構尺寸,即增加的圖 25 201026877 案密度。該圖案化的第二無定形碳層441結合該側壁無 定形碳材料471可做為下方的第一抗反射層材料43〇和 該第一無定形碳層420的硬光罩層。 然後钱刻該第一抗反射層材料43〇以形成具有該第二 圖案472之圖案化抗反射層431,如第41圖所示。在該 蝕刻製程期間或利用一後續製程除去該圖案化的第二無 定形碳層441和該側壁無定形碳材料471。然後蝕刻該 第一無定形碳層420以形成具有欲轉移至下方基底材料 410的第二圖案472之圖案化的第一無定形碳層421。然 • 後利用該圖案化的第一無定形碳層421做為硬光罩層來 蝕刻該基底材料410,如第4K圖所示,接著除去該圖案 化的第一無定形碳層421以提供含有具備該第二圖案 472的圖案化基底材料4Π之基材表面,如第圖所示。 在另一實施例中,為第4F-4L圖使用一圖案化光阻材 料來取代該圖案化的第二無定形碳層441,因此除去對 於第4C-4E圖之該圖案化的第二無定形碳層44〇和一第 一抗反射層材料450以及對應的沈積步驟和蝕刻步驟的 ❹ 需要。 第5A-5H圖係在一空間光罩雙重圖案化製程内使用在 此所述之無定形碳層之製程的概要側視圖。在一基材表 面上沈積一基底材料510,以開始形成一材料堆疊5〇〇。 該基底材料可以是用來形成半導體元件之一或多種材 料,包含矽基材材料、氧化物材料、多晶矽材料、或諸 如此類。在該基底材料510上沈積一第一無定形碳層 520’並且在該第一無定形碳層52〇上形成具有一第一圓 案532的圖案化光阻層530,如第5A圖所示》該無定形 26 201026877 碳層可以是能夠從加州聖塔克拉拉的應用材料公司靖得 之先進曝光圖樣薄膜(APF)材料,或者,如在此所述之無 定形碳材料。可利用一微影製程來圖案化該光阻層53〇1 然後使該圖案化光阻層530經受一修整製程藉此窄化 該圖案化光阻材料的寬度而形成由該經修整的光阻材料 31界疋之第一圖案533,如第5B圖所示。然後钱刻該 第一無定形碳層520以轉移該第二圖案533,而形成一 圖案化的無定形碳層521,如第5c圖所示。 % 然後鄰接該圖案化的無定形碳層521結構形成側壁間 隙壁540。間隙壁可包含一種蝕刻速率與該第一無定形 碳層或共形的無定形碳材料不同的可蝕刻材料。適合的 材料包含,例如,二氧化矽、氧氮化矽、氮化矽、或其 組合物《然後在該等侧壁間隙壁54〇及圖案化的無定形 碳層521結構上沈積共形的無定形碳材料之縫隙填補層 550,如第5E圖所示。可利用在此所述之任何製程來沈 積該共形的無定形碳材料。較佳的共形的無定形碳材料 是蝕刻性質與圖案化的無定形碳層521相似者。然後回 蝕該縫隙填補層550以暴露出該等側壁間隙壁540,如 第5F圖所示。接著蝕刻該等側壁間隙壁54〇以暴露出基 底材料510,界定出一硬光罩層551,如第5G圖所示。 然後可圖案化蝕刻該基底材料51〇以形成一圖案化基底 材料511 ’如第5H圖所示。 在另一製程中,在如第5D圖所示般鄰接該圖案化的無 定形碳層521結構形成該等側壁間隙壁54〇之後,接著 將該圖案化的無定形碳層521從該基材表面去除。該等 側壁間隙壁540於是形成一圖案,如第5E,所示,其可 27 201026877 用來做為該基底材料510的硬光罩。接著可圖案化蝕刻 該基底材料51〇以形成一圖案化基底材料511。 第6A-6J圖係使用在此所述之無定形碳層來蝕刻一材 料層,例如利用低於100度的無定形碳沈積製程,的製 程之概要侧視圖。在一基材表面(未示出)上沈積一基底 t料610,以開始形成一材料堆疊6〇(^該基底材料可以 疋用來形成半導體元件之一或多種材料包.含矽基材材 料、氧化物材料、多晶矽材料、或諸如此類。在該基底 材料610上沈積一第一無定形碳層620,並且在該第一 無定形碳層620上沈積一抗反射層材料63〇,如第66圖 所示。該無定形碳層可以是能夠從加州聖塔克拉拉的應 用材料公司購得之先進曝光圖樣薄膜(APF)材料,或者, 如在此所述之無定形碳材料。該抗反射層材料63〇係用 來在微影圖案化製程期間控制光線反射。該抗反射層材 料630可包含二氧化矽、氧氮化矽、氮化矽、或其組合 物。該抗反射層材料可以是能夠從加州聖塔克拉拉的應 用材料公司購得之DARC™材料層。 ® 然後在該抗反射層材料630上沈積一光阻層640,例 如一光阻劑材料’如第6C圖所示。接著利用一微影製程 圖案化該光阻層’產生一圖案化光阻層641,如第6〇圖 所示。該圖案化光阻層641形成一第一蝕刻圖案642。 利用在此所述製程及共形性共形地或實質上共形地在 該圖案化光阻層641上沈積一第二無定形碳層65〇,如 第6E圖所示。該共形的無定形碳層可利用在此所述之任 何製程沈積。在一範例中,該第二無定形碳材料係利用 低於100°C的沈積製程沈積。餘刻並圖案化該第二| 乃' """ 28 201026877 形碳層650以形成一第二蝕刻圖案652,其具有比該第 一蝕刻圖案縮小的,例如較窄的,特徵結構尺寸如^第 6F圖所不。利用一非等向蝕刻製程圖案化該共形的第二 無定形碳層650,以提供側壁無定形碳材料651。
該側壁無定形碳材料651的存在容許第二圖案652形 成,其具有與否則可在正常情況下利用現行微影製程實 現者相比縮小的關鍵尺寸和特徵結構尺寸,即增加的圖 案密度。藉由此製程’形成在該光阻層内之特徵結構定 義的尺寸,例如關鍵尺寸,可縮減(“縮小,,),以在下方層 内提供較精細的特徵結構圖案。該圖案化光阻層641社 合該側壁無定形碳材料651可做為下方的抗反射層材; 630和該第一無定形碳層62〇的硬光罩層。 _以該侧壁無㈣碳材料651及該光阻層641形成的第 :::圖案652係利用一或多個蝕刻製程首先蝕刻該抗 反射層材料630’如第6G圖般1錢刻該卜益定形 碳層㈣,如第6H圖般’來轉移至該第一無定形碳層 ,而形成-圖案化的第一無定形碳層621。該 =第一無㈣碳層621可做為下方基底材料㈣的硬光 :二:反射層材料631可在钱刻該下方材料之前利用 、一或多個蝕刻製程或利用-分開的製程移除。 =刻該第一無定形碳層62〇以形成具有欲轉移至 無K妯材料610的第二蝕刻圖帛652之圖案化的第-第' :無宕層62卜如第6H圖所示。然後利用該圖案化的 621做為硬光罩層來㈣該基底材料 第61圖所示,接著除去該圖案化的第—無定形 層以提供含有具備該第二姓刻圖案如的圖案化 29 201026877 基底材料611之基材表面,如第6J圖所示。 第7圖係在此設置在一半導體結構中的無定形唉層的 使用之概要側視圖。第7圖揭示利用在此所述製程之一 沈積的無定形碳層的使用,其係用來作為倒T形閘極7〇〇 的犧牲側壁光罩。在該基材710上沈積一通道氧化物層 720。在該通道氧化物層上沈積一摻雜的多晶矽層73〇, 並在該摻雜的多晶矽層730上沈積一高熱氧化物(HT〇) 層740〇在該高熱氧化物(HTO)740上沈積一光罩層75〇。 圖案化該光罩層750並钱刻該氧化物層740和該播雜的 ® 多晶矽層730,以形成特徵結構755。利用在此所述方法 之一沈積的無定形碳層760係沈積在該蝕刻基材表面 上,以形成所製造的特徵結構755之側壁覆蓋。 雖然前述係針對本發明實施例,但本發明之其他及進 一步實施例可在不背離其本範圍下設計出,並且其範圍 係由如下申請專利範圍界定。 【圖式簡單說明】 Φ …、 因此可以詳細暸解上述本發明之特徵結構的方式,即 對本發明更明確的描述’簡短地在前面概述過,可藉由 參考實施例來得到’其中某些在附圖中示出。但是應注 意的是,附圖僅示出本發明之一般實施例,因此不應視 為係對其範圍之限制’因為本發明可允許其他等效實施 例0 第1圖(先前技藝)係具有一特徵結構及一非共形無定 形碳層形成在其上的基材之概要剖面圖。 30 201026877 第2圓係具有一特徵結構及一無定形碳層形成在其上 的基材之概要刳面圖。 第3圖係可用來執行根據本發明實施例之無定形碳層 沈積的基材處理系統之概要示意圖。 第4A-4L圖係使用在此所述之無定形碳層來蝕刻一材 料層的製程之一實施例的概要側視圖。 第5A-5H及5E’圖係在一空間光罩雙重圖案化製程中 使用在此所述之無定形碳層的製程之一實施例的概要側 視圖。 第6A-6J圖係使用在此所述之無定形碳層來蝕刻一材 料層的製程之另一實施例的概要側視圖。 第7圖係在此設置在一半導體結構中的無定形碳層的 使用之概要侧視圖。 為促進了解,在可能時使用相同的元件符號來表示該 等圖式共有的相同元件》預期到一實施例的元件及/或 製程步驟可有利地併入其他實施例而不需特別詳述。 【主要元件符號說明】 100、200、710 基材 111、 201、755 特徵結構 112、 202、420、421、440、441、470、520、521、62〇、 621、650、760 無定形碳層 114、204 側壁 31 201026877 203 底部 205 基材上表面 300 基材處理系統 302 真空幫浦 306 電源供應器 310 控制單元 312 中央處理單元 314 支撐電路
316 控制軟體 320 喷頭 325 製程腔室 330 氣體分配盤 350 基材支撐座 360 支桿 370 加熱器元件 372 溫度感應器 390 基材 392 電漿 395 基材表面 400、500、600 材料堆疊 410、411、510、511、610、611 基底材料 43 0、431、450、63 0 抗反射層材料 460、461、53 0、531、640、641 光阻層 462 > 532 ' 642 第一圖案 32 201026877 471、 651 側壁無定形碳材料 472、 533、652 第二圖案 540 侧壁間隙壁 550 縫隙填補層 551 硬光罩層 700 閘極 720 通道氧化物層 730 多晶矽層 740 高熱氧化物層 750 光罩層 33

Claims (1)

  1. 201026877 七、申請專利範圍: 1.一種在一基材上形成一無定形碳層的方法,其至少包 含: 將一基材設置在一基材處理室内; 將碳對氮原子比大於1:2的一碳氫化合物來源通入 該處理室; 將選自由氫氣、氣氣、氬氣、氣氣、及其組合物所組 • 成的族群之一電聚起始氣體通入該處理室,並且該碳氫 化合㈣源的體積流速對該電聚起始氣體的體積流速比 為1 : 2或更大; 在該處理室内以1瓦/平方公分或更㈣RF功率、2 托耳或更高的壓力、以及約30(rc至約48(rc的溫度產生 一電漿;以及 在該基材上形成一共形無定形碳層。 ❹2.如申明專利範圍第μ所述之方法更包含在形成該 無定形碳層之後執行一淨化處理步驟。 3.如申請專利範圍第2項所述之方法,更包含重複該無 形反形成製程步驟及該淨化處理步驟的循環約2至約 50 次。 、"J 申明專利範圍第1項所述之方法’更包含將-稀釋 34 201026877 氣體通入該處理室内,連同該氫氣前驅物、該電漿起始 氣體、或兩者。 5. 如申請專利範圍第1項所述之方法,其中上述之碳氫 化合物來源的碳對氫原子比為2 : 3或更高,並包含一或 多種選自由乙炔、乙烯乙炔、笨、笨乙烯、甲苯、二甲 苯、吡啶、苯乙酮、酚、呋喃、c3H2、C5H4、氟苯、二 氟苯、四氟笨、及六氟苯所組成的族群之化合物。 W 6. 如申請專利範圍第1項所述之方法,其中上述之碳氫 化合物氣體的體積流速對該電漿起始氣體的體積流速比 係從約1:1至約1 : 2。 7. 如申請專利範圍第1項所述之方法,其中上述之rf功 率係經施加約0·01至約5瓦/平方公分。 8·如申請專利範圍第1項所述之方法,其中上述之壓力 係從約2托耳至約20托耳。 9.如申請專利範圍第1項所述之方法,其中上述之Rf功 率係由一雙頻系統提供。 10_如申請專利範圍第1項所述之方法,其中上述之無定 形碳層擁有從約30%至約100%的共形性。 35 201026877 η·-種在-處理室内處理一基材的方法其至少包含: 執行一沈積循環,包含: 及 在1基材的—表面上形成一共形無定形碳材料 使一淨化氣體流動通過該處理室;以及 重複該循環2和50次之間。
    12:如申請專利範圍第u項所述之方法其中上述之淨 化氣體包含一惰性氣體或-碳氫化合物來源氣體。 13.如申請專利範圍第11項所述之方法,其中上述之淨 化氣體可被激發成一電聚。 14.如申請專利範圍第11項所述之方法其中上述之暫 • 停步驟時間對沈積步驟時間的比例可從約1〇〇 : !至約 1 : 100 ° 15. 如申請專利範圍第n項所述之方法,其中上述之每 一次沈積循環可沈積2%和50%之間的無定形碳層厚度。 16. 如申請專利範圍第u項所述之方法,其中上述之在 該基材的一表面上形成一共形無定形碳材料包含: 將碳對氫原子比為1:2或更高的碳氫化合物來源通 36 201026877 入該處理室; 將選自由氫氣、氦氣、氬氣、氮氣、及其組合物所組 成的族群之一電漿起始氣體通入該處理室,並且該碳氫 化合物來源的體積流速對該電漿起始氣體的體積流速比 為1 : 2或更大; 在該處理室内以5瓦/平方公分或更低的RF功率、2 托耳或更咼的壓力、以及約300°C至約48(TC的溫度產生 一電漿。 ❹ 17. 如申請專利範圍第16項所述之方法,更包含將一稀 釋氣體通入該處理室内,連同該氫氣前驅物、該電漿起 始氣體、或兩者。 18. 如申請專利範圍第16項所述之方法,其中上述之碳 氳化合物來源的碳對氫原子比為2:3或更高,並包含一 G 或多種選自由乙炔、乙烯乙炔、苯、苯乙烯、甲苯、二 甲苯、吡啶、苯乙酮、酚、呋喃、C3jj2、c5H4、氟苯、 二氟苯、四氟苯、及六氟苯所組成的族群之化合物。 19. 如申請專利範圍第16項所述之方法,其中上述之製 程包含一或多種選自由該碳氫化合物氣體的體積流速對 該電黎·起始氣體的體積流速比係從約1:1至約1:2、 施加從約0.01至约5瓦/平方公分的RF功率、該屋力 係從約2托耳至約20托耳、以及該溫度係從約3〇〇〇c至 37 201026877 約480°C所組成的族群之處理參數 疋形碳層的方法,其至少包 20.—種在一基材上形成 含: 將一基材設置在一基材處理室内; 將碳對氫原子比大於1:2沾 ^ . ^ 1 2的一碳虱化合物來源通入 該處理室;
    將選自由氫氣、氦氣、氬氣、氣氣、及其組合物所組 成的族群之一電漿起始氣體通入該處理室,並且該碳氫 化合物來源的體積流速對該電漿起始氣體的體積流速比 為1.2或更大,其中該碳氫化合物來源和該電漿起始氣 體係利用設置在距離基材表面4〇〇密爾和6〇〇密爾之間 的一氣體分配器通入該處理室; 在該處理室内以1瓦/平方公分或更低的RF功率以 及約〇°C至約1 〇〇〇C之間的溫度產生一電漿;以及 在該基材上形成一共形無定形碳層。 38
TW098134833A 2008-10-14 2009-10-14 利用電漿增強化學氣相沉積來沉積共形無定形碳膜層的方法 TWI421364B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10534808P 2008-10-14 2008-10-14

Publications (2)

Publication Number Publication Date
TW201026877A true TW201026877A (en) 2010-07-16
TWI421364B TWI421364B (zh) 2014-01-01

Family

ID=42099256

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098134833A TWI421364B (zh) 2008-10-14 2009-10-14 利用電漿增強化學氣相沉積來沉積共形無定形碳膜層的方法

Country Status (6)

Country Link
US (1) US8105465B2 (zh)
JP (1) JP2012506151A (zh)
KR (1) KR101357181B1 (zh)
CN (1) CN102187432B (zh)
TW (1) TWI421364B (zh)
WO (1) WO2010045153A2 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI549199B (zh) * 2010-11-22 2016-09-11 應用材料股份有限公司 複合之可移除硬光罩及其形成方法
TWI715872B (zh) * 2017-10-03 2021-01-11 美商得昇科技股份有限公司 利用有機基之矽或矽鍺表面的表面處理
TWI789069B (zh) * 2020-10-22 2023-01-01 美商應用材料股份有限公司 由電極調整進行硬遮罩調諧

Families Citing this family (388)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US7842622B1 (en) * 2009-05-15 2010-11-30 Asm Japan K.K. Method of forming highly conformal amorphous carbon layer
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN102939641A (zh) 2010-04-30 2013-02-20 应用材料公司 改良堆迭缺陷率的非晶碳沉积方法
US8361906B2 (en) * 2010-05-20 2013-01-29 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
TW201304162A (zh) * 2011-05-17 2013-01-16 Intevac Inc 製作太陽能電池背側點接觸的方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
WO2013061398A1 (ja) * 2011-10-24 2013-05-02 株式会社ユーテック CxNyHz膜、成膜方法、磁気記録媒体およびその製造方法
US20130109198A1 (en) * 2011-10-26 2013-05-02 American Air Liquide, Inc. High carbon content molecules for amorphous carbon deposition
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9093495B2 (en) * 2012-01-03 2015-07-28 International Business Machines Corporation Method and structure to reduce FET threshold voltage shift due to oxygen diffusion
US20130189845A1 (en) * 2012-01-19 2013-07-25 Applied Materials, Inc. Conformal amorphous carbon for spacer and spacer protection applications
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
CN102637582B (zh) * 2012-04-09 2015-03-11 上海华力微电子有限公司 一种防止磷硅酸盐玻璃或硼磷硅酸盐玻璃薄膜吸水的方法
US8679987B2 (en) * 2012-05-10 2014-03-25 Applied Materials, Inc. Deposition of an amorphous carbon layer with high film density and high etch selectivity
SG195494A1 (en) * 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9514932B2 (en) * 2012-08-08 2016-12-06 Applied Materials, Inc. Flowable carbon for semiconductor processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
WO2014149281A1 (en) 2013-03-15 2014-09-25 Applied Materials, Inc. Layer-by-layer deposition of carbon-doped oxide films
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9406509B2 (en) 2014-01-22 2016-08-02 Applied Materials, Inc. Deposition of heteroatom-doped carbon films
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
CN104099661B (zh) * 2014-07-12 2016-08-17 吉林大学 一种低温、自组织生长非晶碳杂合单晶纳米石墨的制备方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9548188B2 (en) 2014-07-30 2017-01-17 Lam Research Corporation Method of conditioning vacuum chamber of semiconductor substrate processing apparatus
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9337051B2 (en) 2014-08-14 2016-05-10 Applied Materials, Inc. Method for critical dimension reduction using conformal carbon films
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9928994B2 (en) * 2015-02-03 2018-03-27 Lam Research Corporation Methods for decreasing carbon-hydrogen content of amorphous carbon hardmask films
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9646818B2 (en) * 2015-03-23 2017-05-09 Applied Materials, Inc. Method of forming planar carbon layer by applying plasma power to a combination of hydrocarbon precursor and hydrogen-containing precursor
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US9659771B2 (en) 2015-06-11 2017-05-23 Applied Materials, Inc. Conformal strippable carbon film for line-edge-roughness reduction for advanced patterning
US9793108B2 (en) * 2015-06-25 2017-10-17 Applied Material, Inc. Interconnect integration for sidewall pore seal and via cleanliness
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
KR20180097763A (ko) * 2016-01-20 2018-08-31 어플라이드 머티어리얼스, 인코포레이티드 측방향 하드마스크 리세스 감소를 위한 하이브리드 탄소 하드마스크
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US9768034B1 (en) * 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
JP6561093B2 (ja) * 2017-07-24 2019-08-14 東京エレクトロン株式会社 シリコン酸化膜を除去する方法
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11358869B2 (en) 2017-08-08 2022-06-14 H Quest Vanguard, Inc. Methods and systems for microwave assisted production of graphitic materials
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
WO2019032554A1 (en) * 2017-08-08 2019-02-14 H Quest Vanguard, Inc. NON-THERMAL PLASMA CONVERSION OF HYDROCARBONS
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
TWI633201B (zh) * 2017-10-24 2018-08-21 國立交通大學 非晶碳薄膜、其製造方法與包含其之光學系統
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
CN111954921A (zh) 2018-04-09 2020-11-17 应用材料公司 用于图案化应用的碳硬掩模及相关的方法
WO2019199922A1 (en) 2018-04-13 2019-10-17 Mattson Technology, Inc. Processing of workpieces with reactive species generated using alkyl halide
US20210043455A1 (en) * 2018-04-24 2021-02-11 Applied Materials, Inc. Plasma-enhanced chemical vapor deposition of carbon hard-mask
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102363121B1 (ko) 2018-06-11 2022-02-15 매슨 테크놀로지 인크 워크피스의 처리를 위한 수소 반응성 종의 생성
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10847376B2 (en) * 2018-06-28 2020-11-24 Sandisk Technologies Llc In-situ deposition and etch process and apparatus for precision patterning of semiconductor devices
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
CN110890376B (zh) * 2018-09-11 2022-08-02 长鑫存储技术有限公司 半导体器件的制备方法
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11495456B2 (en) 2018-10-15 2022-11-08 Beijing E-Town Semiconductor Technology, Co., Ltd Ozone for selective hydrophilic surface treatment
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10950416B2 (en) 2018-11-16 2021-03-16 Mattson Technology, Inc. Chamber seasoning to improve etch uniformity by reducing chemistry
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US10403492B1 (en) 2018-12-11 2019-09-03 Mattson Technology, Inc. Integration of materials removal and surface treatment in semiconductor device fabrication
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
WO2020141850A1 (ko) * 2018-12-31 2020-07-09 충남대학교산학협력단 탄화수소 박막, 탄화수소 박막의 제조방법 및 탄화수소 박막을 포함하는 반도체 소자
KR102314727B1 (ko) * 2018-12-31 2021-10-19 충남대학교산학협력단 탄화수소 박막, 탄화수소 박막의 제조방법 및 탄화수소 박막을 포함하는 반도체 소자
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
CN113748227A (zh) * 2019-04-15 2021-12-03 应用材料公司 静电吸附工艺
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
TW202111144A (zh) 2019-04-30 2021-03-16 美商得昇科技股份有限公司 使用甲基化處理的選擇性沉積
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
KR20210100535A (ko) * 2020-02-05 2021-08-17 에이에스엠 아이피 홀딩 비.브이. 탄소 재료를 포함한 구조체를 형성하는 방법, 이 방법을 사용하여 형성된 구조체, 및 이 구조체를 형성하기 위한 시스템
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
US11437230B2 (en) * 2020-04-06 2022-09-06 Applied Materials, Inc. Amorphous carbon multilayer coating with directional protection
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR102451638B1 (ko) * 2020-06-12 2022-10-06 충남대학교산학협력단 고유전막 및 이를 포함하는 반도체 또는 커패시터 소자
CN113818002A (zh) * 2020-06-19 2021-12-21 拓荆科技股份有限公司 一种薄膜制备方法
KR102375281B1 (ko) * 2020-06-22 2022-03-17 울산과학기술원 고유전 탄화수소 박막을 이용한 커패시터 및 이를 이용한 반도체 소자
KR20210157756A (ko) * 2020-06-22 2021-12-29 충남대학교산학협력단 비정질 탄화수소 박막의 패시베이션에 의한 전하 채널층의 전하이동도 향상 방법
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11404263B2 (en) * 2020-08-07 2022-08-02 Applied Materials, Inc. Deposition of low-stress carbon-containing layers
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
US20220076945A1 (en) * 2020-09-08 2022-03-10 Applied Materials, Inc. Amorphous carbon for gap fill
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US20220178026A1 (en) * 2020-12-03 2022-06-09 Applied Materials, Inc. Carbon cvd deposition methods to mitigate stress induced defects
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09128708A (ja) * 1995-10-27 1997-05-16 Hitachi Ltd 薄膜磁気ヘッド及び磁気ディスク記録再生装置
KR0152251B1 (ko) * 1995-11-02 1998-10-15 장진 층 .층 .층 제작 방법에 의한 유사다이아몬드 박막 제조 방법
JP3325793B2 (ja) * 1996-03-22 2002-09-17 三洋電機株式会社 非晶質半導体及びその製造方法並びに光起電力装置
MY132894A (en) * 1997-08-25 2007-10-31 Ibm Layered resist system using tunable amorphous carbon film as a bottom layer and methods of fabrication thereof
US6364954B2 (en) * 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
JP2001207265A (ja) * 2000-01-27 2001-07-31 Kubota Corp 成膜装置
JP2001207268A (ja) * 2000-01-27 2001-07-31 Kubota Corp 成膜装置
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
JP2001254170A (ja) * 2000-03-09 2001-09-18 Komatsu Ltd 非晶質炭素膜の成膜装置とその成膜方法
US7407893B2 (en) * 2004-03-05 2008-08-05 Applied Materials, Inc. Liquid precursors for the CVD deposition of amorphous carbon films
US20060260545A1 (en) * 2005-05-17 2006-11-23 Kartik Ramaswamy Low temperature absorption layer deposition and high speed optical annealing system
US7732342B2 (en) * 2005-05-26 2010-06-08 Applied Materials, Inc. Method to increase the compressive stress of PECVD silicon nitride films
US20070286954A1 (en) * 2006-06-13 2007-12-13 Applied Materials, Inc. Methods for low temperature deposition of an amorphous carbon layer
US7867578B2 (en) 2006-06-28 2011-01-11 Applied Materials, Inc. Method for depositing an amorphous carbon film with improved density and step coverage
US20080008842A1 (en) * 2006-07-07 2008-01-10 Applied Materials, Inc. Method for plasma processing
KR20080088748A (ko) * 2007-03-30 2008-10-06 삼성전자주식회사 플라즈마 화학기상증착설비 및 그를 이용한 플라즈마화학기상증착방법
KR100777043B1 (ko) * 2007-05-22 2007-11-16 주식회사 테스 비정질 탄소막 형성 방법 및 이를 이용한 반도체 소자의제조 방법
US7842622B1 (en) * 2009-05-15 2010-11-30 Asm Japan K.K. Method of forming highly conformal amorphous carbon layer

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI549199B (zh) * 2010-11-22 2016-09-11 應用材料股份有限公司 複合之可移除硬光罩及其形成方法
TWI715872B (zh) * 2017-10-03 2021-01-11 美商得昇科技股份有限公司 利用有機基之矽或矽鍺表面的表面處理
US11062910B2 (en) 2017-10-03 2021-07-13 Mattson Technology, Inc. Surface treatment of silicon or silicon germanium surfaces using organic radicals
TWI789069B (zh) * 2020-10-22 2023-01-01 美商應用材料股份有限公司 由電極調整進行硬遮罩調諧

Also Published As

Publication number Publication date
KR20110074904A (ko) 2011-07-04
CN102187432A (zh) 2011-09-14
US8105465B2 (en) 2012-01-31
JP2012506151A (ja) 2012-03-08
WO2010045153A2 (en) 2010-04-22
KR101357181B1 (ko) 2014-01-29
WO2010045153A3 (en) 2010-07-29
US20100093187A1 (en) 2010-04-15
TWI421364B (zh) 2014-01-01
CN102187432B (zh) 2013-07-31

Similar Documents

Publication Publication Date Title
TW201026877A (en) Method for depositing conformal amorphous carbon film by plasma-enhanced chemical vapor deposition (PECVD)
TWI554634B (zh) 超高選擇性之可灰化硬遮罩膜
US7867578B2 (en) Method for depositing an amorphous carbon film with improved density and step coverage
CN108140545B (zh) 超高模量与蚀刻选择性的硼-碳硬掩模膜
US8513129B2 (en) Planarizing etch hardmask to increase pattern density and aspect ratio
CN101595559B (zh) 形成镶嵌结构的方法
US20080153311A1 (en) Method for depositing an amorphous carbon film with improved density and step coverage
TW201216329A (en) Amorphous carbon deposition method for improved stack defectivity
US20140370711A1 (en) Nitrogen doped amorphous carbon hardmask
KR20130118880A (ko) 초고도 선택도 도핑된 비정질 탄소 박리가능 하드마스크 현상 및 통합