TW200925317A - Thermocouple - Google Patents

Thermocouple Download PDF

Info

Publication number
TW200925317A
TW200925317A TW097132391A TW97132391A TW200925317A TW 200925317 A TW200925317 A TW 200925317A TW 097132391 A TW097132391 A TW 097132391A TW 97132391 A TW97132391 A TW 97132391A TW 200925317 A TW200925317 A TW 200925317A
Authority
TW
Taiwan
Prior art keywords
thermocouple
spring
temperature
support tube
junction
Prior art date
Application number
TW097132391A
Other languages
English (en)
Inventor
Mike Halpin
Matt Goodman
Original Assignee
Asm Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asm Inc filed Critical Asm Inc
Publication of TW200925317A publication Critical patent/TW200925317A/zh

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01KMEASURING TEMPERATURE; MEASURING QUANTITY OF HEAT; THERMALLY-SENSITIVE ELEMENTS NOT OTHERWISE PROVIDED FOR
    • G01K7/00Measuring temperature based on the use of electric or magnetic elements directly sensitive to heat ; Power supply therefor, e.g. using thermoelectric elements
    • G01K7/02Measuring temperature based on the use of electric or magnetic elements directly sensitive to heat ; Power supply therefor, e.g. using thermoelectric elements using thermoelectric elements, e.g. thermocouples
    • G01K7/04Measuring temperature based on the use of electric or magnetic elements directly sensitive to heat ; Power supply therefor, e.g. using thermoelectric elements using thermoelectric elements, e.g. thermocouples the object to be measured not forming one of the thermoelectric materials
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D23/00Control of temperature
    • G05D23/19Control of temperature characterised by the use of electric means
    • G05D23/1927Control of temperature characterised by the use of electric means using a plurality of sensors
    • G05D23/193Control of temperature characterised by the use of electric means using a plurality of sensors sensing the temperaure in different places in thermal relationship with one or more spaces
    • G05D23/1931Control of temperature characterised by the use of electric means using a plurality of sensors sensing the temperaure in different places in thermal relationship with one or more spaces to control the temperature of one space
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D23/00Control of temperature
    • G05D23/19Control of temperature characterised by the use of electric means
    • G05D23/20Control of temperature characterised by the use of electric means with sensing elements having variation of electric or magnetic properties with change of temperature
    • G05D23/22Control of temperature characterised by the use of electric means with sensing elements having variation of electric or magnetic properties with change of temperature the sensing element being a thermocouple

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Automation & Control Theory (AREA)
  • Remote Sensing (AREA)
  • Measuring Temperature Or Quantity Of Heat (AREA)
  • Chemical Vapour Deposition (AREA)

Description

200925317 九、發明說明: 【發明所屬之技術領域】 本發明係關於溫度感測器,尤其是關於用以加強半導體製 程裝置中溫度控制精確度之溫度感測器。 【先前技術】 。半導體製程腔室用以於低溫(低於700。〇或高溫(大於700 C)且於大氣壓力或降低的壓力下,於製程腔室中沉積各種材 〇 料層到一基板表面或多個表面上。一或多個基板或工件(例如 矽晶圓)置於製程腔室中的工件支撐件上。基板及工件支撐件 兩者皆加熱到所欲溫度。於典型的製程步驟中’反應氣體通過 加熱基板的上方,藉此化學氣相沉積(CVD)反應沉積反應材料 的薄層到基板表面上。透過後續製程,這些層做成積體電路以 及數十到數千甚至數百萬的積體裝置,端視基板的尺寸及電路 的複雜度。 各種製程參數必須小心地控制,以確保造成高品質的沉積 ® 層。此類關鍵參數之一為各製程步驟期間的基板溫度。例如於 CVD期間,沉積氣體於特定溫度反應以沉積薄層於基板上。 若於基板表面各處之溫度變化大時,所沉積的層將會不平,而 可能導致完成的基板表面上的區域無法使用。因此,在反應氣 體導入製程腔室前’使基板溫度於所欲溫度為穩定且一致是非 常重要的。 類似地,於其他熱處理期間,基板各處非一致性或不穩定 200925317 的溫度’會影響基板表面上所致結構的 =:程r但不限於:氧化、氮化、= 麟,儿積心、乾韻刻、電漿製程、以及高溫退火。 之方並量::皮處理基 置㈣置於紐被處理基板的各種位 产更」致二rt連接到控制器以協助提供整個基板表面各 处更致的/皿度。舉例而言,Van Bilsen所獲之美國專笛 ❹ 6,華號’教示量測圍繞基板各個點之溫二== 1含放在靠近基板前緣的熱偶器’其他則-邊-:Ϊ 近尾緣,另一個則置於基板中心之下。 ==器(例如熱偶器)用以量測基板中心 ^近基板^的溫度作為其代紐溫度。熱偶器典型地包含 長形(d〇ngated)陶究支撐齡,熱偶器接腳可透過其延伸,以 及接腳_接面形成於鄰近域構件之 ^ 到接面而不作為製程腔室中的散埶 熱轉移 尖端的内表面。為了維持接面與護;内==續,護套 彈簧來使_1撐齡及接φ偏肖縣尖端。 ㈣ 镬套^的躺熱魅絲柄^,接面與 4套大如的接觸&成接面珠變形。珠的變形因而造成 績的溫度量測飄移。對於取決特定位置一致量測的相和^之 >儿積製程而言’溫度量測飄移導_續被處理基板之整體=積 200925317 此’在多次循職具有溫度量測飄移之熱偶器,比在 ^魏數具有微小姐有溫度量測飄移之熱翻,有較短的 :二因此’需要一種在多次循環具有降低溫度量測飄移量之 二-此外’需要-種形成熱偶器的方法,其中接續製造的 熱偶器間之溫度制飄移量是最小的。 、 【發明内容】· ❹ ⑩ 存在著對熱髓的需要’其降低護套制尖端巾因接線接 面受形而造成的溫度量測飄移量。於本發明一方面,提供一種 化學氣相反應器之溫度控制系統。此溫度控制系統包含至少一 ,熱兀件’収提供傭_反應器。溫度控制系統更包含至 ❹m ’用以提供於反應財被處理基板鄰近位置之 溫度量測。溫度❹㈣包含垂蚊向且具有量測尖端之護套; 置於遵套巾之支撐管;第—及第二接線置於支辟巾;以及接 =形成=第-及第二接線之間。接面位於鄰近支撑管之遠端。 第-及第二接線由不同金屬形成。彈簧置於支撐管之部分附 ^。彈簧施加彈簧力於域管,贿接面偏向制尖端。此彈 尹' =為少於克服重力以維持接面連續接觸量測尖端所需之最 小里的力的8倍。溫度控齡統更包含溫度控儀,操作地連 ,到加熱元件及溫度感測器。溫度控制制以接收各溫度感測 益之溫度量測,並控制加熱元件之功率。 、於本發明另一方面,提供—種量測化學氣相沉積反應器中 被處理基板鄰近位置之溫度之熱偶器。熱偶器包含具有量測尖 ☆而之遵套。4套以貫質垂直方式定向於反應器中。熱偶器亦包 含置於護套中之支撐管。熱偶器更包含由支撐管支撐之第—及 200925317 G接ηϊ:接線由不同金屬形成。接面形成於第-接線間’其中接面位於鄰近切管之遠端。彈箬置於支 ^她加弹育力,以使接面偏向量 =‘其憎,力為少於克服重力以維持接 尖端所需之最小量的力的8倍。 於本發明再-方面,提供—種量測化學氣 ==基板鄰近位置之溫度之熱偶器。熱偶器包含第一接線及 二接線由不同金屬形成。接面藉由溶接第 及相斟=分,二接線之部份而形成。讀管具有第一遠端 M」的弟一退端’且接面位於鄰近支樓管之第一遠端。埶偶 裔^含護套’用以收納支撐管、接面、以及部份的第一及第 -接線。遵套具有量測尖端。彈簧置於支撐管之外表面及護套 =表面間。當護套垂直定向於反應器㈣,彈簧具有使接面 觸量測尖端之彈簧力,其中彈簧力維持接面連續接觸量 Ο =穴端而未造成接面顯著變形。熱偶器更包含插塞(plug),操 旦地連接到第—及第二接線,其中插塞提供用以決定接面溫度 I測之資料。 熟此技藝者參考本發明以下說明中所繪示及所述之實施 έ〜月疋了解本發明優點。應理解本發明在各方面可有不同 ^修改Μ其他及獨實施例 。因此,圖式及說明本質謹為 5兄明性而非限制性。 【貫施方式】 茶考圖丨,顯示化學氣相沉積(CVD)反應器10之例示實施 200925317 例。雖然實施例顯示單-基板、垂直流、冷壁反應器,但熟此 技藝者應了解’於此所述之熱偶器技術可用於任何類型的半'導 體製程反應ϋ以及其他需要精確溫度感測器之應用。反應器 10包含界定反應空間14之反應腔室12、位於反應腔室ϋ 對側之加熱元件16、以縣板續油18。反應腔室12 形構件’其具有容許反應氣體流入反應空間14之入口 2〇以及 出口 22,而反應氣體及製程副產物可透過出口 22出去反應* 。於-實施例’反應腔室12由透明石英形成。熟此^ ❹ 者應I解’反應腔室12可由任何其他對其中沉積製程而言^ 足以貫質非反應性的材料形成。 加熱元件16戰蝴uppe]:bank)iT#,_ 1°熱元件叫目對於同排之鄰近加熱元们6以間隔分離方式定 排加熱元件16姆於τ排加熱元件16定 應腔室12壁未有可察時靴。加航件16収提倾Ζ 基板24以及基板切麵^ 18所做波長 例’複數個聚光燈26提供集中的熱到 機' $ 基板支撐機制18向冬这^ w 28以及支撐構㈣,如=於其上之基板支托器 體34延伸之複數個f 32 1 支撐構件3G透過自中心 撐構件30連接至桿軸36 托益28的支撐。支 '、依罪攸反應腔室丨2下壁透過管 200925317 38向=延伸。馬達(未顯示)用以於沉積製程期間,α類似方式 =動杯軸36,藉此轉動幅架(spider)3〇、基板支托器、以及 24。基板支牦器28包含形成於其中之凹陷部份4〇。凹陷 j伤40用以收納溫度感測器或熱偶器42,以量測緊圍繞熱偶 器42尖端之基板支托器28的局部溫度。 、
旦=數個溫度感測器位於鄰近基板24及基板支托器28,以 置測罪近基板24許纽置的溫度,如圖3所示。於所示實施 例中’溫度感測器包含:中央溫度感測器44位於形成於基板 支托物=8之盲孔⑽nd h〇le)中、前緣溫度感測器46、尾緣溫 度感測器48、以及至少一側緣溫度感測器5〇。 度感測器46、48相對於反應氣體在反應空間14中的流向緣a皿 乃位於基板24的前緣及後緣。溫度感測器用以量測緊圍繞溫 度感測器尖端之局部區域的溫度。 如圖3所示’ CVD反應器1〇之溫度控制系統%包含複 -數個溫度感測g 44、46、48、50,位於鄰近被處理基板24。 溫度感測器44、46、48、50操作地連接到溫度控制器54,以 提供基板24鄰近個別位置的溫度資料給溫度控制器%。溫度 控制器54操作地連接到位於CVD反應器1〇中之加熱元^ 16(圖1)以及聚光燈26(圖1)。溫度控制器54用以選擇性地調 ,加熱元件16及聚光燈26發射的能量的量,來回應溫度感測 器44、46、48、50所提供的資料,以維持被處理基板24整個 表面各處實質一致的溫度分佈。熟此技藝者應了解,溫度控制 系統52可包含任何數量的溫度感測器,且置於不同位置,以 200925317 提供資料給溫度控制器54。
❹ 於一實施例,中央溫度感測器料(圖3)為熱偶 ^及Μ所示。熟此技藝者應了解,其他溫度感測器46、ζ圖 50可由光學南溫計、熱偶ϋ、或其任何組合所形成。於 施例,如圖4-8所示,熱偶器42包含護套兄、支撐管%、 一扣件(retainer)60、第一接線62、第二接線64、彈簧妬 二扣件68、以塞70。於所示實施财,熱偶器42之 實質為線性。於其他實施例,熱偶器42之本體實質為非線性。 熟此技藝者應了解,熱偶器42可由任何足以確保熱偶 測尖端位於所需位置之形狀或尺寸形成。熱偶器42以實 ,方式置於㈣反應n 1G中,其中熱偶器42之量測尖端72 朝上且位祕板支托||28之_部份4G t,如圖丨所示。於 其他實施例’熱 42以實㈣直方式置於cvd反應器1〇 中’其中熱偶器42之量測尖端72朝下。於其 器42以實質水平方式置於㈣反應器1〇中,其中量測= ^位於反應腔室12㈣近被處絲板側緣。熟此技藝者應了 42可用於任何其他方位,而於此所述將說明熱偶器 疋向為實質垂直方式,且其中量測尖端72朝上。 於A苑例,護套56 —般為長形且實質線性的構件,如 圖古 1-2及9所示。護套56為實質中空且—般具有圓形截面, ,熟此技藝者應了解,護套56的截面可對應置於其中的支撐 s 58的截面。昼測尖端72形成護套%的第—遠端,且開口 幵乂成方、。又套56的相對遠端σ於一實施例,護套鄰近開 〇 ❹ 200925317 =^_套56鄰近地端72 _。護㈣具 有轉換射刀76,位於量測尖端乃及 套56的直徑變化。娜部份 ㈤’而於此處護 各部份具有不同直徑 $套56的兩個不同部份, 56 :外表面附近且於護套58之第二部份二置=;8 避免支樓管58顯著的側向或徑向二。於選ίϊ 二产扣=直控沿開口 74及量測尖端72間之護套56整 個長度方向可實質相同。 於山一實施例’護套%由石英形成。於另—實施例,護套 6由辣成。熟此技藝者應了解,護套兄可由任何 =巧承受溫度細以及她11 42所經狀姆溫度及 一實施例,護套56由石英形成,量測尖端72塗 石夕⑸N)或施加任何其他表面處理,以延長護套%的 =;又另一實施例’帽盍(未顯示),例如碳化矽(SiC)帽苗 =贮:測尖端72,以於周圍環境與位於二 中之支按官58内之接線62、64間’提供較佳的熱轉移。 於—實施例’熱偶器42之支撐f 58 —般杯有長轴b 之長形圓柱形構件’如圖H)所示。於熱偶器42為非線性之另 200925317 56相同的形狀。成與M支撐f 58於其中之護套 料。當組合時,支Γ包含第—遠端&及相對第二遠端 尖端72,而支撐管8 ^之Γ遠端82鄰近護套%之量測 水由 牙^5之弟二遠端84鄰近護套56之開口 74 〇 例,支撐皆58沿第—及第二遠端.Μ間之整 得官58長度,一勒且士向 ^ ,、有囡形截面。熟此技藝者應了解,支撐 由Pfe-么面七狀可㊉成為任何形狀。於—實_,支撐管58 ❹ ❹ 受循環變應了解,支樓管58可由任何足以承 料形成。 …偶為42暴露處之溫度及壓力範圍之材 3 8R;實施例支擇官%包含第—軸孔作㈣86及第二軸 掩如圖7及11-12所示。第一及第二軸孔%、88形成穿 f 」並相對於支撐管58之長軸B,以實質平行Ϊ式 1 撐官%之整個長度。第—軸孔%用以接收第一接線 、、八一抽孔Γ用以接收第二接線64。熟此技藝者應了解’ S 的广個長度可形成有額外的軸孔,以接收額外的 、泉’ 4額外空乳循環通過熱偶器42,或其任何組合。 ,-及第二接線62、64置於第—及第二轴孔%、 =撐管58之整個長度延伸,且第-及第二接線62、64亦 二延伸超過支撑管58之第—及第二遠端82、84,如圖6及= ^不。於—實施例,延伸超過支樓管%第—遠㈣之第一及 H線62、64部份操作地連接或炫接在 官58第—遠端.㈣成接㈣,如圖7及丨 13 200925317 Ϊ第一ΐ第二接線62、64的端部熔在—_成珠(bead),使得 苐-及弟二接線62、64之端部操作地彼猶接。熟此技 應了解延伸超過支撐管58第-遠端82之第一及第二接線必 64端部可炼接在-起,或以任何其他容許第一及第二接線 62、64形成電連接之方式連接。第一及第二接線&、64相對 於接面90之自由端,自支撐管58第二遠端%之轴孔%、明 延伸,且操作地連接到插塞7〇(圖4)。第一及第二接線62、64 由不同材料形成,以於其間形成電連接。於—實施例,第一接 線62由鉑形成,而第二接線64由具有13%的铑之鉑合金形 成。熟此技藝者應了解,第一及第二接線62、64可由任何足 以於其間形成熱偶益之不同材料形成。當組合熱偶器42時, 如圖7所示,第一及第二接線62、64的接面90位於緊鄰近護 套56的量測尖端72。於較佳實施例,接面9〇於量測尖端72
接觸護套56的内表面。於另一實施例,接面於量測尖端 72與護套56的内表面分開。 於一貫施例’第一及第二接線62、64之直徑各約為〇 〇1〇 ❹ 英吋。於另一實施例’第一及第二接線62、64之直徑各約為 0.014英吋。熟此技藝者應了解第一及第二接線62、64可形成 為任何直徑。熟此技藝者應了解第一及第二接線62、64可形 成為不同直徑。第一及第二軸孔86、88分別尺寸化及形狀化 以接收第一及第二接線62、64。第一及第二軸孔86、88尺寸 化以容許第一及第二接線62、64自由地於其中徑向及軸向地 熱膨脹。因此’第一及第二轴孔86、88具有略大於第一及第 二接線62、64戴面積之戴面積。 200925317 如圖4及6所示,第一扣件6〇操作地連接到支撐管%的 外表面,且自支撐管58之第二遠端84有一分開距離。於一實 施例’第一扣件60與支樓管%分別地形成,而後固定地附接 到支撐管58。於一實施例,第一扣件6〇由Rul〇n⑧形成,且 縮^到支撐管58的外表面,因而固定地附接第—扣件6〇到支 樓=58。熟此技藝者應了解第—扣件⑻可由任何足以承受溫 度範圍以及熱偶ϋ 42所經歷之循環溫度及壓力變化之材料形 成。於另一實施例,支撐管58及第一扣件6〇形成為單一構件。 ^-實—施例,第-扣件6。·接觸護套56 _表面,以確保支撐 管58牢靠地在護套56中’因而避免支撑管58於護套弘中實 質地側向餘向軸。於另_實施例,第—扣件⑹與護套% 公Β3。 ❹ 於一實施例’如圖5及8所示,第二扣件68置於護套& 之開口 74中。第二扣件68包含環92、本體94、以及孔96 Μ 軸地延伸物92及本體94。第二扣件68置於鄰近護套% " 端部\且用以接收支辟58於孔96中。於—實施例,第 件仍精由干涉配合或摩擦配合,牢固在護套%的開口 ^。 藝者應了解第二扣件68可以摩擦配合或任何其他足。 的手段了 為可移除又實__之方式 、牛口於5又套56。通過第二扣件68之孔%的直徑 接收支壯58而又可聽支撐管58相對護套56有 ^的T或徑⑽動驾許支撐管%㈣於護套% 96中自由地純向及長財賴_。 、 200925317 參考圖6及8,强楚“ 於第4件⑻及第二外表_,延伸 扣件68,而彈箬66的另—之間。^ 66的一端接觸第二 68 f :^fm ^ 60 ° ^ 撐管58、以及接面9G。彈2=移^扣件60 '支 鄰護奢%的量測尖端72。:== 9:維持接觸或緊 ❹ ❹ 應_大,66所施加的偏移力 〇於里測大鳊72連續接觸護套56 内录W。 如^13-14所示’切管58之第二 68延伸超過護套56的開口 ^帽蓋卿以實質固定^方式I 作細妾到支撐管58之第二遠端84,避免帽蓋ι〇〇二二
撐官^轉動。於=貫施例,帽蓋觸由D—⑧塑料形成。於 另一貫施例,帽蓋1〇0由聚喊pE 形成。於又另-實施例’帽i 1〇〇由聚醚醯亞胺 (P〇lyethenmide,PEI)形成。對高溫應用而言,PEEK及PEI提 供較大的耐久性。熟此技藝者應了解,帽蓋觀可由任何足以 承受大溫度範圍及抗扭_材料形成。於—實施例,如圖Μ 所示,帽蓋100為長形單件圓柱構件,具有本體102、第一端 104、以及第一端106。於另-實施例,帽蓋㈣的本體1〇2 具有方喊面形狀。熟此技藝者應了解,帽蓋謂的本體1〇2 可具有任何的截面形狀。於第—端1Q4 ,第—轴孔⑽形成入 主體丨02。第一軸孔108自第—端丨〇4延伸過至少一部份長轴 200925317 長度的主體102。於一實施例,第一軸孔108為圓形。第一軸 孑L 108用以接收支稽管58的第二遠端84。因此,第一軸孔log 與收於其中之支撐管58的外表面有實質相同尺寸及形狀。第 二軸孔110形成入主體102的第二端106。於一實施例,第二 轴孔110自弟·一端106延伸過至少一部份長轴長度的主體 102。第二軸孔110的形狀可為圓形、橢圓形、方形、或任何 其他足以包住第一及第二接線62、64的形狀。於一實施例, 第二轴孔110的截面形狀與第一軸孔1〇8相同。於另一實施 例,第二軸孔110的截面形狀與第一軸孔108不同。 〇 於一實施例,第一及第二軸孔108、110分別自帽蓋100 的第一及第二端104、106延伸實質相同的距離,如圖15所示。 熟此技藝者應了解,第一及第二轴孔108、110的深度可相同; 第一軸孔108可較第二轴孔110長;或第二轴孔11〇可較第一 軸孔108長。於一實施例,第一及第二軸孔1〇8、110的形狀 及尺寸實質相同,使得各軸孔皆可支撐管58的第二遠端84, 因而確保第二遠端84正確地收納於任一軸孔108、110中。於 Ο 另一實施例,第一及第二軸孔108、110的形狀及尺寸實質不 同,使得第一軸108為能接收支撐管58第二遠端84之唯一軸 孔0 如圖15所示,第一及第二軸孔108、110由網絡(web)l 12 分隔。網絡112形成轴孔108、110兩者的基部於帽蓋1〇〇中。 網絡Π2的表面於第一軸孔1〇8的基部,與支撐管58之第二 遠端84的端表面為實質相同的形狀,使得第二遠端84設置成 17 200925317 ίΓΐΓ的對應表面有鄰接關係。第一孔114及第二孔116 开/成通過網絡112。第„孔m用以 端84延伸之第—獅π工益概目现⑼弟一遇 总π楚_、土接線 弟二孔116用以接收同樣自支撐 84延伸之第二接線64。第一及第二孔】】4、116 的直^略大於其中接收的對應第一及第二接線62、64的直
=f線!2、64受到熱膨脹或收縮時,以容許接線幻、64 過第-及第二孔n4、116。於—實施例,第一及第 :孔114、U6的直徑約〇.〇1〇英对。於另一實施例,第—及 弟二孔Π4、116的直徑約_4英忖。於一實施例,第—孔 1H的直徑與第二孔116的直徑實質相同。於另一實.施例,第 一孔114的直徑與第二孔116的直徑不同。 組合時’第一及第二孔114、116對準支撑管58的軸孔 86 88,使得第一及第二接線62、64自支撐管%第二遠端 84延伸,且以實質線性方式通過帽蓋1〇〇的網絡u2,如圖 14所示。藉由於網絡112 t對準孔114、116及支撐管%的軸 孔86、88,可大大地減少或消除帽蓋1〇〇相對於支撐管咒誤 ❹ 對準所造成的剪應力。此外,適當對準的帽蓋1GG亦確保接線 62、64維持分開,因而避免接線62、64的潛在短路。當接線 62、64延伸過支撐管58的軸孔86、88並通過帽蓋〗^網絡 112中的孔114、116時,接線保持分開及裸露的。分開的軸^ 及孔安全地使接線62、64維持分開、分離的關係。 延伸過帽蓋100之孔114、116之第一及第二接線62、64, 覆蓋有Teflon®管118,以進一步避免接線彼此接觸,如圖14 200925317 戶ΙΓ f線62、64各插入管118,使得管的端部位於帽蓋廳 的弟-轴孔110中。於-實施例,覆蓋接線62、64之管118 :麵t在熱偶器42安裝到工具前,與網絡112為鄰接關 。:118於帽蓋110及插塞70間覆蓋各接線62、64,而第 一及苐二接線62、64與之附接。 圖16-18顯示組合熱偶器42之例示組合程序。圖16顯示 支撐管58插入帽蓋觸的第一軸孔1〇8,其中第一及第二孔 Ο ❹ ^通過帽蓋U〇的網絡112對準支樓管58的軸孔86、 關传使Ϊί:及第二接線62、64維持實質線性對準及分開的 關係。自帽盍觸之第-及第二孔m、U6延伸之第一及第 接線62、64被Tefl_f m覆蓋。第一及第二接㈣、 用以形成自帽蓋卿之第二軸孔11〇延伸的迴路12〇。於一 只轭例,迴路120的曲率半徑介於約3mm至7_。於又一本 施例,迴路120的曲率半徑介於約5mm。 、 貝 圖16進-步顯示縮套122置於帽蓋1〇 部份的支樓管58鄰近帽蓋刚第—遠端刚的附== 9〇之網絡112中之第-及第二孔m、_的對= 亦用以避免帽盖100相對於支樓管58轉動。於另 =帽^ K)0包含指示掣止(未顯示),而支稽管58包含收納 ^曰不掣止之指不突出物(未顯示),以正確地相對於支 % 粒帽蓋UK) ’並物胃蓋_ _於支58轉動二連接 縮套122後,保護套筒丨24置於帽蓋⑽及支撐管附近, * 19- 200925317 如圖17所示。圖18顯示帶件(band)126操作地連接到保護套 筒124附近’以將部份迴路12〇牢固到保護套筒124。帶件126 牢固部份迴路120以維持迴路120的預定曲率半徑。然後組合 的熱偶器42結合到需要溫度感測器之機器或工具中。、口 ❹ 小山當熱偶H42_直方式安裝於CVD反應器1(),且量測 尖端72朝上時’如圖2所示,量測尖端%置於基板支托器 28的凹陷部份40中。應了解熱偶器42亦可水平地對準或:乂 任何其他施解。㈣纽72及㈣縣板24之凹陷部份 40=面間的距離’對於熱偶器42溫度量測的精確性及一 而言乃為關鍵距離。其次,熱偶器42之接面9〇 表面於量測尖端72間的距離,同樣為關鍵的。因此 ==於量測尖端72與護套56的内表面維持 的的偏,彈簣力作用於第一扣件6〇,以使支撐管二= 90偏向1測尖端72。當熱偶器42以 測尖端72朝t砗,舌士“人 直方式女裂使得量 測小ί 72 t η 造成支撐管58及接面9〇與量 彈==當熱偶器42如圖2所示垂直定向時, “的須足以克服重力’以確保接
於熱偶器42的壽命期間,埶偶哭 # ’到在CVD或其他半導體製程之室 或更高之間的溫度範圍。此外,熱偶哭: ^ 1200〇C ,之循環溫度變化。於CVD反應器:中個=重處理 购吻量_降級或飄移二 -20· 200925317 ;讀接線的接面偏向量測尖端之習知熱偶器 ^ ;轉接面連續接觸制尖端所需最小力許多 的°輪=,彳成接面變形,以於量測尖端與護套内表面 ^軸付。她職42絲於CVD反應n 1G時,利用新 校正溫度控制系統52 ’且校正至少部份基於 费2。當接面變形並配合量測尖端的輪廓時, ❹ 力ΐ勒I透過接線傳導到接面。接面及護套間增加的接觸,增 功口率細的溫度’造成溫紐㈣崎低加熱元件的 到接面、^^應空_溫度。因秘面變科致更多熱傳導 溫度改變,當緖如上所述基於熱偶器之未 故二杈正時,造成整體CVD製程條件的改變。此類製裎 條件改變亦導致基板上的沉神改變。 衣私 胁仅她1142,傾4·18卿·示實施例,提供 ;先續術的改良,其包含但不限於:增加失誤前的循環次 度^^少於#啦端72之接面9G辦,㈣降低量測溫 ^。延伸於第一及第二扣件6〇、68間之彈菁66,提供 向量黃力於熱偶器42之第一扣件6〇,以使接面90偏 尖端^7=提=面^㈣套56 μ表關於量測 日^支撐管58,當接面於量測尖端72接觸護套56之内表面 ^彈^降低作用於接面9〇之應力及應變量。彈簧66之彈簧力 去广率、彈簧長度、以及彈簧壓縮距離之函數。於一 未壓^ 之長度介於約〇·5至9英对㈣。於另—實施例, ,·目埤黃66之長度介於約1至5英吋。於另一實施例,未 200925317 ,縮彈簧66^1介於約3·5至4 5英十然而,熟此技藝者 應了解’未驗科可具有任何足續供轉細如與 56之量測纽72連續脑所需之最小量的特力之長’度°。孰 此技藝者應了解,當彈簧66被壓縮預定距料,尤; 簧'之彈簧常數對各彈簧66而言維持實f相同時,用於^ 各連績熱餘42之科長度的可重複性,提供更可重複的 簧力。 斤 於=實施例,彈簧66為螺旋彈簀,如圖队2〇所示,其 ^有外徑128約G.125英忖,内徑13〇約〇 1〇5英对以及^ 耳率約0.08镑/英卩寸(lb/in)。彈簧66之内徑13〇的尺寸夠大, 以配合支撐管58之外表面,科66之賴128的尺寸夠小, 以配合於縣56之第二部份8G中。熟此技藝者應了解,彈脊 66之内控13〇及外徑128應尺寸化,當組合熱偶器42時以 容許彈簧66位於支撐f %之外表面及護套%之内表面間。 於另一實施例,彈簧66之彈簧率介於約〇 〇1至6磅/英吋(lb/in) 間。於一實施例,彈簧66由不鏽鋼製成。於另一實施例,彈 簧66由塑料製成。於又一實施例,彈菁66由黃銅、欽、絡叙 鋼、鈹銅、射銅、或任何足財受熱偶器42所暴露之循環 溫度而不顯著降低彈簧66之壓縮率之金屬製成。 於熱偶益42垂直對準使得量測尖端72朝上之實施例中, 由彈黃66所支撐之熱偶器構件的重量介於約5 62克至約5 57 克二於一實施例,彈簧66具有約44.624克/英忖(g/in)或〇.〇8 磅/英吋(丨b/in)之彈簧率。考量熱偶器組件之容許公差,維持接 -22- 200925317 觸量物72所需之力約為3.45克。對驅安全 ’所需的彈簧力約18.14克。對具有_ 扣件6。、68相隔壓縮彈簧 遠芦;^ 物買率及獅雜足以提供轉接面90 面l 端72所需之最小量的力之彈簧66,最小化接 ^的變形量’因而相對於具有實質較大彈菩力之彈菁而 了所量測溫度的飄移量。熟此技藝者應了解,上述提 供=重mx及彈簧力僅為例示性。熟 組態間彈簣率及壓縮距離有不同的變化,但組合了的解熱 包3具有可提供轉接面於量測尖端連續接觸護套之 之之彈箐率及壓縮距離之彈簧,以降低相關量測溫度 於熱偶H 42垂蘭顿得制尖端 =^提供於第-扣件⑼之科力,小於克服侧於垂]直中定 ,,、、、,42組件之重力以維持接面連續接觸量測尖端所需之 =量的彈簧力之5倍。於另一實施例,彈菁於提供於第— 口^ 6〇之彈貫力’約為克服作用於垂直定向熱偶i 42組件之 重力以維持接面連續接觸量測尖端所需之最小量的彈等力之i 至5倍。於又-實施例,彈簧66提供於第一扣件6〇之彈 約為維持接面連續接觸量測尖端所需之最小量的彈簣力 倍。於-實施例,彈簧66施加於第—扣件6〇之彈簧力約介於 10克至約300克。於另—實施例,彈菁66施加於支撐管 之彈簧力約介於20克至約1〇〇克。於又一實施例,彈善 加於支撐管58之彈簧力約介於18克至約2〇克。然而: -23- 200925317 #熱偶器垂直解以確保接面9㈣量測尖端 運續接觸時’依據組件的相 〃里 測尖端連續接觸所需之彈箸力將變化。轉接面與護套之量 於熱偶器42垂直對準使得量測尖 彈簧66提供與作用於熱偶 下之實施例中’ 迫使彈簧90接觸護套56之量列重力^對之偏移力,其將 觸量測尖端72間,但是熱偶器組件量H希望^面9〇接 能提供力作用於接面9〇 如支擇管58)可 循環後變形。彈#66操作地連接到第_^應=室^多次 因而使接面9〇偏離㈣㈣。提供抗力, 之重二二= 與夏奮56之里測尖端72,使得接面9〇不變形。逆只按 第施例中,,6提供彈簧力於 端72。於水平對準之熱移==護套56之量測尖 力以克服或對抗重力效應時,彈中箬提=要提供偏移 偏移接面90,核做勉Γ &供則、彈簧力以 不造成接面90變形。、 之量測尖端72的連續接觸而 著4 面9〇偏移接觸量測尖斷顯 量測•所以後造成熱偶器幻的溫度 ” 之惮頁力應敢小化以降低接面Qn认作 作’因轉低_器42的溫度量測飄移。當所量測溫= 200925317 對於安裝及校正熱偶n 42建 造成接面__形。心;’ 續接觸量測尖端72Am 來偏移接面90以連 實施例,鮮H 應造成接面9G顯著變形。於一 L 〇 5==一簧二=偶,2量測溫度_ ΐϊΓ測溫度所產生的飄移介於約叱至〇穴。熟此枯 云者應了解,接面90的變形可導因於維持接面9二 端72所施加的彈簧力的量、敎 觸里測大
程循環、或其組合歷經反應器任何數目的製 以及方法 ^然本發明已說明較佳實施例,然而應明瞭在不挣離协 :範:下可有各種修改及變化。本發明範,意欲涵蓋戶;= 專利範圍及申請專利範圍涵義中之所有裝置、製程 °月 之文義或均等物。 【圖式簡單說明】 _圖1顯示化學氣相沉積反應器實施例之截面圖; 圖2顯示基板支標機制實施例之放大截面圖; 圖3顯示溫度控制系統實施例之示意圖; 圖4顯示本發明熱偶器之實施例; 圖5顯示圖4之熱偶器之部份爆炸圖; 圖6顯示圖4之熱偶器之截面圖; 圖7顯示圖4之熱偶器之量測尖端之放大圖; 圖8顯示圖4之熱偶器之部份放大圖; 圖9顯示護套之之實施例; 圖10顯示支撐管之實施例; -25- 200925317 圖11顯示圖10之支撐管之端視圖; 圖12顯示接面及支撐管之等角視圖; 圖13顯示圖4之熱偶器之部份放大圖; 圖14顯示組合帽蓋之放大圖; 圖15顯示帽蓋實施例之截面圖; 圖16顯示圖4之熱偶器之部份截面圖; 圖17顯示圖4之熱偶器之部份截面圖; 圖18顯示圖4之熱偶器之部份截面圖; 圖19顯示例示彈簧之側視圖; 圖20顯示圖19之彈簧之端視圖。 【主要元件符號說明】 10 12 14 16 18 20 22 24 26 28 30 32 34 36 反應器 反應腔室 反應空間 加熱元件 基板支撐機制 ❹ 入口 出口 基板 聚光燈 基板支托器 支樓構件 臂 中心體 桿軸 -26- 200925317 管 凹陷部份 熱偶器 ❹ 中央溫度感測器 前緣溫度感測器 尾緣溫度感測 側緣溫度感測器 溫度控制系統 溫度控制器 護套 支撐管 第一扣件 第一接線 第二接線 彈簧 第二扣件 插塞 量測尖端 開口 轉換部份 第一部份 第二部份 第一遠端 第二遠端 第一軸孔 200925317 第二轴孔 接面 環 本體 孔 帽蓋 本體 第一端 ❹ 第二端 第一轴孔 第二軸孔 網絡 第一孔 第二孔 管 迴路 縮套 保護套筒 帶件 外徑 内徑

Claims (1)

  1. 200925317 十、申請專利範圍: L種溫度控制系統,用以控制一化學氣相沉積反應器中之溫 度,包含: 至少一加熱元件; 至少一溫度感測器,用以提供於該反應器中之溫度,該溫度 感測包含: 一護套,具有一量測尖端; 一支撐管,至少部份置於該護套中; ❹ 一第一接線及一第二接線置於該支撐管中,該 線由不同金屬形成; 接 一接面,形成於該第-及第二接線兩者之—,該接 於鄰近該支撐管之一遠端;以及 一彈簧,置於該支撐管之-部分附近,轉簧施加—最 簧力於該支撐管,以使該接面偏向接觸該量測尖端,以提供該 面及該量測尖端間的連續接觸而未造成該接面變形;以及 -溫度控制H ’操作地連接到該至少—加熱元件及小— 溫度感測器,以控制該反應器中之該溫度。 Μ y — 2.如請求項1所述之溫度控·統,其中該彈簧 連續接觸該量測尖端所需之該最小量的力之1至5倍間。…妾面 3由如凊求項丨所述之溫度控憾統’其中轉| 連續接觸該量測尖·f之該最小量的力之㈤=持该接面 4_如清求項1所述之溫度控制系統,其中該彈菩力 面及該量測尖端間之連續接觸時,使該接面偏離該量^端^接 >29- 200925317 抗力。 5. 如請求項1所述之溫度 乂 該反應器水平地對準。& ^制系統,其中該至少一溫度感測器與 6. 如請求項1所述之溫度押 丨上 直地對準,使得該量測尖^制系統,其中該至少一溫度感測器垂 ❹ 其中該至少一溫度感測器垂 、lJ化學氣相沉積反應器中之溫度,該熱 8. —種熱偶器,用以量 偶器包含: 一護套’具有一量測尖端, 該反應器中; 該護套以一實質垂直方式定向於 一支撐管,置於該護套中; —第一接線及一第二接玲 鬌 接線由不同金祕成;、、…由該支射支樓,該第-及第二 —接面,形成於該第___ ^ 及弟二接線間,該接面位於鄰近該支 得官之一遠端;以及 ―彈男’置於支樓警之—部分附近,該彈*受壓縮以施加 彈黃力’以使祕面偏向該量測尖端,其中該彈簧力至少為克 服重力以_雜面連續_ 測㈣而未造柄接面變形所 需之最小量的力。 9. 如請求項8所述之熱偶器,其中該彈簧由不鏽鋼形成。 -30- 200925317 10·如请求項8所述之熱偶器,其中 ^ 10克至約300克之間。 八° ”也σ之該彈簧力介於約 Ο 她,其中該彈簧施加之該彈簧力介於約 咖至約熱偶器’其中該彈簧具有—彈簧率介於約〇 i 彈黃率為約0.08 lb/in /长項8所述之熱偶器,射該彈簧具有 種熱偶器’用以量 偶器包含: 相/几積反應器令之溫度,該熱 一第一接線及一第二拉 線由不同金屬形成; 妓撐官切,該第-及第二接 一接面,藉由熔接該赏 份而形成, ·以及 線之一部分及該第二接線之—部 一支榜管,且有—第 、 於鄰,支擇管㈣第端及—相對的第二遠端,該接面位 %;以^套用叫園該支撐管之—部份H矣 —彈菁,置雜纟^ 以套財—量測尖 讀彈簧具有—彈笼X |貧之一外表面及該護套 坪η羊且施又备之一内表面間, 其中該彈簧率為導致〜巧早瓦力到該支撐營; 則、力施加到該切管以维持該接面 ,3 J, 200925317 連續接觸該量測尖端而未造成該接面變形之一最小彈簧率 15. 如請求項14所述之熱偶器,其中該彈簧率為約〇 〇8i^i 16. 如請求項14所述之熱偶器,其中該彈簧率介於約 lb/in之間。 至約6 17. 如請求項14所述之熱偶器,其中該彈箬之 吋(in)。 ” %、勺 0.5-9 英 ❹ 18. 如請求項μ所述之熱偶器,jt中續强势 (in)。 两的,、甲。亥弹頁之長度介於約1-5英吋 -32-
TW097132391A 2007-08-24 2008-08-25 Thermocouple TW200925317A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US95799807P 2007-08-24 2007-08-24
US12/193,924 US20090052498A1 (en) 2007-08-24 2008-08-19 Thermocouple

Publications (1)

Publication Number Publication Date
TW200925317A true TW200925317A (en) 2009-06-16

Family

ID=40382095

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097132391A TW200925317A (en) 2007-08-24 2008-08-25 Thermocouple

Country Status (5)

Country Link
US (1) US20090052498A1 (zh)
EP (1) EP2185745A4 (zh)
JP (1) JP2010537202A (zh)
TW (1) TW200925317A (zh)
WO (1) WO2009029532A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI782943B (zh) * 2017-01-20 2022-11-11 美商蘭姆研究公司 使用熱控制元件之靜電夾頭溫度估計所用的虛擬量測方法

Families Citing this family (316)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7874726B2 (en) * 2007-05-24 2011-01-25 Asm America, Inc. Thermocouple
US7993057B2 (en) * 2007-12-20 2011-08-09 Asm America, Inc. Redundant temperature sensor for semiconductor processing chambers
US7946762B2 (en) * 2008-06-17 2011-05-24 Asm America, Inc. Thermocouple
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8262287B2 (en) 2008-12-08 2012-09-11 Asm America, Inc. Thermocouple
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US9297705B2 (en) 2009-05-06 2016-03-29 Asm America, Inc. Smart temperature measuring device
US8382370B2 (en) 2009-05-06 2013-02-26 Asm America, Inc. Thermocouple assembly with guarded thermocouple junction
US8100583B2 (en) * 2009-05-06 2012-01-24 Asm America, Inc. Thermocouple
US8360636B2 (en) * 2009-07-02 2013-01-29 Renesas Electronics America Inc. Temperature detection and reporting system and method in power driving and/or consuming system
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2012134605A1 (en) * 2011-03-25 2012-10-04 Applied Materials, Inc. Method and apparatus for thermocouple installation or replacement in a substrate support
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
USD702188S1 (en) 2013-03-08 2014-04-08 Asm Ip Holding B.V. Thermocouple
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US10153185B2 (en) 2013-03-14 2018-12-11 Applied Materials, Inc. Substrate temperature measurement in multi-zone heater
US9523650B2 (en) * 2013-09-06 2016-12-20 Conax Technologies Llc Spring loaded exhaust gas temperature sensor assembly
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
CN106338423B (zh) 2015-07-10 2020-07-14 三斯坎公司 组织学染色的空间复用
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10656024B2 (en) * 2016-04-05 2020-05-19 Corning Incorporated Molten material thermocouple methods and apparatus
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
JP7197534B2 (ja) * 2020-06-12 2022-12-27 日本碍子株式会社 セラミックヒータ
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114646411B (zh) * 2022-03-14 2024-05-31 西安科技大学 一种智能无线多向连续钻孔应力监测装置

Family Cites Families (103)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US154695A (en) * 1874-09-01 Improvement in processes of brightening tobacco
US2059480A (en) * 1933-09-20 1936-11-03 John A Obermaier Thermocouple
US2266416A (en) * 1939-01-14 1941-12-16 Western Electric Co Control apparatus
US2563931A (en) * 1946-04-02 1951-08-14 Honeywell Regulator Co Rate responsive thermocouple
US2660061A (en) * 1949-03-05 1953-11-24 Dominion Eng Works Ltd Immersion type thermocouple temperature measuring device
US3011006A (en) * 1958-11-10 1961-11-28 Carborundum Co Process and apparatus for measuring high temperatures
US3038951A (en) * 1961-01-19 1962-06-12 Leeds & Northrup Co Fast acting totally expendable immersion thermocouple
US3263502A (en) * 1964-01-21 1966-08-02 Redwood L Springfield Multiple thermocouple support
US3588192A (en) * 1969-06-02 1971-06-28 Trw Inc Hydraulic skid control system
CA1002299A (en) * 1971-06-24 1976-12-28 William H. Trembley Installation tool
FR2181175A5 (zh) * 1972-04-20 1973-11-30 Commissariat Energie Atomique
JPS5132766B2 (zh) * 1972-07-25 1976-09-14
US4217463A (en) * 1978-03-13 1980-08-12 National Distillers And Chemical Corporation Fast responsive, high pressure thermocouple
US4234449A (en) * 1979-05-30 1980-11-18 The United States Of America As Represented By The United States Department Of Energy Method of handling radioactive alkali metal waste
JPS5611329A (en) * 1979-07-09 1981-02-04 Nippon Kokan Kk <Nkk> Measuring method of melted metal temperature in vessel
US4355912A (en) * 1980-09-12 1982-10-26 Haak Raymond L Spring loaded sensor fitting
JPS5819462Y2 (ja) * 1981-03-31 1983-04-21 株式会社東芝 計測素子収納装置
US4454370A (en) * 1982-09-07 1984-06-12 Wahl Instruments, Inc. Thermocouple surface probe
US4444990A (en) * 1982-09-08 1984-04-24 Servo Corporation Of America Heat sensing device
US4527005A (en) * 1984-03-13 1985-07-02 The United States Of America As Represented By The United States Department Of Energy Spring loaded thermocouple module
US4692556A (en) * 1984-06-29 1987-09-08 Electro-Nite Company Repeating temperature sensing immersion probe
US4592307A (en) * 1985-02-28 1986-06-03 Rca Corporation Vapor phase deposition apparatus
US4721534A (en) * 1985-09-12 1988-01-26 System Planning Corporation Immersion pyrometer
US4721533A (en) * 1986-08-01 1988-01-26 System Planning Corporation Protective structure for an immersion pyrometer
US4749416A (en) * 1986-08-01 1988-06-07 System Planning Corporation Immersion pyrometer with protective structure for sidewall use
US4976996A (en) * 1987-02-17 1990-12-11 Lam Research Corporation Chemical vapor deposition reactor and method of use thereof
US5198034A (en) * 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
JPH0648217B2 (ja) * 1987-12-24 1994-06-22 川惣電機工業株式会社 溶融金属の連続測温装置
US4830515A (en) * 1987-12-28 1989-05-16 Omega Engineering, Inc. Mounting clip for a thermocouple assembly
FR2628985B1 (fr) * 1988-03-22 1990-12-28 Labo Electronique Physique Reacteur d'epitaxie a paroi protegee contre les depots
US4978567A (en) * 1988-03-31 1990-12-18 Materials Technology Corporation, Subsidiary Of The Carbon/Graphite Group, Inc. Wafer holding fixture for chemical reaction processes in rapid thermal processing equipment and method for making same
JP2859632B2 (ja) * 1988-04-14 1999-02-17 キヤノン株式会社 成膜装置及び成膜方法
IT1227708B (it) * 1988-07-29 1991-05-06 Pomini Farrel Spa Dispositivo di rilevamento della temperatura del materiale contenuto entro un apparecchio chiuso.
US5158128A (en) * 1988-09-01 1992-10-27 Sumitec, Inc. Thermocouple for a continuous casting machine
US4934831A (en) * 1989-03-20 1990-06-19 Claud S. Gordon Company Temperature sensing device
US5360269A (en) * 1989-05-10 1994-11-01 Tokyo Kogyo Kabushiki Kaisha Immersion-type temperature measuring apparatus using thermocouple
US5061083A (en) * 1989-06-19 1991-10-29 The United States Of America As Represented By The Department Of Energy Temperature monitoring device and thermocouple assembly therefor
EP0454846B1 (en) * 1989-11-22 1996-09-11 Nippon Steel Corporation Thermocouple-type temperature sensor and method of measuring temperature of molten steel
LU87693A1 (fr) * 1990-03-07 1991-10-08 Wurth Paul Sa Sonde de prise d'echantillons gazeux et de mesures thermiques dans un four a cuve
JPH0464025A (ja) * 1990-07-02 1992-02-28 Matsushita Electric Ind Co Ltd 調理器用温度センサー
JP2780866B2 (ja) * 1990-10-11 1998-07-30 大日本スクリーン製造 株式会社 光照射加熱基板の温度測定装置
US5071258A (en) * 1991-02-01 1991-12-10 Vesuvius Crucible Company Thermocouple assembly
US5104514A (en) * 1991-05-16 1992-04-14 The United States Of America As Represented By The Secretary Of The Navy Protective coating system for aluminum
JP3040212B2 (ja) * 1991-09-05 2000-05-15 株式会社東芝 気相成長装置
US5294778A (en) * 1991-09-11 1994-03-15 Lam Research Corporation CVD platen heater system utilizing concentric electric heating elements
US5193912A (en) * 1991-11-18 1993-03-16 Saunders Roger I Probe for sensing and measuring temperature
US5271967A (en) * 1992-08-21 1993-12-21 General Motors Corporation Method and apparatus for application of thermal spray coatings to engine blocks
US5363271A (en) * 1992-09-24 1994-11-08 E. I. Du Pont De Nemours And Company Thermal shock cracking resistant multilayer ceramic capacitor termination compositions
US6235858B1 (en) * 1992-10-30 2001-05-22 Ppg Industries Ohio, Inc. Aminoplast curable film-forming compositions providing films having resistance to acid etching
DE4244189C2 (de) * 1992-12-24 1995-06-01 Busch Dieter & Co Prueftech Anlegetemperaturfühler
US5421893A (en) * 1993-02-26 1995-06-06 Applied Materials, Inc. Susceptor drive and wafer displacement mechanism
US5456761A (en) * 1993-07-15 1995-10-10 Alcan International Limited High temperature and abrasion resistant temperature measuring device
US5474618A (en) * 1994-04-19 1995-12-12 Rdc Controle Ltee Protective ceramic device for immersion pyrometer
US5493987A (en) * 1994-05-16 1996-02-27 Ag Associates, Inc. Chemical vapor deposition reactor and method
JP3137164B2 (ja) * 1994-06-02 2001-02-19 信越半導体株式会社 熱処理炉
DE4429825C1 (de) * 1994-08-23 1995-11-09 Heraeus Quarzglas Beschichtetes Bauteil aus Quarzglas
US5514439A (en) * 1994-10-14 1996-05-07 Sibley; Thomas Wafer support fixtures for rapid thermal processing
IL115833A (en) * 1994-11-25 1998-10-27 Zeneca Ltd Acids 6, 6 - Dihalo - 3, 3 - Dimethyl - 5 - Hydroxy - 7, 7, 7 Triplooroheptanoics and their alkaline esters useful as an intermediate product Insecticides
US5716133A (en) * 1995-01-17 1998-02-10 Applied Komatsu Technology, Inc. Shielded heat sensor for measuring temperature
US5663899A (en) * 1995-06-05 1997-09-02 Advanced Micro Devices Redundant thermocouple
US5791782A (en) * 1995-09-21 1998-08-11 Fusion Systems Corporation Contact temperature probe with unrestrained orientation
US5697706A (en) * 1995-12-26 1997-12-16 Chrysler Corporation Multi-point temperature probe
US5788799A (en) * 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
EP0818671A3 (en) * 1996-07-12 1998-07-08 Isuzu Ceramics Research Institute Co., Ltd. A ceramic sheath type thermocouple
US5904778A (en) * 1996-07-26 1999-05-18 Applied Materials, Inc. Silicon carbide composite article particularly useful for plasma reactors
US5806980A (en) * 1996-09-11 1998-09-15 Novellus Systems, Inc. Methods and apparatus for measuring temperatures at high potential
US5611265A (en) * 1996-09-13 1997-03-18 Ronci; Fernando F. Combination charbroiler and fryer with spinning food basket
US5857777A (en) * 1996-09-25 1999-01-12 Claud S. Gordon Company Smart temperature sensing device
US5753835A (en) * 1996-12-12 1998-05-19 Caterpillar Inc. Receptacle for holding a sensing device
US6120640A (en) * 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
JPH10239165A (ja) * 1997-02-27 1998-09-11 Sony Corp 基板の温度測定器、基板の温度を測定する方法および基板の加熱方法
US5910221A (en) * 1997-06-18 1999-06-08 Applied Materials, Inc. Bonded silicon carbide parts in a plasma reactor
US6104011A (en) * 1997-09-04 2000-08-15 Watlow Electric Manufacturing Company Sheathed thermocouple with internal coiled wires
US6258170B1 (en) * 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
WO1999018496A1 (en) * 1997-10-07 1999-04-15 Electronics Development Corporation Transducer assembly with smart connector
JPH11118615A (ja) * 1997-10-09 1999-04-30 Kakunenryo Cycle Kaihatsu Kiko 伸縮性を有する被測定物用温度センサ
KR100551980B1 (ko) * 1997-11-03 2006-02-20 에이에스엠 아메리카, 인코포레이티드 저질량 지지체를 이용한 웨이퍼의 처리방법 및 장치
JP2001522142A (ja) * 1997-11-03 2001-11-13 エーエスエム アメリカ インコーポレイテッド 改良された低質量ウェハ支持システム
US6325858B1 (en) * 1997-11-03 2001-12-04 Asm America, Inc. Long life high temperature process chamber
US6193414B1 (en) * 1998-01-06 2001-02-27 Alfiero Balzano Dual protected instant temperature detector
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US6170429B1 (en) * 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
US6257758B1 (en) * 1998-10-09 2001-07-10 Claud S. Gordon Company Surface temperature sensor
KR100317238B1 (ko) * 1998-11-03 2002-02-19 윤종용 가열로 온도검출용 스파이크 열전대 소자_
US6227140B1 (en) * 1999-09-23 2001-05-08 Lam Research Corporation Semiconductor processing equipment having radiant heated ceramic liner
US6293700B1 (en) * 1999-09-24 2001-09-25 Fluke Corporation Calibrated isothermal assembly for a thermocouple thermometer
US6342691B1 (en) * 1999-11-12 2002-01-29 Mattson Technology, Inc. Apparatus and method for thermal processing of semiconductor substrates
JP2004507074A (ja) * 2000-04-06 2004-03-04 エーエスエム アメリカ インコーポレイテッド ガラス質材料用バリアコーティング
EP1251551A1 (en) * 2000-08-30 2002-10-23 Ibiden Co., Ltd. Ceramic heater for semiconductor manufacturing and inspecting equipment
US20030002562A1 (en) * 2001-06-27 2003-01-02 Yerlikaya Y. Denis Temperature probe adapter
US6658895B2 (en) * 2001-08-16 2003-12-09 Richter Precision, Inc. Carbon nitride coating for optical media discs
US6580050B1 (en) * 2002-01-16 2003-06-17 Pace, Incorporated Soldering station with built-in self-calibration function
US20030192435A1 (en) * 2002-04-11 2003-10-16 Mcnair John Duncan Cooking appliance
US6676290B1 (en) * 2002-11-15 2004-01-13 Hsueh-Yu Lu Electronic clinical thermometer
DE10328660B3 (de) * 2003-06-26 2004-12-02 Infineon Technologies Ag Verfahren zum Bestimmen der Temperatur eines Halbleiterwafers
JP4698190B2 (ja) * 2004-09-22 2011-06-08 川惣電機工業株式会社 測温装置
JP2006153706A (ja) * 2004-11-30 2006-06-15 Taiyo Nippon Sanso Corp 測温体および気相成長装置
US20060275933A1 (en) * 2005-06-02 2006-12-07 Applied Materials, Inc. Thermally conductive ceramic tipped contact thermocouple
JP5027573B2 (ja) * 2006-07-06 2012-09-19 株式会社小松製作所 温度センサおよび温調装置
US7874726B2 (en) * 2007-05-24 2011-01-25 Asm America, Inc. Thermocouple
US7946762B2 (en) * 2008-06-17 2011-05-24 Asm America, Inc. Thermocouple
US8100583B2 (en) * 2009-05-06 2012-01-24 Asm America, Inc. Thermocouple
US8382370B2 (en) * 2009-05-06 2013-02-26 Asm America, Inc. Thermocouple assembly with guarded thermocouple junction

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI782943B (zh) * 2017-01-20 2022-11-11 美商蘭姆研究公司 使用熱控制元件之靜電夾頭溫度估計所用的虛擬量測方法

Also Published As

Publication number Publication date
WO2009029532A3 (en) 2009-05-07
JP2010537202A (ja) 2010-12-02
EP2185745A2 (en) 2010-05-19
EP2185745A4 (en) 2012-12-12
US20090052498A1 (en) 2009-02-26
WO2009029532A2 (en) 2009-03-05

Similar Documents

Publication Publication Date Title
TW200925317A (en) Thermocouple
TWI439680B (zh) 熱電偶、可連接至熱電偶之支撐管之罩蓋、及溫度控制系統
EP2370996B1 (en) Thermocouple
JP5178913B2 (ja) 熱電対
JP5335992B2 (ja) 保護付熱電対ジャンクションを有する熱電対アッセンブリ
TW201113510A (en) Smart temperature measuring device
JP2006153706A (ja) 測温体および気相成長装置
US10502639B2 (en) Plate-shaped body for temperature measurement and temperature measuring apparatus provided with the same
JP2012080103A (ja) サセプター及びその製法
JP2008243990A (ja) 基板加熱装置
US20210080328A1 (en) Fiber Optic Temperature Probe
CN108780753B (zh) 基板处理装置、温度测定单元及半导体器件的制造方法
US20130209949A1 (en) Temperature sensor and heat treating apparatus
US9846084B2 (en) Vacuum heat treatment apparatus
JP5264671B2 (ja) 熱電対装着測温板
KR101949977B1 (ko) 임베디드 써모커플 웨이퍼
JP4635643B2 (ja) 蒸着ボートおよびシース熱電対
JP2004022803A (ja) 温度測定機能付き突き上げピン
US9683899B2 (en) Immersible fast responding thermocouple assembly
JP2006138637A (ja) 基板熱処理炉用の測温基板