RU2003122206A - Инжектор и способ для длительного введения реагентов в плазму - Google Patents

Инжектор и способ для длительного введения реагентов в плазму Download PDF

Info

Publication number
RU2003122206A
RU2003122206A RU2003122206/02A RU2003122206A RU2003122206A RU 2003122206 A RU2003122206 A RU 2003122206A RU 2003122206/02 A RU2003122206/02 A RU 2003122206/02A RU 2003122206 A RU2003122206 A RU 2003122206A RU 2003122206 A RU2003122206 A RU 2003122206A
Authority
RU
Russia
Prior art keywords
channel
injection system
group
compounds
plasma
Prior art date
Application number
RU2003122206/02A
Other languages
English (en)
Other versions
RU2291223C2 (ru
Inventor
Барри Ли-Мин ЯНГ (US)
Барри Ли-Мин ЯНГ
Original Assignee
Дженерал Электрик Компани (US)
Дженерал Электрик Компани
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Дженерал Электрик Компани (US), Дженерал Электрик Компани filed Critical Дженерал Электрик Компани (US)
Publication of RU2003122206A publication Critical patent/RU2003122206A/ru
Application granted granted Critical
Publication of RU2291223C2 publication Critical patent/RU2291223C2/ru

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/513Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31652Of asbestos
    • Y10T428/31663As siloxane, silicone or silane

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Application Of Or Painting With Fluid Materials (AREA)
  • Surface Treatment Of Glass (AREA)
  • Physical Vapour Deposition (AREA)

Claims (72)

1. Инжекционная система для инжектирования флюида в плазму, содержащая основание (4) с инжектором (2), имеющим первую внутреннюю стенку (3), определяющую первую часть канала (6) для ограничения потока флюида и имеющую такую форму, что она является параллельной первой оси (9); и
вторую внутреннюю стенку, определяющую собой вторую часть канала (8),выполненную с возможностью сообщения флюида с первой частью канала (6), и имеющую часть в виде углубления, выполненную с возможностью расхождения второй внутренней стенки второй части канала от первой оси (9) под заданным углом, при этом вторая часть канала (8) выполнена с возможностью ограничения роста закупоривающего слоя (19) на второй внутренней стенке второй части канала (8), в течение периода использования.
2. Инжекционная система по п.1, в которой заданный угол составляет от около 20 градусов до около 70 градусов.
3. Инжекционная система по п.1, в которой инжектор (2) интегрально формируют в основании инжектора (4), причем инжектор (2) содержит входное отверстие (5), сформированное в первой поверхности (14) основания инжектора (4), и выходное отверстие (7), сформированное во второй поверхности (12) основания инжектора (4).
4. Инжекционная система по п.3, в которой стенки инжектора (3) и основание инжектора (4) содержат материал, выбранный из группы, включающей в себя металлы, сплавы и керамики, и выдерживающий высокие рабочие температуры без плавления.
5. Инжекционная система по п.4, в которой материалом стенок и основания инжектора является нержавеющая сталь.
6. Инжекционная система по п.1, в которой инжектор (30) может заменяться в основании инжектора (34).
7. Инжекционная система по п.6, в которой инжектор (30) дополнительно содержит корпус, имеющий наружную стенку (36) с резьбой, и основание инжектора содержит ответную часть (38) с резьбой для приема корпуса инжектора, снабженного резьбой.
8. Инжекционная система по п.1, в которой инжектор дополнительно содержит часть наконечника (28), выступающую над поверхностью основания (29) в плазму (18), и имеющую диаметр, больший, чем диаметр первой части канала (6).
9. Инжекционная система по п.8, в которой часть наконечника (28) выступает над поверхностью (29) основания, на расстояние от около 0,001 дюйма до около 0,3 дюйма.
10. Инжекционная система по п.1, дополнительно содержащая третью внутреннюю стенку, параллельную первой оси, и определяющую собой третью часть канала (10), выполненную с возможностью сообщения флюида со второй частью канала, и имеющую диаметр, больший, чем диаметр первой части канала (6), при этом третья часть канала (10) выполнена с возможностью уменьшения роста закупоривающего слоя (19), в течение периода использования, соответствующего восьми часам непрерывного протекания.
11. Инжекционная система по п.1, в которой флюид содержит материал, выбранный из группы, включающей в себя неорганические газы, газообразные неорганические соединения, газообразные органические соединения и газообразные металлоорганические соединения.
12. Инжекционная система по п.11, в которой материал выбирается из группы неорганических газов, включающей в себя кислород, азот, водород, цинк, алюминий, индий и их соединения.
13. Инжекционная система по п.11, в которой материал выбирается из группы газообразных неорганических соединений, включающей в себя аммиак, силан и их соединения.
14. Инжекционная система по п.11, в которой материал выбирается из группы газообразных органических соединений, включающей в себя углеводороды, органосиланы, органосилоксаны и органосилазаны, и их соединения.
15. Инжекционная система по п.14, в которой материал выбирается из группы газов, включающей в себя метан, бутадиен, этилбензол, гексаметилдисилан, тетраметилдисилан, винилтриэтилсилан, гексаметилдисилоксан, тетраметилдисилоксан, октаметилциклотетрасилоксан, гексаметилдисилазан и их соединения.
16. Инжекционная система по п.11, в которой материал выбирается из группы газообразных металлоорганических соединений, включающей в себя диэтилцинк, диметилцинк и их соединения.
17. Инжекционная система по п.1, в которой первая часть канала (6) имеет первый диаметр, часть (8) в виде углубления определяется внутренней стенкой (3), которая расходится от первой оси под углом 90 градусов, при этом внутренняя стенка, определяющая вторую часть (10) канала, является параллельной первой оси и имеет второй диаметр, больший, чем первый диаметр.
18. Инжекционная система по п.1, содержащая канал (64) для подачи флюида, сформированный внутри корпуса инжекционной системы (60), и множество инжекторов (70-76), размещенных в корпусе, с возможностью сообщения флюида с каналом (64) для подачи флюида.
19. Инжекционная система по п.18, в которой каждый из инжекторов дополнительно содержит часть (28) наконечника, выступающую над поверхностью (29) основания в плазму (18).
20. Инжекционная система по п.18, дополнительно содержащая линию (62) для подачи флюида, выполненную с возможностью сообщения флюида с каналом (64) для подачи флюида, при этом канал (64) для подачи флюида выполнен в форме кольца, а инжекторы (70-76), размещенные в канале (64) для подачи флюида, равномерно распределены по окружности канала (64) для подачи флюида.
21. Инжекционная система по п.18, дополнительно содержащая линию (62) для подачи флюида, выполненную с возможностью сообщения флюида с каналом (64) для подачи флюида, при этом канал (64) для подачи флюида выполнен в форме кольца, а инжекторы (82-89), размещенные в канале (64) для подачи флюида, неравномерно распределяются по окружности канала (64) для подачи флюида таким образом, что большее количество инжекторов располагают на той стороне канала (64) для подачи флюида, которая является дальней по отношению к линии (62) для подачи флюида.
22. Инжекционная система по п.18, дополнительно содержащая линию (62) для подачи флюида, выполненную с возможностью сообщения флюида с каналом (67) для подачи флюида, выполненном в форме дуги полуокружности.
23. Инжекционная система по п.18, дополнительно содержащая линию (62) для подачи флюида, выполненную с возможностью сообщения флюида с каналом (65) для подачи флюида, выполненном в форме цилиндра.
24. Инжекционная система по п.18, в которой заданный угол составляет от около 20 градусов до около 70 градусов.
25. Инжекционная система по п.18, в которой флюид содержит материал, выбранный из группы, включающей в себя неорганические газы, газообразные неорганические соединения, газообразные органические соединения и газообразные металлоорганические соединения.
26. Инжекционная система по п.25, в которой материал выбирается из группы неорганических газов, включающей в себя кислород, азот, водород, цинк, алюминий, индий и их соединения.
27. Инжекционная система по п.25, в которой материал выбирается из группы газообразных неорганических соединений, включающей в себя аммиак, силан и их соединения.
28. Инжекционная система по п.25, в которой материал выбирается из группы газообразных органических соединений, включающей в себя углеводороды, органосиланы, органосилоксаны и органосилазаны и их соединения.
29. Инжекционная система по п.28, в которой материал выбирается из группы газов, включающей в себя метан, бутадиен, этилбензол, гексаметилдисилан, тетраметилдисилан, винилтриэтилсилан, гексаметилдисилоксан, тетраметилдисилоксан, октаметилциклотетрасилоксан, гексаметилдисилазан и их соединения.
30. Инжекционная система по п.25, в которой материал выбирается из группы газообразных металлоорганических соединений, включающей в себя диэтилцинк, диметилцинк и их соединения.
31. Устройство для нанесения покрытий на подложку, содержащее плазменный генератор (140), имеющий анод (119) и катод (113), выполненный с возможностью формирования плазмы (152) дугового разряда, которая перемещается к подложке (180);
камеру (156) для осаждения, содержащую опору (182) для подложки; и первую инжекционную систему (60), расположенную между анодом (119) и опорой (182) подложки, для введения первого реагента в плазму (152), и содержащую инжектор (130), который включает в себя первую часть канала (6), для ограничения потока первого реагента, имеющую такую форму, что внутренние стенки (3) первой части (6) канала являются параллельными первой оси (9), и вторую часть (8) канала, выполненную с возможностью сообщения флюида с первой частью канала, имеющую часть (8) в виде углубления, выполненного с возможностью расхождения внутренних стенок (3) второй части (8) канала от первой оси (9) под заданным углом, при этом вторая часть (8) канала выполнена с возможностью уменьшения роста закупоривающего слоя (19) на внутренних стенках второй части (8) канала, в течение периода использования.
32. Устройство по п.31, в котором инжектор (130) дополнительно содержит часть (28) наконечника, выступающую над поверхностью (29) основания инжектора (4) в плазму.
33. Устройство по п.32, дополнительно содержащее анод (119), имеющий канал для плазмы, и сопло (119), соединенное с анодом, имеющее канал сопла, простирающийся от анода (119), выполненный с возможностью обеспечения протекания плазмы к подложке, при этом сопло дополнительно содержит первую инжекционную систему (60) для обеспечения введения первого реагента в плазму (152).
34. Устройство по п.33, в котором первая инжекционная система (60) содержит канал (131) для подачи флюида, сформированный внутри корпуса первой инжекционной системы (60), и множество инжекторов (130), расположенных в корпусе и выполненных с возможностью сообщения флюида с каналом (131) для подачи флюида, при этом каждый из инжекторов содержит первую часть (6) канала для ограничения потока первого реагента, имеющую цилиндрическую форму, так что внутренние стенки (3) первой части (6) канала являются параллельными цилиндрической оси (9), вторую часть (8) канала, выполненную с возможностью сообщения флюида с первой частью (6) канала, имеющую часть (8) в виде углубления, выполненную с возможностью расхождения внутренних стенок (3) второй части (8) канала от цилиндрической оси (9) под заданным углом, при этом вторая часть (8) канала выполнена с возможностью ограничения роста закупоривающего слоя (19) на внутренних стенках (3) второй части канала в течение периода использования, и часть (28) наконечника, выступающего над поверхностью (29) основания инжектора (4) в плазму (152).
35. Устройство по п.34, дополнительно содержащее линию (112) для подачи флюида, с возможностью сообщения флюида с каналом (131) для подачи флюида, при этом канал (131) для подачи флюида выполнен в форме кольца, и где инжекторы (130) располагаются в канале для подачи флюида и равномерно распределяются по окружности канала (131) для подачи флюида.
36. Устройство по п.34, дополнительно содержащее линию (112) для подачи флюида, выполненную с возможностью сообщения флюида с каналом (131) для подачи флюида, при этом канал (131) для подачи флюида выполнен в форме кольца, а инжекторы (130), расположенные в канале (131) для подачи флюида, неравномерно распределяются по окружности канала (131) для подачи флюида, так что большее количество инжекторов находится на той стороне канала (131) для подачи флюида, которая является дальней по отношению к линии (112) для подачи флюида.
37. Устройство по п.34, дополнительно содержащее линию (112) для подачи флюида, выполненную с возможностью сообщения флюида с каналом (131) для подачи флюида, при этом канал подачи флюида (131) конфигурируется в форме полукруга.
38. Устройство по п.34, дополнительно содержащее вторую инжекционную систему (60, 132) в сопле (118), для введения второго реагента в плазму (152).
39. Устройство по п.31, в котором расположение первой инжекционной системы (60, 130) соответствует искомой химической стехиометрии и структуре покрытия, содержащего первый реагент, подлежащий нанесению на поверхность (180) подложки с помощью плазмы (152).
40. Устройство по п.31, в котором первый реагент содержит материал, выбранный из группы, включающей в себя неорганические газы, газообразные неорганические соединения, газообразные органические соединения и газообразные металлоорганические соединения.
41. Устройство по п.40, в котором материал выбирается из группы неорганических газов, включающей в себя кислород, азот, водород, цинк, алюминий, индий и их соединения.
42. Устройство по п.40, в котором материал выбирается из группы газообразных неорганических соединений, включающей в себя аммиак, силан и их соединения.
43. Устройство по п.40, в котором материал выбирается из группы газообразных органических соединений, включающей в себя углеводороды, органосиланы, органосилоксаны и органосилазаны, и их соединения.
44. Устройство по п.43, в котором материал выбирается из группы газов, включающей в себя метан, бутадиен, этилбензол, гексаметилдисилан, тетраметилдисилан, винилтриэтилсилан, гексаметилдисилоксан, тетраметилдисилоксан, октаметилциклотетрасилоксан, гексаметилдисилазан и их соединения.
45. Устройство по п.40, в котором материал выбирается из группы газообразных металлоорганических соединений, включающей в себя диэтилцинк, диметилцинк и их соединения.
46. Способ нанесения покрытия на подложку, согласно которому
(а) подают первый реагент в первую инжекционную систему (60), имеющую множество инжекторов (70-76), расположенных по окружности канала (64) для подачи флюида, при этом каждый из инжекторов включает в себя первую часть (6) канала и имеет отверстие (5), с диаметром, достаточным для ограничения потока первого реагента, и вторую часть (8) канала, представляющую собой часть в виде углубления, выполненную с возможностью расхождения внутренних стенок (3) второй части (8) канала от первой оси (9) под заданным углом, для уменьшения роста закупоривающего слоя первого реагента на внутренних стенках (3), и часть (28) наконечника, выступающую в плазму (18);
(b) генерируют плазму дугового разряда;
(c) вводят первый реагент в плазму; и
(d) осаждают первый реагент на поверхности подложки (180).
47. Способ по п.46, согласно которому первый реагент содержит материал, выбранный из группы, включающей в себя неорганические газы, газообразные неорганические соединения, газообразные органические соединения и газообразные металлоорганические соединения.
48. Способ по п.47, согласно которому материал выбирается из группы неорганических газов, включающей в себя кислород, азот, водород, цинк, алюминий, индий и их соединения.
49. Способ по п.47, согласно которому материал выбирается из группы газообразных неорганических соединений, включающей в себя аммиак, силан и их соединения.
50. Способ по п.47, согласно которому материал выбирается из группы газообразных органических соединений, включающей в себя углеводороды, органосиланы, органосилоксаны и органосилазаны, и их соединения.
51. Способ по п.50, согласно которому материал выбирается из группы газов, включающей в себя метан, бутадиен, этилбензол, гексаметилдисилан, тетраметилдисилан, винилтриэтилсилан, гексаметилдисилоксан, тетраметилдисилоксан, октаметилциклотетрасилоксан, гексаметилдисилазан и их соединения.
52. Способ по п.47, согласно которому материал выбирается из группы газообразных металлоорганических соединений, включающей в себя диэтилцинк, диметилцинк и их соединения.
53. Способ по п.46, согласно которому заданный угол составляет от около 20 градусов до около 70 градусов.
54. Способ по п.46, согласно которому дополнительно (e) подводят первый реагент из линии (62) для подачи флюида в канал (64), выполненный в форме кольца, и от канала (64) для подачи, через равномерно распределенные инжекторы (70-76), в плазму (18).
55. Способ по п.46, согласно которому дополнительно (f) вводят второй реагент в плазму (18) через вторую инжекционную систему (132).
56. Способ по п.55, согласно которому дополнительно (g) вводят третий реагент в плазму (18) через третью инжекционную систему (134).
57. Устройство для нанесения покрытия на подложку, содержащее средства (80) для генерирования плазмы, средства (112) для подачи первого реагента в средства (60, 130) инжекторов для введения первого реагента в плазму, средства инжекторов содержат средства (6, 8, 10) для уменьшения осаждения первого реагента на внутренних стенках (3) средств (60, 130) инжекторов, и средства (156) для осаждения покрытия, содержащего первый реагент, на поверхности подложки (180).
58. Устройство по п.57, в котором средства инжекторов дополнительно содержат средства (60, 90) для введения первого реагента в плазму, с возможностью равномерного осаждения покрытия на все области поверхности подложки, на которую наносится покрытие.
59. Изделие с нанесенным покрытием, которое наносится с использованием устройства по п.31, содержащее:
(a) подложку, имеющую поверхность; и
(b) по меньшей мере, одно покрытие, осажденное на поверхности с помощью плазменного химического осаждения из паровой фазы.
60. Изделие по п.59, в котором покрытие выбирают из группы, включающей в себя абразивно-устойчивое покрытие, покрытие для снятия поверхностных напряжений, покрытие инфракрасного фильтра и покрытие ультрафиолетового фильтра.
61. Изделие по п.60, в котором покрытие содержит материал, выбранный из группы, включающей в себя металл, полупроводник, оксид металла, нитрид металла и полимер.
62. Изделие по п.61, в котором покрытие содержит материал, выбранный из группы, включающей в себя цинк, алюминий, индий, кремний, олово, оксид цинка, оксид алюминия, оксид индия, оксид кремния, оксид титана, оксид тантала, оксид ниобия, оксид церия, оксид олова, нитрид кремния, оксинитрид кремния, индий цинк оксид, алюминий цинк оксид, индий олово оксид, алмазоподобный углерод, углеводород, полимеризованный в плазме, силан, полимеризованный в плазме, и силоксан, полимеризованный в плазме.
63. Изделие по п.62, в котором покрытие представляет собой абразивно-устойчивое покрытие.
64. Изделие по п.63, в котором абразивно-устойчивый слой содержит материал, выбранный из группы, включающей в себя оксид кремния, силоксан, полимеризованный в плазме, и окись алюминия.
65. Изделие по п.63, в котором абразивно-устойчивое покрытие является прозрачным.
66. Изделие по п.63, в котором абразивно-устойчивое покрытие имеет абразивную устойчивость по Таберу, меньшую, чем около 4 процента, увеличения матовости по Таберу после 1000 циклов абразивной обработки с помощью колес CS-10F с массой по 500 г на колесо.
67. Изделие по п.59, в котором подложка содержит материал, выбранный из группы, включающей в себя пластик, стекло, кварц, керамику, металл и полупроводник.
68. Изделие по п.67, в котором подложка содержит пластик, выбранный из группы, включающей в себя поликарбонат, полиэфиркарбонат, полиэфирсульфон и полиэфиримид.
69. Изделие по п.68, дополнительно включающее в себя промежуточное покрытие, расположенное между покрытием и поверхностью подложки.
70. Изделие по п.69, в котором промежуточное покрытие содержит кремниевое твердое покрытие.
71. Изделие по п.59, которое представляет собой группу изделий из стекла, включающую в себя стекла автомобилей, окна архитектурных сооружений, фары и кабины самолетов.
72. Изделие по п.59, которое выполнено в виде экрана дисплея, в том числе в виде телевизионных экранов, жидкокристаллических экранов, плоских экранов, плазменных экранов, экранов компьютерных мониторов и защитных покрытий.
RU2003122206A 2000-12-20 2001-10-12 Инжектор и способ для длительного введения реагентов в плазму RU2291223C2 (ru)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/742,837 US6641673B2 (en) 2000-12-20 2000-12-20 Fluid injector for and method of prolonged delivery and distribution of reagents into plasma
US09/742,837 2000-12-20

Publications (2)

Publication Number Publication Date
RU2003122206A true RU2003122206A (ru) 2005-02-20
RU2291223C2 RU2291223C2 (ru) 2007-01-10

Family

ID=24986451

Family Applications (1)

Application Number Title Priority Date Filing Date
RU2003122206A RU2291223C2 (ru) 2000-12-20 2001-10-12 Инжектор и способ для длительного введения реагентов в плазму

Country Status (10)

Country Link
US (1) US6641673B2 (ru)
EP (1) EP1346080A1 (ru)
JP (1) JP2004516386A (ru)
KR (1) KR20030063444A (ru)
CN (1) CN1285761C (ru)
AU (1) AU2001296825A1 (ru)
CA (1) CA2431017A1 (ru)
MX (1) MXPA03005573A (ru)
RU (1) RU2291223C2 (ru)
WO (1) WO2002050335A1 (ru)

Families Citing this family (360)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4553471B2 (ja) * 2000-09-19 2010-09-29 東京エレクトロン株式会社 処理装置及び処理システム
US6641673B2 (en) * 2000-12-20 2003-11-04 General Electric Company Fluid injector for and method of prolonged delivery and distribution of reagents into plasma
DE60234620D1 (de) * 2001-09-10 2010-01-14 Univ Virginia Verfahren zum aufbringen von metalllegierungsüberzügen und überzogene komponente
NL1020634C2 (nl) * 2002-05-21 2003-11-24 Otb Group Bv Werkwijze voor het passiveren van een halfgeleider substraat.
AU2003282533A1 (en) * 2002-08-08 2004-02-25 Trikon Technologies Limited Improvements to showerheads
US6884296B2 (en) * 2002-08-23 2005-04-26 Micron Technology, Inc. Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
CN101068950A (zh) * 2003-05-30 2007-11-07 阿维扎技术公司 气体分配系统
US7622007B2 (en) * 2003-08-07 2009-11-24 Hitachi Kokusai Electric Inc. Substrate processing apparatus and semiconductor device producing method
US7727588B2 (en) * 2003-09-05 2010-06-01 Yield Engineering Systems, Inc. Apparatus for the efficient coating of substrates
US7647886B2 (en) 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US20050098106A1 (en) * 2003-11-12 2005-05-12 Tokyo Electron Limited Method and apparatus for improved electrode plate
KR100958576B1 (ko) * 2003-11-12 2010-05-18 엘지디스플레이 주식회사 표시소자의 제조장치
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US7906393B2 (en) 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
EP1563899A1 (en) * 2004-02-13 2005-08-17 Total Petrochemicals Research Feluy Device and method for the optimization of the injection of reactants into a reactor
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
DE102004029466A1 (de) * 2004-06-18 2006-01-05 Leybold Optics Gmbh Medieninjektor
JP4301094B2 (ja) * 2004-06-25 2009-07-22 トヨタ自動車株式会社 燃料又は還元剤添加装置及び方法、並びにプラズマトーチ
US20060021703A1 (en) * 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US7722737B2 (en) * 2004-11-29 2010-05-25 Applied Materials, Inc. Gas distribution system for improved transient phase deposition
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US20070259111A1 (en) * 2006-05-05 2007-11-08 Singh Kaushal K Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film
JP5501957B2 (ja) * 2007-04-27 2014-05-28 エグザテック・リミテッド・ライアビリティー・カンパニー モールド内被覆による耐摩耗性プラスチックの艶出し
ATE541002T1 (de) 2007-05-01 2012-01-15 Exatec Llc Eingekapselte kunststofftafel und verfahren zu ihrer herstellung
US20080286537A1 (en) * 2007-05-09 2008-11-20 Christophe Lefaux Pre-dry treatment of ink in decorative plastic glazing
EP2253008B1 (en) * 2008-03-12 2017-02-01 Alytus Corporation, S.A. Plasma system
CA2658210A1 (en) * 2008-04-04 2009-10-04 Sulzer Metco Ag Method and apparatus for the coating and for the surface treatment of substrates by means of a plasma beam
DE102008029681A1 (de) * 2008-06-23 2009-12-24 Plasma Treat Gmbh Verfahren und Vorrichtung zum Aufbringen einer Schicht, insbesondere einer selbstreinigend und/oder antimikrobiell wirkenden photokatalytischen Schicht, auf eine Oberfläche
EP2141259B1 (en) * 2008-07-04 2018-10-31 ABB Schweiz AG Deposition method for passivation of silicon wafers
US20100037824A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Plasma Reactor Having Injector
EP2159304A1 (en) * 2008-08-27 2010-03-03 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO Apparatus and method for atomic layer deposition
US8770142B2 (en) * 2008-09-17 2014-07-08 Veeco Ald Inc. Electrode for generating plasma and plasma generator
US8851012B2 (en) * 2008-09-17 2014-10-07 Veeco Ald Inc. Vapor deposition reactor using plasma and method for forming thin film using the same
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8871628B2 (en) * 2009-01-21 2014-10-28 Veeco Ald Inc. Electrode structure, device comprising the same and method for forming electrode structure
KR101172147B1 (ko) 2009-02-23 2012-08-07 시너스 테크놀리지, 인코포레이티드 플라즈마에 의한 라디칼을 이용한 박막 형성 방법
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8758512B2 (en) 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TWI385272B (zh) * 2009-09-25 2013-02-11 Ind Tech Res Inst 氣體分佈板及其裝置
EP2360293A1 (en) 2010-02-11 2011-08-24 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Method and apparatus for depositing atomic layers on a substrate
EP2362411A1 (en) 2010-02-26 2011-08-31 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus and method for reactive ion etching
US8771791B2 (en) 2010-10-18 2014-07-08 Veeco Ald Inc. Deposition of layer using depositing apparatus with reciprocating susceptor
US8877300B2 (en) 2011-02-16 2014-11-04 Veeco Ald Inc. Atomic layer deposition using radicals of gas mixture
US9163310B2 (en) 2011-02-18 2015-10-20 Veeco Ald Inc. Enhanced deposition of layer on substrate using radicals
WO2012141708A1 (en) 2011-04-14 2012-10-18 Exatec Llc Organic resin laminate
US8361607B2 (en) 2011-04-14 2013-01-29 Exatec Llc Organic resin laminate
US20120270384A1 (en) * 2011-04-22 2012-10-25 Applied Materials, Inc. Apparatus for deposition of materials on a substrate
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20130029136A1 (en) * 2011-07-27 2013-01-31 Groner Markus D Transparent Polycarbonate Elements with Alumina Coatings
KR101702471B1 (ko) 2011-08-26 2017-02-03 엑사테크 엘.엘.씨. 유기 수지 라미네이트, 이의 제조 및 이용 방법, 및 이를 포함하는 제품
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101394265B1 (ko) * 2012-08-22 2014-05-13 에스엔유 프리시젼 주식회사 분사노즐 유닛
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US20200173015A1 (en) * 2013-07-25 2020-06-04 Samsung Display Co., Ltd. Vapor deposition apparatus
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) * 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
WO2015194031A1 (ja) * 2014-06-20 2015-12-23 株式会社ユーテック プラズマcvd装置及び磁気記録媒体の製造方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
KR101755664B1 (ko) * 2014-07-29 2017-07-11 주식회사 정화나노엔지니어링 코팅 가스 주입을 통한 나노입자 제조 설비
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
KR102480457B1 (ko) * 2015-07-27 2022-12-22 삼성디스플레이 주식회사 증착 장치
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
CN107435139A (zh) * 2016-05-26 2017-12-05 灿美工程股份有限公司 气体分配器及基板处理装置
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
DE102017108992A1 (de) * 2017-04-26 2018-10-31 Khs Corpoplast Gmbh Vorrichtung zur Innenbeschichtung von Behältern
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US20190295822A1 (en) * 2018-03-20 2019-09-26 Applied Materials, Inc. Method and apparatus for providing radical species to a processing volume of a processing chamber
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN109382288A (zh) * 2018-09-28 2019-02-26 江苏柯润玺医疗科技发展有限公司 一种回转类零件表面凹槽填色工艺
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
CN109881138A (zh) * 2019-03-13 2019-06-14 罗远新 一种保护涂层施工工艺
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
JP2021064508A (ja) * 2019-10-11 2021-04-22 東京エレクトロン株式会社 プラズマ処理装置
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2527184C3 (de) * 1975-06-18 1981-07-02 Philips Patentverwaltung Gmbh, 2000 Hamburg Vorrichtung zur Herstellung von Targets für Kathodenzerstäubung
JPS62115827A (ja) * 1985-11-15 1987-05-27 Canon Inc 微粒子流の流れ制御装置
EP0491521B1 (en) * 1990-12-15 1997-03-12 Fujitsu Limited Process for producing diamond film
US5962085A (en) * 1991-02-25 1999-10-05 Symetrix Corporation Misted precursor deposition apparatus and method with improved mist and mist flow
US5679167A (en) * 1994-08-18 1997-10-21 Sulzer Metco Ag Plasma gun apparatus for forming dense, uniform coatings on large substrates
JP3380091B2 (ja) * 1995-06-09 2003-02-24 株式会社荏原製作所 反応ガス噴射ヘッド及び薄膜気相成長装置
TW356554B (en) * 1995-10-23 1999-04-21 Watkins Johnson Co Gas injection system for semiconductor processing
US5951771A (en) * 1996-09-30 1999-09-14 Celestech, Inc. Plasma jet system
US5950925A (en) * 1996-10-11 1999-09-14 Ebara Corporation Reactant gas ejector head
US6213049B1 (en) * 1997-06-26 2001-04-10 General Electric Company Nozzle-injector for arc plasma deposition apparatus
US6110544A (en) 1997-06-26 2000-08-29 General Electric Company Protective coating by high rate arc plasma deposition
US6106625A (en) * 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
US6132552A (en) * 1998-02-19 2000-10-17 Micron Technology, Inc. Method and apparatus for controlling the temperature of a gas distribution plate in a process reactor
US6123776A (en) 1998-03-04 2000-09-26 United Microelectronics Corp. Gas delivering apparatus for chemical vapor deposition
JP2000038678A (ja) 1998-07-22 2000-02-08 Komatsu Ltd プラズマcvd用のプラズマトーチ及び原料ガス導入方法
KR100328820B1 (ko) * 1999-02-25 2002-03-14 박종섭 화학기상증착 장비의 가스분사장치
JP2000290777A (ja) * 1999-04-07 2000-10-17 Tokyo Electron Ltd ガス処理装置、バッフル部材、及びガス処理方法
US6170432B1 (en) * 2000-01-24 2001-01-09 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
US6641673B2 (en) * 2000-12-20 2003-11-04 General Electric Company Fluid injector for and method of prolonged delivery and distribution of reagents into plasma

Also Published As

Publication number Publication date
US6641673B2 (en) 2003-11-04
JP2004516386A (ja) 2004-06-03
CN1285761C (zh) 2006-11-22
RU2291223C2 (ru) 2007-01-10
CN1481449A (zh) 2004-03-10
WO2002050335A1 (en) 2002-06-27
US20020136909A1 (en) 2002-09-26
CA2431017A1 (en) 2002-06-27
AU2001296825A1 (en) 2002-07-01
KR20030063444A (ko) 2003-07-28
MXPA03005573A (es) 2003-10-06
EP1346080A1 (en) 2003-09-24

Similar Documents

Publication Publication Date Title
RU2003122206A (ru) Инжектор и способ для длительного введения реагентов в плазму
CN1117890C (zh) 用于电弧等离子体沉积设备的喷嘴式喷射器
CN1198957C (zh) 由电弧等离子体高速淀积法形成的保护涂层
US6112695A (en) Apparatus for plasma deposition of a thin film onto the interior surface of a container
AU713728B2 (en) Apparatus and method for plasma processing
US20060185591A1 (en) High temperature chemical vapor deposition apparatus
KR20100077442A (ko) 샤워헤드 및 이를 구비하는 원자층 증착장치
TW362118B (en) Method for depositing amorphous SiNC coatings
CN1446124A (zh) 通过等离子体沉积的、包括一个界面层的封隔涂层,以及得到这种涂层的方法和有这样涂层的容器
US20030021595A1 (en) Apparatus and method for vaporizing a liquid chemical
CN101184865A (zh) 高温化学气相沉积设备
US20030000826A1 (en) Method for the production of gas- and liquid-impermeable layers on a substrate
JPH0565652A (ja) プラズマ増強形化学蒸着装置
Hitchman et al. New approaches to titania and silica CVD
EP0396333B1 (en) Process for depositing a silicon carbide coating on a filament
US20240084449A1 (en) Precursor container
Emelyanov et al. EXPERIMENTAL STUDY OF DIAMOND STRUCTURE SYNTHESIS FROM AMIXTURE OF HYDROGEN WITH ETHANOL VAPOR
US6623802B1 (en) Process and installation for forming a layer on a substrate
KR100490510B1 (ko) 아크플라즈마침착장치용단일노즐-인젝터및표면처리및침착장치
JPH08169708A (ja) シリコン膜の形成方法及びシリコン膜形成装置
EP4130336A1 (en) Atmospheric pressure remote plasma cvd device, film formation method, and plastic bottle manufacturing method
Atagi et al. Low Temperature Deposition of a Thin Film Protective Coating on Fibers Improves their Durability
JP2006264094A (ja) ガスバリア性フィルム
CN116770262A (zh) 一种SiC陶瓷的化学气相沉积制备系统及制备方法
WO2004097067A1 (en) Gas evacuation device

Legal Events

Date Code Title Description
MM4A The patent is invalid due to non-payment of fees

Effective date: 20081013