RU2291223C2 - Инжектор и способ для длительного введения реагентов в плазму - Google Patents

Инжектор и способ для длительного введения реагентов в плазму Download PDF

Info

Publication number
RU2291223C2
RU2291223C2 RU2003122206A RU2003122206A RU2291223C2 RU 2291223 C2 RU2291223 C2 RU 2291223C2 RU 2003122206 A RU2003122206 A RU 2003122206A RU 2003122206 A RU2003122206 A RU 2003122206A RU 2291223 C2 RU2291223 C2 RU 2291223C2
Authority
RU
Russia
Prior art keywords
channel
reagent
injection system
plasma
compounds
Prior art date
Application number
RU2003122206A
Other languages
English (en)
Other versions
RU2003122206A (ru
Inventor
Барри Ли-Мин ЯНГ (US)
Барри Ли-Мин ЯНГ
Original Assignee
Дженерал Электрик Компани
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Дженерал Электрик Компани filed Critical Дженерал Электрик Компани
Publication of RU2003122206A publication Critical patent/RU2003122206A/ru
Application granted granted Critical
Publication of RU2291223C2 publication Critical patent/RU2291223C2/ru

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/513Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31652Of asbestos
    • Y10T428/31663As siloxane, silicone or silane

Abstract

Изобретения могут найти применение в различных отраслях машиностроения при изготовлении изделий с защитными покрытиями и относятся к области плазменной технологии нанесения покрытий, в частности, к инжекционной системе для инжектирования текучего реагента в поток плазмы, устройствам для нанесения покрытий на подложку и способу нанесения покрытий на подложку. Инжектор, используемый в упомянутых объектах, включает первую часть (6) канала для ограничения потока текучего реагента, имеющую такую форму, что внутренние стенки первой части (2) канала являются параллельными первой оси (9). Инжектор (2) имеет вторую часть канала (8), выполненную с возможностью сообщения текучего реагента с первой частью канала. Вторая часть канала (8) имеет углубление, так что внутренние стенки второй части канала (8) расходятся от первой оси (9) под заданным углом. Инжектор может дополнительно включать часть в виде наконечника, который выступает в плазму. Инжектор может быть встроен в инжекционную систему с возможностью извлечения из основания для работы в устройстве плазменного осаждения. В результате достигается однородное распределение текучего реагента и снижена вероятность закупоривания каналов при продолжительном использовании. 4 н. и 42 з.п. ф-лы, 9 ил., 1 табл.

Description

Область техники
Настоящее изобретение относится к устройству и способу для инжекции флюида с целью длительной доставки и однородного распределения реагентов в плазме. В частности, настоящее изобретение касается инжектора для осаждения защитных покрытий на различные подложки, такие как стекло, кварц, металл или металлизированные материалы и пластики.
Предшествующий уровень техники
Использование поликарбонатных (PC) листов или пленок на открытом воздухе в качестве элементов остекления архитектурных сооружений и/или при изготовлении стекол автомобилей требует, чтобы поликарбонатный лист PC был защищен от абразивных воздействий. Таким образом, на поликарбонатных листах PC обычно формируется абразивно-устойчивое покрытие.
Разработано множество стандартных методик для нанесения покрытий, для создания абразивно-устойчивых покрытий, например, широко используется химическое осаждение из паровой фазы (CVD), физическое осаждение из паровой фазы (PVD) и плазменное химическое осаждение из паровой фазы (PECVD). PVD приводит к созданию твердых пленок путем подвода тепловой энергии с целью активирования исходного материала для осаждения. CVD приводит к формированию твердой пленки на поверхности подложки путем теплового активирования и поверхностной реакции газообразных реагентов, которые содержат искомые компоненты пленки. Энергия, требуемая для активирования реагентов, подается путем нагревания подложки. Для получения приемлемых скоростей реакций подложка нагревается до относительно высокой температуры, в пределах от около 500 до 2000°F. При использовании плазменного химического осаждения из паровой фазы энергию подводят к реагентам с помощью электрического разряда в газе, который образует плазму в камере осаждения. При использовании плазмы нагрева подложки больше не требуется, и на материалы с низкими температурами плавления, такие как пластики, при использовании плазменного химического осаждения покрытия могут наноситься непосредственно.
Важным компонентом указанных систем осаждения является устройство, используемое для инжекции реагентов, паров металлов и других исходных материалов в поток плазмы. Например, в заявке на патент США № 09/033,862, зарегистрированной 3 марта 1998 года (ожидающая решения), и в патенте США № 6110544, выданном 29 августа 2000 года, описано сопло-инжектор для высокоскоростного осаждения на больших площадях с использованием плазмы дугового разряда.
Обычно, ряды отверстий (также упоминающихся в качестве инжекторов), равномерно распределенных по корпусу или пластине инжектора, используются для контролируемой, однородной доставки газов или паров на какую-либо площадь. Такое устройство иногда обозначается как леечный инжектор или распределитель. Предпочтительно иметь высокое и равномерное сопротивление потоку в каждом инжекторе для обеспечения однородного распределения инжектируемого реагента по всем инжекторам.
Например, стандартный узкий инжектор с прямыми стенками изображен на фиг.2 и обозначен цифрой 20. Зачастую реагент взаимодействует с плазмой 18 вблизи выходного отверстия, такого как отверстие 22, и, таким образом, реагент осаждается в виде твердого слоя 19 не только на выходном отверстии 22, но также и вдоль внутренней стенки 24. При использовании многоканального устройства для инжекции реагентов закупоривание может происходить неравномерно для разных отверстий, и, таким образом, нарушается однородность доставки реагентов (и последующего осаждения). Кроме того, рабочие характеристики инжектора могут изменяться во времени, и частая чистка забитых инжекторов является необходимой.
Таким образом, хотя леечный инжектор и является эффективным в плане однородного распределения реагентов, он страдает общим недостатком закупоривания отверстий во время длительного экспонирования для конденсирующихся реагентов, особенно в присутствии плазмы. Это происходит из-за того, что концентрация реагентов на выходном отверстии инжектора является высокой, и из-за того, что инжектор часто находится в контакте с плазмой. Высокая концентрация реагентов в сочетании с плазменным активированием способствует осаждению твердой пленки на выходном отверстии инжектора и на внутренних стенках инжектора. В результате, инжектор со временем закупоривается. Если закупоривание разных инжекторов осуществляется неравномерно, однородность доставки материала затрудняется. Забитый инжектор необходимо очищать или заменять, что ведет к прерыванию процесса, увеличению времени простоя и стоимости работы.
Раскрытие изобретения
С учетом вышеизложенного, является желательным создание устройства для инжекции флюида в поток плазмы с однородным распределением и с пониженной вероятностью закупоривания в течение длительной эксплуатации.
Настоящее изобретение предусматривает инжекционную систему, которая включает в себя основание, содержащее инжектор. Инжектор содержит внутреннюю стенку, определяющую собой первую часть канала для ограничения потока флюида и имеющую такую форму, что внутренняя стенка первой части канала является параллельной первой оси. Инжектор также содержит внутреннюю стенку, определяющую собой вторую часть канала, с возможностью сообщения флюида с первой частью канала. Вторая часть канала включает в себя часть в виде углубления, так что внутренняя стенка второй части канала расходится от первой оси под заданным углом. Вторая часть канала ограничивает рост закупоривающего слоя на внутренней стенке второй части канала в течение периода использования. Кроме того, инжектор может дополнительно включать в себя часть в виде наконечника, который выступает в плазму.
Ввиду изложенного выше, было бы желательным также создание устройства для нанесения покрытия на подложку.
В соответствии с другим вариантом осуществления настоящего изобретения, устройство для нанесения покрытия на подложку включает в себя плазменный генератор, имеющий анод и катод, способный к формированию плазмы дугового разряда, которая перемещается в направлении подложки, камеру для размещения в ней подложки и первую инжекционную систему, расположенную между анодом и подложкой, для введения первого реагента в плазму. Первая инжекционная система содержит инжектор, который включает в себя первую часть канала для ограничения потока флюида, имеющий такую форму, что внутренние стенки первой части канала являются параллельными первой оси. Инжектор также включает в себя вторую часть канала, выполненную с возможностью сообщения флюида с первой частью канала. Вторая часть канала включает в себя часть в виде углубления, так что внутренние стенки второй части канала расходятся от первой оси под заданным углом. Вторая часть канала ограничивает рост закупоривающего слоя на внутренних стенках в течение периода использования. Кроме того, инжектор может дополнительно включать в себя часть в виде наконечника, который выступает в плазму.
Ввиду изложенного выше, было бы желательным создание способа для инжекции флюида в поток плазмы с однородным распределением и с пониженной вероятностью закупоривания в течение продолжительного использования.
В соответствии с еще одним вариантом осуществления настоящего изобретения, способ однородного нанесения покрытия на подложку включает в себя подачу первого реагента в инжекционную систему, имеющую множество инжекторов, расположенных по окружности канала для подачи флюида, где каждый из инжекторов включает в себя первую часть канала и имеет отверстие с диаметром, достаточным для ограничения потока первого реагента, и вторую часть канала, представляющую собой часть в виде углубления, за счет чего внутренние стенки второй части канала расходятся от первой оси под заданным углом, чтобы ограничить рост закупоривающего слоя, содержащего первый реагент, на внутренних стенках. Генерируется плазма дугового разряда. Первый реагент вводится в плазму, и первый реагент осаждается на поверхности подложки.
Ввиду изложенного выше, было бы желательным создание изделия, имеющего покрытие, нанесенное с использованием устройства по настоящему изобретению.
В соответствии с еще одним вариантом осуществления настоящего изобретения, изделие с покрытием, нанесенным с помощью устройства по настоящему изобретению, содержит подложку, имеющую поверхность и, по меньшей мере, одно покрытие, осажденное на его поверхность путем плазменного химического осаждения из паровой фазы.
Дополнительные особенности и преимущества настоящего изобретения, а также структура и работа различных вариантов осуществления настоящего изобретения подробно описываются ниже со ссылками на прилагаемые чертежи.
Краткое описание чертежей
Прилагаемые чертежи, включенные в описание вместе с описанием, служат для дальнейшего объяснения принципов настоящего изобретения и для того, чтобы сделать возможным для специалиста в данной области применение и использование настоящего изобретения.
Фигура 1 изображает вид в разрезе инжектора, имеющего часть в виде углубления, в соответствии с одним из вариантов осуществления настоящего изобретения.
Фигура 2 изображает вид в разрезе инжектора, имеющего канал с прямыми стенками.
Фигура 3 изображает вид в разрезе инжектора, имеющего часть в виде углубления и выступающий наконечник, в соответствии с другим вариантом осуществления настоящего изобретения.
Фигура 4 изображает вид в разрезе заменяемого инжектора, имеющего часть в виде углубления и выступающий наконечник, в соответствии с еще одним вариантом осуществления настоящего изобретения.
Фигуры 5a-5e изображают виды в разрезе различных вариантов инжекторов, в соответствии с альтернативными вариантами осуществления настоящего изобретения.
Фигура 6a изображает инжекционную систему, имеющую множество инжекторов, расположенных в узле в форме кольца, в соответствии с еще одним вариантом осуществления настоящего изобретения.
Фигуры 6bи 6c зображают альтернативные инжекционные системы, имеющие множество инжекторов, расположенных в форме плоскости и полукруга, в соответствии с альтернативными вариантами осуществления настоящего изобретения.
Фигура 7 изображает альтернативную инжекционную систему, имеющую неоднородное распределение множества инжекторов, расположенных в узле в форме кольца, в соответствии с еще одним вариантом осуществления настоящего изобретения.
Фигура 8 изображает устройство с плазмой дугового разряда, использующее инжекционную систему в соответствии с еще одним вариантом осуществления настоящего изобретения.
Фигура 9 изображает устройство с плазмой дугового разряда, имеющее плазменную камеру для генерирования плазмы и камеру осаждения, в соответствии с еще одним вариантом осуществления настоящего изобретения.
Подробное описание предпочтительных вариантов осуществления изобретения
Автор настоящего изобретения обнаружил, что обычные каналы с прямыми стенками, используемые при инжектировании флюидов, таких как газообразные реагенты, в плазму дугового разряда, подвержены сильному закупориванию. Эти проблемы закупоривания могут быть преодолены или, по меньшей мере, уменьшены, в соответствии с настоящим изобретением, путем использования инжектора, имеющего часть в виде углубления и, факультативно, часть в виде выступающего наконечника, который выступает в поток или струю плазмы.
Фиг.1 демонстрирует вид сбоку в разрезе инжектора 2, в соответствии с первым вариантом осуществления настоящего изобретения. Как изображено на фиг.1, инжектор 2 интегрально располагается в пластине или основании инжектора 4. Материал, образующий пластину или основание 4, а также внутренние стенки 3 инжектора 2, может быть любым материалом из числа материалов, применяемых в плазменной камере осаждения. Например, основание 4 может содержать корпус из нержавеющей стали или любого другого металла, такого как никель, или сплавов других металлов, таких как MONEL™ (Inco Alloys International) или HASTELLOY™ (Haynes International), которые могут выдерживать высокие рабочие температуры без плавления. Альтернативно, основание 4 может быть выполнено из керамики или аналогичного материала, способного выдерживать исключительно высокие рабочие температуры.
В данном аспекте первого воплощения настоящего изобретения, инжектор 2 интегрально формируется в основании 4. Кроме того, основание 4 может быть, в целом, планарным основанием. Альтернативно, основание 4 может быть конфигурировано в форме кольца и иметь множество инжекторов (как будет показано ниже). Кроме того, могут быть использованы и другие формы основания 4 в соответствии с настоящим изобретением, что представляется очевидным специалисту в данной области техники.
Как показано на фиг.1, инжектор 2 имеет входное отверстие 5, сформированное в поверхности 14 основания, и выходное отверстие 7, сформированное в поверхности 12 основания. Первая часть 6 инжектора 2 предпочтительно является цилиндрической по форме, так что стенки первой части 6 являются параллельными первой оси 9. Альтернативно, поперечное сечение первой части 6 инжектора 2 может иметь любую многоугольную форму. Вторая часть 8 инжектора 2 представляет собой часть в виде углубления, где стенки расходятся от первой оси 9 под заданным углом. Этот заданный угол может иметь величину в пределах от 10 градусов (по отношению к оси 9) до почти 90 градусов. В соответствии с предпочтительным вариантом осуществления, заданный угол может находиться в пределах от около 20 градусов до около 70 градусов. В настоящем варианте осуществления вторая часть 8 предпочтительно имеет коническую форму.
Третья часть 10 инжектора 2 образует выходное отверстие 7. Часть 10 может иметь цилиндрическую форму, как изображено на фиг.1, но с диаметром большим, чем у части 6. Альтернативно, поперечное сечение части 10 может иметь любую многоугольную форму. Кроме того, часть 10 альтернативно может расходиться от оси 9. Угол расхождения внутренних стенок от оси 9 может находиться в пределах от нуля градусов до около 90 градусов. Кроме того, угол расхождения части 10 может быть таким же, как угол расхождения части 8 или отличаться от него. Части 6, 8 и 10 могут быть сформированы в основании 4 с помощью обычных способов обработки, что представляется очевидным для специалиста в области рассматриваемой техники.
При работе флюид, такой как реагент, газ или пар, протекает из области 16 через отверстие 5 в инжектор 2 и покидает отверстие 7 в облаке или потоке плазмы 18. Направление потока струи 18 плазмы может быть продольным (в любом направлении), вдоль пластины 4 инжектора, или поперечным (то есть, в плоскость чертежа или из нее). Таким образом, инжектор 2 может отделять плазму от реагента при высоком давлении.
Отверстие малого диаметра, такое как канал 20, изображенный на фиг.2, может создавать высокое сопротивление потоку. Данное сопротивление потоку является полезным для однородного распределения реагентов в потоке плазмы. Однако, в отличие от обычного канала 20 (с прямыми стенками), изображенного на фиг.2, часть 8 в виде углубления инжектора 2, изображенная на фиг.1, ограничивает прямое экспонирование части 6 для плазмы.
Как было показано выше, обычный инжектор, такой как инжектор 20 на фиг.2, со временем становится чувствительным к закупориванию, при этом рост пленки 19 происходит на выходном отверстии 22 и внутренней стенке 24. Таким образом, при использовании многоинжекторного устройства закупоривание может происходить неравномерно, и однородность доставки реагента (и последующего осаждения) затрудняется.
В соответствии с другим вариантом осуществления настоящего изобретения, согласно фиг.3, инжектор 26 может включать в себя часть 8 в виде углубления и часть 28 в виде наконечника, который выступает над поверхностью 29 основания в поток 18 плазмы. Часть 28 в виде наконечника может выступать на расстояние от нескольких тысячных дюйма до десятых дюйма над поверхностью 29 и в поток плазмы. В соответствии с настоящим изобретением инжектор 26 обеспечивает улучшение диспергирования реагента в потоке плазмы 18. Части 6, 8 и 10 инжектора могут быть сконструированы подобно тем, которые были описаны выше, со ссылкой на фиг.1, где часть 6 имеет меньший диаметр, чем часть 8 в виде углубления и часть 10. Кроме того, как будет показано ниже, конструкция инжектора 26 обеспечивает более существенное уменьшение закупоривания после многократного использования по сравнению с конструкцией обычного инжектора 22, изображенного на фиг.2.
В соответствии с еще одним аспектом данного варианта осуществления настоящего изобретения, инжектор 30 может быть сконструирован с заменяемыми вставками, с различными диаметрами каналов, с различными частями в виде углублений, имеющих различные углы расхождения от первой оси, и с различными расстояниями, на которые выступает наконечник в поток плазмы. Например, как изображено на фиг.4, инжектор 30 имеет часть 8 в виде углубления и выступающую часть 28, подобно тем, которые описаны выше, он может вставляться в основание 34 инжектора и удаляться из него. Основание 34 включает в себя часть с резьбой 36, которая соответствует части 38 с резьбой инжектора 30. Альтернативно, инжектор 30 может иметь гладкую наружную поверхность, и основание 34 может быть сконструировано с получением плотной посадки, с получением соединения или фрикционного крепления с приемной частью инжектора 30, что очевидно для специалиста в области техники, к которой относится настоящее описание. Кроме того, инжектор 30 также может факультативно включать в себя расходящуюся часть 32, которая находится ниже поверхности 31 основания. Таким образом, различные типы инжекторов могут быть использованы в пластине 34 для различных применений. С такой конструкцией инжектор может быть легко адаптирован для различных процессов.
Несколько дополнительных вариантов конструкций инжекторов изображено на фигурах 5a-e. На фиг.5a инжектор 40 интегрально формируется в основании 41. Инжектор 40 включает в себя первую часть 6, которая может быть цилиндрической по форме, так что стенки первой части 6 являются параллельными оси 43. Вторая часть 42 инжектора 40 представляет собой часть в виде углубления, где стенки расходятся от цилиндрической оси под заданным углом. Необходимо отметить, что третья, в целом, цилиндрическая часть отсутствует в данной конструкции. Альтернативно, инжектор 40 может быть модифицирован и выполнен в виде заменяемой вставки в основании 41, подобной заменяемому инжектору, описанному выше со ссылкой на фиг.4.
На фиг.5b инжектор 44 интегрально формируется в основании 45. Первая часть 46 инжектора 44 представляет собой часть в виде углубления, где стенки расходятся от оси 43 под заданным углом.
Необходимо отметить, что инжектор 44 не содержит, в целом, цилиндрических частей. Альтернативно, инжектор 44 может быть модифицирован и выполнен в виде заменяемой вставки в основании 45, подобной заменяемому инжектору, описанному выше со ссылкой на фиг.4.
На фиг.5c, инжектор 48 интегрально формируется в основании 49. Первая часть 50 инжектора 48 представляет собой часть в виде углубления, где стенки расходятся от оси 43 под заданным углом. Вторая часть инжектора 48 определяется цилиндрической частью наконечника 51, которая выступает над верхней поверхностью основания 49 в плазму или поток плазмы. Подобно инжектору 26, описанному выше со ссылкой на фиг.3, часть 51 наконечника может выступать на расстояние от нескольких тысячных дюйма до десятых дюйма над верхней поверхностью пластины 49 и в поток плазмы. Альтернативно, инжектор 48 может быть модифицирован с тем, чтобы он представлял собой заменяемую вставку в основание 49, подобную заменяемому инжектору, описанному выше со ссылкой на фиг.4.
Согласно фиг.5d инжектор 37 интегрально формируется в основании 39. Инжектор 37 включает в себя первую часть 6, которая является цилиндрической по форме, так что стенки первой части 6 являются параллельными оси 43. Вторая часть 38 инжектора 37 является цилиндрической по форме, имеющей диаметр больший, чем диаметр части 6. В настоящем варианте осуществления внутренние стенки части 6 и части 38 являются параллельными друг другу.
Согласно варианту осуществления настоящего изобретения, изображенному на фиг.5e, инжектор 52 расположен под острым углом по отношению к верхней поверхности 59 основания 53. Как изображено на фиг.5e, инжектор 52 интегрально формируется в основании 53. Инжектор 52 включает в себя первую часть 55, которая является цилиндрической по форме, так что стенки первой части 55 являются параллельными оси 54, которая наклонена под острым углом по отношению к верхней поверхности 59. Угол наклона может составлять от около 10 градусов до около 70 градусов и предпочтительно составляет от около 30 градусов до около 60 градусов. Вторая часть 56 инжектора 52 представляет собой часть в виде углубления, где стенки расходятся от оси 54 под заданным углом.
Третья часть 57 инжектора 52 может быть цилиндрической по форме или может расходиться от оси 54. Часть наконечника 58 выступает над верхней поверхностью 59 основания 53 в плазму или поток плазмы. Подобно инжектору 26, описанному выше со ссылкой на фиг.3, часть наконечника 58 может выступать на расстояние от нескольких тысячных дюйма до десятых дюйма над верхней поверхностью пластины 53 и в поток плазмы. Альтернативно, инжектор 52 может быть модифицирован с тем, чтобы он представлял собой удаляемую и заменяемую вставку в основание 53, что представляется очевидным для специалиста в области техники, к которой относится настоящее описание.
На фиг.6a показан еще один вариант осуществления настоящего изобретения, инжекционная система, имеющая множество инжекторов, расположенных в узле в форме кольца. Линия 62 для подачи флюида соединяется с каналом 64 для подачи флюида, сформированным в корпусе инжекционной системы 60. Канал 64 для подачи флюида содержит множество инжекторов 70-76, которые предпочтительно равномерно распределяются по окружности канала 64. Каждый из инжекторов 70-76 может быть сконструирован в соответствии с различными вариантами инжекторов, описанными подробно выше со ссылками на фигуры 1, 3, 4 и 5a-5e. Например, один или несколько из инжекторов 70-76 могут включать в себя часть в виде углубления и факультативно выступающий наконечник для дополнительного уменьшения вероятности закупоривания. Флюид протекает из линии 62 в канал 64. Затем флюид протекает из канала 64 одновременно по нескольким направлениям, через инжекторы 70-76, в пространство 79 камеры осаждения. В данном варианте осуществления настоящего изобретения инжекционная система 60 включает в себя один или несколько инжекторов, соединенных с линией или линиями подачи реагентов, обеспечивающими доставку флюидов в плазму. Альтернативно, как будет показано ниже, инжекционная система может и не иметь форму кольца, но может быть сконструирована в виде планарного цилиндра, имеющего множество инжекторов (фиг.6b), или в форме полукруга (фиг.6c). Множество инжекторов может быть распределено по площади инжекционной пластины различной геометрии, такой как круглый диск, квадрат, прямоугольник, треугольник или трапеция, для наилучшего согласования конструкции камеры осаждения с подложками различных форм.
Согласно предпочтительному варианту осуществления плазма подается с выхода плазменного генератора 80, такого как дуга постоянного тока. Плазма может протекать в плоскость страницы или из нее, как изображено на фиг.6a.
Флюиды подаются в канал 64 через линию 62 для подачи. Кроме того, одна или несколько дополнительных линий подачи могут быть соединены с инжекционной системой 60 для подачи дополнительных флюидов, таких как реагенты и/или легирующие добавки, в поток плазмы. В соответствии с данным вариантом осуществления настоящего изобретения, выбранный флюид предпочтительно представляет собой реагент, который переносится плазмой, для взаимодействия на подложке и формирования покрытия. Конкретный используемый флюид зависит от применения, но может включать в себя, без ограничения, неорганические газы, газообразные неорганические соединения, газообразные органические соединения и газообразные металлоорганические соединения. Неорганические газы могут включать в себя элементарные газы, такие как кислород, азот и водород, испаренные металлы, такие как цинк, алюминий, индий и их соединения. Газообразные неорганические соединения могут включать в себя аммоний, силан и их соединения. Газообразные органические соединения могут включать в себя углеводороды (например, метан, бутадиен, этилбензол), органосиланы (например, гексаметилдисилан, тетраметилдисилан, винилтриэтилсилан), органосилоксаны (например, гексаметилдисилоксан, тетраметилдисилоксан, октаметилциклотетрасилоксан), органосилазаны (например, гексаметилдисилазан) и их соединения. Газообразные металлоорганические соединения могут включать в себя диэтилцинк, диметилцинк и их соединения.
Согласно предпочтительному варианту осуществления изобретения, реагенты используются для формирования абразивно-устойчивого покрытия, такого как окись алюминия (Al2O3), двуокись кремния (SiO2), алмазо-подобный углерод или покрытие из полимеризованного в плазме силоксана, на поликарбонатной подложке, как описано в ожидающей решения заявке на патент США, серийный номер 09/271,658, Charles Iacovangelo, et al., зарегистрированной 17 марта 1999 года.
Фигуры 6b и 6c изображают альтернативные инжекционные системы, имеющие множество инжекторов, расположенных планарно и в форме полукруга, соответственно, согласно альтернативным вариантам осуществления настоящего изобретения. На фиг.6b, линия 62 для подачи флюида соединяется с каналом 65 для подачи флюида, сформированным в корпусе инжекционной системы 61. Канал 65 для подачи флюида имеет, в целом, цилиндрическую форму и содержит множество инжекторов 70-74. Каждое из отверстий 70-74 может быть сконструировано в соответствии с различными вариантами инжекторов, подробно описанными выше. Например, один или несколько из инжекторов 70-74 может включать в себя часть в виде углубления и факультативно выступающий наконечник для дополнительного уменьшения вероятности закупоривания.
Альтернативно, на фиг.6c, линия 62 для подачи флюида соединяется с каналом 67 для подачи флюида, сформированным в корпусе инжекционной системы 66. Согласно данному аспекту настоящего изобретения, канал 67 для подачи флюида имеет форму полукруга и содержит множество инжекторов 70-73, сконструированных в соответствии с различными вариантами выполнения инжекторов, подробно описанными выше. Могут быть сконструированы и другие вариации и модификации относительно формы инжекционной системы в зависимости от типа инжекции флюида, требуемого для конкретного применения, что является очевидным для специалиста в области техники, к которой относится настоящее описание.
На фиг.7 показан другой вариант выполнения инжекционной системы, изображенной на фиг.6a. В данном случае инжекционная система 90 включает в себя канал 64 для подачи флюида, который соединен с линией 62 для подачи флюидов, и множество инжекторов 82-89. В данном аспекте настоящего изобретения однородность доставки флюидов в пространство 80 камеры осаждения дополнительно улучшается с помощью размещения большего количества инжекторов на стороне, противоположной линии 62 подачи (справа от оси 81 на фиг.7). В примере, изображенном на фиг.7, четыре инжектора расположены справа от оси 81, и два инжектора расположены слева от оси 81. Кроме того, индивидуальный размер инжекторов 82-89 может быть подобран таким образом, что на стороне, ближайшей к линии 62 для подачи флюида (слева от оси 81 на фиг.7), осуществляется большее ограничение флюида, чем на стороне, дальней от линии 62 подачи. В этом примере, инжекторы, расположенные справа от оси 81, изображенные на фиг.7, могут иметь входные диаметры большие, чем входные диаметры для инжекторов, размещенных слева от оси 81. Кроме того, инжекторы могут быть сконструированы в соответствии с различными вариантами выполнения инжекторов, подробно описанными выше.
На фиг.8 показан плазменный генератор 140 с дуговым разрядом, в соответствии с еще одним вариантом осуществления настоящего изобретения. Плазменный генератор 140 содержит, по меньшей мере, один катод 113, линию 117 для подачи газа в плазму и анодное сопло 119. Генератор 140 предпочтительно содержит более чем один катод 113. Преимущественно, существует три катода 113. Катоды 113 могут содержать, например, наконечники из вольфрама или из вольфрама, легированного торием. Использование тория позволяет поддерживать температуру наконечников ниже температуры плавления вольфрама, таким образом устраняя загрязнение плазмы атомами вольфрама. Катоды 113 могут поддерживаться корпусом 150 катода для изоляции каждого катода 113 от стенок опорной пластины 128 катода.
Катоды 113 предпочтительно отделяются от анодного сопла 119, по меньшей мере, одной каскадной пластинкой 126. Каскадные пластинки (пластинка) предпочтительно содержат медные диски, содержащие центральные отверстия. Диаметр центрального отверстия предпочтительно увеличивается, как линейная функция расстояния от катодов 113 до отверстия анодного сопла 119. Однако могут быть использованы и другие конфигурации.
Генератор 140 также содержит, по меньшей мере, одну линию 117 подачи плазменного газа. Факультативно, генератор 140 может также содержать линию для подачи продувочного газа, расположенную рядом с линией 117 подачи плазменного газа, для подачи продувочного газа в камеру 140 и в камеру осаждения (не показана) перед подачей плазменного газа.
Опорную пластинку 128 катода удобно прикреплять к каскадной пластинке (пластинкам) 126 и к анодному соплу 119 с помощью изолированного болта 127 или с помощью других креплений. Каскадная пластинка (пластинки) 126 является (являются) предпочтительно электрически изолированной от опорной пластинки катода 128 и анодного сопла 119 с помощью прокладок 115. Прокладки 115 могут содержать, например, кольцевые вакуумные уплотнения, кольца из поливинилхлорида и/или кольца из нитрида бора.
Плазменный разряд с высокой удельной мощностью и с высокой температурой нагревает каскадную пластинку (пластинки) 126 и анодное сопло 119. Предпочтительно, чтобы каскадная пластинка (пластинки) 126 и анодное сопло 119 содержали каналы 129 для охлаждающей воды. Предпочтительно выполнять канал 129 круговой формы во внутреннем объеме пластинки (пластинок) 126 и сопла 119. Охлаждающая вода, подающаяся через линию 169 подачи воды, протекает через канал 129, охлаждая пластинку (пластинки) 126 и сопло 119.
Плазменный генератор с дуговым разрядом 140 может также включать в себя инжекционное сопло 118, которое дополнительно содержит один или несколько входов, которые составляют собой инжекционные системы, в соответствии с предлагаемыми описанными вариантами осуществления. Предпочтительно, чтобы сопло 118 имело коническую форму с углом расхождения от около 10 градусов до около 60 градусов, предпочтительно, от около 40 градусов до около 50 градусов, и с длиной от около 10 см до около 20 см, предпочтительно, около 16 см. Однако сопло 118 может иметь переменное поперечное сечение, например, коническое-цилиндрическое-коническое или коническое-цилиндрическое. Инжекционное сопло 118 предпочтительно прикреплять к анодному соплу 119. Инжекционное сопло 118 содержит факультативную, интегральную или заменяемую, выступающую расходящуюся часть 139 для направления потока плазмы и реакционных частиц. Расположение инжекционных систем может быть выбрано в соответствии с искомой химической стехиометрией и структурой покрытия, которое должно быть нанесено на поверхность подложки с помощью плазмы.
Устройство для нанесения покрытий также содержит, по меньшей мере, одну линию для подачи реагентов. Например, три линии 112, 114, 116 для подачи реагентов, иллюстрируемых на фигуре 8, в предпочтительном варианте осуществления сообщаются с инжекционным соплом 118 и подают реагенты в плазму, протекающую через сопло 118. Однако может присутствовать одна, две, три или больше чем три линии подачи реагентов. Более того, линии подачи реагентов могут быть расположены над анодным отверстием 119 или внутри камеры осаждения, рядом с плазменным генератором.
Инжекционное сопло 118 в предпочтительном варианте осуществления содержит круговую инжекционную систему, которая включает в себя, по меньшей мере, один канал в форме кольца для подачи реагентов, соединенный с инжекторами, например, кольцевой инжектор 60, изображенный на фиг.6A. Например, линия 114 для подачи реагентов соединяется с каналом 135 для подачи реагентов, сформированным внутри корпуса инжекционного сопла 118. Канал 135 для подачи реагентов, как правило, содержит множество инжекторов 134, которые в предпочтительном варианте осуществления однородно распределены по окружности канала 135.
Кроме того, инжекторы 134 предпочтительно конструировать согласно формам инжекторов, описанным выше. Например, как изображено на фиг.8, реагент из линии 114 подачи должен протекать в канал 135 для подачи в инжектор 134. Инжектор 134 может включать в себя первую часть 6 канала, вторую часть 8 канала, которая представляет собой часть в виде углубления, имеющую расходящийся диаметр, больший, чем часть 6 канала, третью часть 10 канала и часть 28 наконечника, подобно инжектору 26, изображенному выше на фиг.3. Таким образом, реагент должен протекать из канала 135 одновременно через инжекторы 134 для введения плазмы 152 (изображенную на фиг.9), испускаемой из анодного сопла 119 в камеру осаждения, с нескольких направлений. Подобным же образом линия 116 подачи соединяется с каналом 133 и с инжекторами 132, и линия 112 подачи соединяется с каналом 131 и с инжекторами 130. В соответствии с несколькими вариантами осуществления настоящего изобретения, закупоривание инжекторов 130, 132 и 134 уменьшается.
Способ генерирования плазмы и формирования слоя на подложке 180 в дальнейшем будет описан со ссылками на фиг.9. Для формирования плазмы в генераторе 140 плазменный газ подается через линию 117 для подачи плазменного газа. Плазменный газ может, соответственно, содержать благородный газ, например, аргон или гелий, или смесь газов с такими компонентами, как азот, двуокись углерода или водород. Если присутствует более одного плазменного газа, то множество газов в случае необходимости могут подаваться через множество линий подачи. Предпочтительно, чтобы плазменный газ содержал аргон или аргон-содержащую смесь. Плазменный газ в плазменном генераторе 140 поддерживается при давлении более высоком, чем давление окружающей среды в камере 156 осаждения, которая непрерывно откачивается с помощью насоса (не показан). Затем постоянное напряжение прикладывается между катодом (катодами) 113 и анодным соплом 119, для генерирования плазмы 152 в генераторе 140. Затем плазма проходит в форме потока 152 плазмы через отверстие анодного сопла 119 в камеру осаждения, благодаря разнице давлений.
Реагент (реагенты) подается в поток плазмы через линию (линии) 112, 114 и/или 116 для подачи. Реагенты могут подаваться через линию подачи в форме газа или жидкости, которая диссоциирует в потоке плазмы. Затем покрытие может быть осаждено на подложке 180, которая опирается на опору 182 для подложки. Альтернативно, реагенты, такие как металл и полупроводниковые реагенты, могут подаваться в форме пара или испаряться из тигля, или испаряться с помощью электронного луча. Кроме того, часть плазмы может направляться на мишень для распыления, содержащую металл или полупроводник, для распыления реагента в потоке плазмы. Детали введения реагентов в плазму описаны подробно в ожидающей совместного решения заявке номер 09/271,655, папка патентного поверенного номер RD-26,345, C. Iacovangelo and K. Borst, зарегистрированной 17 марта 1999 года, включенной в настоящее описание в качестве ссылки.
Множество различных слоев может быть сформировано на различных подложках с помощью плазменного метода дугового разряда. Например, абразивно-устойчивые покрытия, покрытия для снятия поверхностных напряжений и/или покрытия ИК или УФ фильтров могут быть сформированы на таких материалах подложек, как пластик, стекло, кварц, керамика, металл и/или полупроводник. Неограничивающие примеры пластиковых подложек включают в себя поликарбонат (PC) (продается под торговой маркой LEXAN™, General Electric Company), полиэфиркарбонат (PPC), полиэфирсульфон (PES) (продается под торговой маркой "Radel®"), полиэфиримид (PET) (продается под торговой маркой ULTEM™, General Electric Company), поликарбонатные подложки, на которых нанесено твердое кремниевое покрытие (продаются под торговой маркой MR7™ и MR10™, General Electric Company). Пластиковые подложки могут быть использованы, например, как окна для транспортных средств.
Например, в порядке формирования абразивно-устойчивого слоя на подложке, линия 112 подачи может быть использована для подачи кислорода в плазменный дуговой разряд, при этом линия 114 подачи может быть использована для подачи мономерного предшественника газообразного реагента. Силоксановый реагент, такой как тетраметилдисилоксан (TMDSO), гексаметилдисилоксан (HMDSO) или октаметилциклотетрасилоксан (D4), может быть использован для осаждения обогащенного оксидом полисилоксанового слоя на подложку.
Альтернативно, металлоорганические соединения, такие как диэтилцинк (DEZ), диметилцинк (DMZ), триэтилиндий (TEI), триметилалюминий (TMA), и триэтилалюминий (TEA), и т.д., могут быть использованы в качестве источников для реагентов, которые вводятся в поток плазмы. Указанные реагенты могут вводиться в поток плазмы, например, через линию 116 подачи.
Линия 114 подачи может быть использована также для подачи других реагентов с целью формирования на подложке слоя пластика или смолы, отличных от полисилоксана. Альтернативно, линии 114 и 116 подачи могут быть использованы для подачи газов, например, кислорода, азота и водорода, неорганических соединений, таких как аммоний и силан, органических соединений, таких как углеводороды, органосиланы, органосилоксаны, органосилазаны, металлоорганические соединения, и испаренные металлы, с формированием слоя металла, полупроводника, оксида металла, нитрида металла или полимера, такого как Zn, Al, In, Si, Sn, ZnO, Al2O3, In2O3, SiO2, TiO2, Ta2O3, Nb2O3, CeO2, SnO2, Si3N4, оксинитрид кремния, индий цинк оксид, алюминий цинк оксид, индий олово оксид, алмазоподобный углерод или полимеризованный в плазме углеводород, силан или силоксан.
Плазменное устройство с дуговым разрядом в соответствии с данным вариантом осуществления настоящего изобретения является особенно привлекательным в случае изготовления автомобильных стекол методом осаждения слоев. Оно также может быть использовано при осаждении различных слоев на PC при производстве изделий с улучшенными характеристиками, позволяющими противостоять погодным условиям, в частности, окон архитектурных сооружений, фар, кабин самолетов и т.д. Кроме того, оно может быть использовано при нанесении покрытий на солнечные батареи. Кроме того, подложки с нанесенным покрытием могут быть использованы в экранах дисплеев различных устройств, таких как телевизионные экраны, жидкокристаллические экраны, плоские дисплеи, плазменные экраны, экраны компьютерных мониторов и противобликовые покрытия.
Эксперимент
Две группы экспериментов осуществляются для анализа уменьшения закупоривания инжекторов в соответствии с предпочтительным вариантом осуществления настоящего изобретения. В первой группе экспериментов, инжектор, подобный по форме заменяемому инжектору 30, изображенному на фиг.4, сравнивается с инжектором, сходным по форме с инжектором 20, изображенным на фиг.2, в течение длительного процесса инжекции. Во второй группе экспериментов, инжектор, сходный по форме с заменяемым инжектором 30, изображенным на фиг.4, используется во множестве процессов инжекции, в плазменном устройстве с дуговым разрядом, и для осажденных покрытий исследуются характеристики осаждения.
В обеих группах экспериментов плазменный генератор с дуговым разрядом, сходный с изображенным на фиг.8, используется для осуществления нескольких экспериментальных процессов нанесения покрытия. Плазменный генератор с дуговым разрядом включает в себя медный анод, отделенный от трех катодов игольчатого типа из вольфрама, легированного торием, по меньшей мере, одной электрически изолированной медной каскадной пластинкой или их рядом. Аргон (Ar) выбирают в качестве плазменного газа. Когда Ar протекает через отверстие плазменного генератора, постоянное напряжение прикладывается к электродам для генерирования плазмы.
Плазма проходит через инжекционное сопло, сходное с соплом, изображенным на фиг.8, в камеру осаждения при пониженном давлении, таким образом формируя плазменную струю.
Подложки, на которые наносится покрытие, представляют собой листы из PC MR7, размером 4×4 дюйма. Подложки промываются в изопропиловом спирте и сушатся при 80°C в проточном азоте в течение, по меньшей мере, 12 часов перед осаждением для дегазации подложек. Множество подложек устанавливается перпендикулярно оси плазменной струи в камере осаждения с помощью металлической опорной стойки. Опорная стойка располагается на расстоянии около 36 см от анода.
Кислород вводится в плазму через линию подачи реагента (например, линию 112) и инжектор реагента (например, 130), аналогичные изображенным выше на фиг.8. Такая конфигурация генерирует плазму кислород/аргон.
В первой группе экспериментов первый процесс проводится с использованием сопел конической формы с инжекторами, имеющими прямые стенки (смотри, например, фиг.2), имеющих диаметр 0,047 дюйма. Указанные инжекторы размещаются в круговой инжекционной системе, подобной инжекционной системе 60, изображенной на фиг.6A. Инжекторы доставляют тетраметилдисилоксан (TMDSO) в поток плазмы кислород-аргон для нанесения абразивно-устойчивого покрытия на PC подложки. В результате установлено, что отверстия инжекторов забиваются через общее время работы около 3 часов при скорости доставки 0,3 сл/мин (стандартный литр в минуту) паров TMDSO.
Второй эксперимент основан на схожем процессе, с использованием схожего сопла, за исключением того, что инжекторы включают в себя части в виде углублений, имеющие расходящиеся внутренние стенки и выступающие наконечники (например, фиг.4, инжектор 30). В этом процессе, первая часть 6 имеет отверстие диаметром 0,047 дюйма и длиной 0,095 дюйма, вторая часть 8 имеет угол расхождения от цилиндрической оси около 60 градусов и длину 0,015 дюйма, третья часть 10 имеет диаметр 0,102 дюйма и длину 0,075 дюйма, и наконечник инжектора выступает на 0,05 дюйма в поток плазмы, при этом диаметр наружной части составляет 0,18 дюйма. Через 8 часов непрерывной работы при условиях, подобных тем, которые были описаны выше, инжекторы во втором процессе подвергаются заметному закупориванию. Затем процессы осаждения продолжаются в течение общего рабочего времени 13 часов без заметного закупоривания. Таким образом, продолжительность непрерывной работы без закупоривания у сопел инжекторов, имеющих инжекционную систему в соответствии с предпочтительными вариантами осуществления настоящего изобретения, более чем в четыре раза больше, чем у инжекторов с отверстиями, имеющих прямые стенки.
Во второй группе экспериментов, покрытия на основе TMDSO осаждают на три листа из PC MR7, 4×4 дюйма, при условиях, сходных с теми, которые описаны выше. В этой группе экспериментов используется сопло, имеющее множество инжекторов с частями в виде углублений и выступающими наконечниками, как описано выше. Два набора образцов генерируют до (первый процесс) и после (второй процесс) 8-часовой непрерывной работы сопла-инжектора. Качество осажденных покрытий измеряется в отношении толщины, прозрачности, абразивной устойчивости по Таберу и адгезии ленты. Толщину измеряют с помощью профилометра (Dektak IIA) в пяти различных точках на подложке, с предварительно нанесенной маской. Прозрачность измеряют в терминах "матовости", которая представляет собой хорошо известную меру оптической прозрачности для окон. Измерение матовости производят с использованием колориметра Gardner model XL-835. Абразивную устойчивость по Таберу измеряют путем осуществления отсчетов матовости образца до и после абразивной обработки на Gardner Taber abraser model 5130 в течение 1000 циклов с помощью колес CS-10F, с массой по 500 г на колесо. Каждый образец измеряется в четырех различных положениях, расположенных на равных расстояниях друг от друга вдоль дорожки Табера. Увеличение матовости после исследования по Таберу упоминается как абразивная устойчивость по Таберу. Чем меньше увеличение матовости, тем лучше абразивная устойчивость по Таберу. Адгезия ленты измеряется путем вырезания углублений в покрытии с помощью перекрестного резака после осаждения, пропитывания образца в воде при 65°C до 14 дней, и исследования покрытия путем прикладывания липкой ленты к покрытию, а затем с интервалами в 3-4 дня отрывания ленты. Результаты ранжируются от 0 B до 5 B, при этом 0 B представляет собой >65% отшелушивания, и 5B представляет собой отсутствие детектируемого отшелушивания.
Результаты, приведенные в таблице 1, показывают, что свойства покрытий, полученных с помощью инжекционной системы, в соответствии с предпочтительным вариантом осуществления настоящего изобретения, остаются практически такими же по отношению к толщине, прозрачности, абразивной устойчивости по Таберу и адгезии ленты, до и после 8-часового непрерывного использования инжекторов.
Таблица 1
Рабочие характеристики покрытий, генерируемые до и после 8-часовой работы сопла-инжектора
Состояние сопла-инжектора Толщина покрытия (микрон) Начальная матовость (%) Увеличение матовости по Таберу (%) Исследование с лентой/пропитка водой
Vg tDev rg tDev vg tDev день 4 день
Чистый 0.7 0.33 0.76 0.14 0.1 0.8 B B
Через 8 час 0.4 0.31 0.74 0.13 0.4 0.9 B B
Кроме того, то же самое сопло-инжектор исследуется также в отношении доставки другого реагента, октаметилциклотетрасилоксана (D4), со скоростью 0,2 сл/мин паров D4 в плазму кислород-аргон. После 8 часов непрерывной работы никаких признаков закупоривания не наблюдается.
Хотя настоящее изобретение было подробно описано со ссылками на конкретные варианты его осуществления, специалисту в данной области представляется понятным, что в заявленный объект могут быть внесены изменения и модификации без отклонения от рамок настоящего изобретения.

Claims (46)

1. Инжекционная система для инжектирования текучего реагента в поток плазмы, содержащая основание, включающее в себя инжектор, имеющий первую внутреннюю стенку, определяющую первую часть канала для ограничения потока текучего реагента и имеющую такую форму, что первая внутренняя стенка первой части канала является параллельной первой оси, причем первая часть канала имеет первый диаметр, и вторую внутреннюю стенку, определяющую собой вторую часть канала, выполненную с возможностью сообщения по текучей среде с первой частью канала и имеющую часть в виде углубления такую, что вторая внутренняя стенка второй части канала отходит от первой оси под заданным углом, при этом вторая часть канала имеет второй диаметр, который превышает первый диаметр, при этом вторая часть канала ограничивает рост закупоривающего слоя на второй внутренней стенке второй части канала в течение периода использования, при этом упомянутый инжектор выполнен с возможностью его извлечения из основания и содержит корпус, имеющий наружную стенку с резьбой, а основание содержит ответную часть с резьбой для приема корпуса инжектора, снабженного резьбой, упомянутый инжектор содержит часть наконечника, выступающую над поверхностью основания в плазму и имеющую диаметр больший, чем диаметр первой части канала, причем часть наконечника выступает над поверхностью основания на расстояние от примерно 0,001 дюйма до примерно 0,3 дюйма, и упомянутая инжекционная система содержит третью внутреннюю стенку, параллельную первой оси и определяющую собой третью часть канала, находящуюся в сообщении по текучей среде со второй частью канала и имеющую диаметр больший, чем диаметр первой части канала, при этом упомянутая третья часть канала уменьшает рост закупоривающего слоя в течение периода использования, превышающего восемь часов непрерывного протекания.
2. Инжекционная система по п.1, в которой заданный угол составляет от около 20 до около 70°.
3. Инжекционная система по п. 1, в которой инжектор интегрально формируют в основании инжектора, причем инжектор содержит входное отверстие, сформированное в первой поверхности основания инжектора, и выходное отверстие, сформированное во второй поверхности основания инжектора.
4. Инжекционная система по п.3, в которой стенки инжектора и основание инжектора содержат материал, выбранный из группы, включающей в себя металлы, сплавы и керамики, и выдерживающий высокие рабочие температуры без плавления.
5. Инжекционная система по п.4, в которой материал представляет собой нержавеющую сталь.
6. Инжекционная система по п.1, в которой текучий реагент содержит материал, выбранный из группы, включающей в себя неорганические газы, газообразные неорганические соединения, газообразные органические соединения и газообразные металлоорганические соединения.
7. Инжекционная система по п.6, в которой материал выбран из группы неорганических газов, включающей в себя кислород, азот, водород, цинк, алюминий, индий и их соединения.
8. Инжекционная система по п.6, в которой материал выбран из группы газообразных неорганических соединений, включающей в себя аммиак, силан и их соединения.
9. Инжекционная система по п.6, в которой материал выбран из группы газообразных органических соединений, включающей в себя углеводороды, органосиланы, органосилоксаны и органосилазаны и их соединения.
10. Инжекционная система по п.9, в которой материал выбран из группы газов, включающей в себя метан, бутадиен, этилбензол, гексаметилдисилан, тетраметилдисилан, винилтриэтилсилан, гексаметилдисилоксан, тетраметилдисилоксан, октаметилциклотетрасилоксан, гексаметилдисилазан и их соединения.
11. Инжекционная система по п.6, в которой материал выбран из группы газообразных металлоорганических соединений, включающей в себя диэтилцинк, диметилцинк и их соединения.
12. Инжекционная система по п.1, в которой первая часть канала имеет первый диаметр, причем часть в виде углубления определяется внутренней стенкой, которая расходится от первой оси под углом 90°, при этом внутренняя стенка, определяющая вторую часть канала, является параллельной первой оси и имеет второй диаметр больший, чем первый диаметр.
13. Инжекционная система по п.1, содержащая канал для подачи текучего реагента, сформированный внутри корпуса инжекционной системы, и множество инжекторов, размещенных в корпусе, сообщающихся по текучей среде с каналом для подачи текучего реагента.
14. Инжекционная система по п.13, в которой каждый из инжекторов дополнительно содержит часть наконечника, выступающую над поверхностью основания в плазму.
15. Инжекционная система по п.13, дополнительно содержащая линию для подачи текучего реагента, сообщающуюся по текучей среде с каналом для подачи текучего реагента, при этом канал для подачи текучего реагента выполнен в форме кольца, а инжекторы, размещенные в канале для подачи текучего реагента, равномерно распределены по окружности канала для подачи текучего реагента.
16. Инжекционная система по п.13, дополнительно содержащая линию для подачи текучего реагента, сообщающуюся по текучей среде с каналом для подачи текучего реагента, при этом канал для подачи текучего реагента выполнен в форме кольца, а инжекторы, размещенные в канале для подачи текучего реагента, неравномерно распределяются по окружности канала для подачи текучего реагента таким образом, что большее количество инжекторов располагают на той стороне канала для подачи текучего реагента, которая является дальней по отношению к линии для подачи текучего реагента.
17. Инжекционная система по п.13, дополнительно содержащая линию для подачи текучего реагента, сообщающуюся по текучей среде с каналом для подачи текучего реагента, выполненным в форме дуги полуокружности.
18. Инжекционная система по п.13, дополнительно содержащая линию для подачи текучего реагента, сообщающуюся по текучей среде с каналом для подачи текучего реагента, выполненным в форме цилиндра.
19. Инжекционная система по п.13, в которой заданный угол составляет от около 20 до около 70°.
20. Инжекционная система по п.13, в которой текучий реагент содержит материал, выбранный из группы, включающей в себя неорганические газы, газообразные неорганические соединения, газообразные органические соединения и газообразные металлоорганические соединения.
21. Инжекционная система по п.20, в которой материал выбран из группы неорганических газов, включающей в себя кислород, азот, водород, цинк, алюминий, индий и их соединения.
22. Инжекционная система по п.20, в которой материал выбран из группы газообразных неорганических соединений, включающей в себя аммиак, силан и их соединения.
23. Инжекционная система по п.20, в которой материал выбран из группы газообразных органических соединений, включающей в себя углеводороды, органосиланы, органосилоксаны и органосилазаны и их соединения.
24. Инжекционная система по п.23, в которой материал выбран из группы газов, включающей в себя метан, бутадиен, этилбензол, гексаметилдисилан, тетраметилдисилан, винилтриэтилсилан, гексаметилдисилоксан, тетраметилдисилоксан, октаметилциклоте-трасилоксан, гексаметилдисилазан и их соединения.
25. Инжекционная система по п.20, в которой материал выбран из группы газообразных металлоорганических соединений, включающей в себя диэтилцинк, диметилцинк и их соединения.
26. Устройство для нанесения покрытий на подложку, содержащее плазменный генератор, имеющий анод и катод, выполненный с возможностью формирования плазмы дугового разряда, которая перемещается в потоке плазмы к подложке, камеру для осаждения, содержащую опору для подложки, и первую инжекционную систему, расположенную между анодом и опорой подложки, для введения первого реагента в плазму, причем первая инжекционная система содержит канал для подачи текучего реагента, сформированный внутри корпуса первой инжекционной системы, и множество инжекторов, расположенных в корпусе и сообщающихся по текучей среде с каналом для подачи текучего реагента, при этом каждый из инжекторов содержит первую часть канала для ограничения потока первого реагента, имеющую такую форму, что внутренние стенки первой части канала являются параллельными первой оси, причем первая часть канала имеет первый диаметр, и вторую часть канала, сообщающуюся по текучей среде с первой частью канала, имеющую часть в виде углубления такую, что внутренние стенки второй части канала отходят от первой оси под заданным углом, при этом вторая часть канала имеет диаметр, который превышает первый диаметр, при этом вторая часть канала уменьшает рост закупоривающего слоя на внутренних стенках второй части канала в течение периода использования, и часть наконечника, выступающую над поверхностью основания инжектора в плазму, при этом упомянутое устройство содержит линию для подачи текучего реагента, сообщающуюся по текучей среде с каналом для подачи текучего реагента, при этом канал для подачи текучего реагента выполнен в форме кольца или полукольца, причем инжекторы располагаются в канале для подачи текучего реагента и равномерно распределяются вдоль канала для подачи текучего реагента, или упомянутые инжекторы неравномерно распределяются вдоль канала для подачи текучего реагента так, что большее количество инжекторов находится на той стороне канала для подачи текучего реагента, которая является дальней по отношению к линии для подачи текучего реагента, упомянутое устройство содержит вторую инжекционнуто систему в сопле, для введения второго реагента в плазму, и в упомянутом устройстве расположение первой инжекционной системы соответствует искомой химической стехиометрии и структуре покрытия, содержащего первый реагент, подлежащий нанесению на поверхность подложки с помощью плазмы.
27. Устройство по п.26, в котором анод имеет канал для плазмы и сопло, соединенное с анодом, имеющее канал сопла, простирающийся от анода, выполненный с возможностью обеспечения протекания плазмы к подложке, при этом сопло дополнительно содержит первую инжекционную систему для обеспечения введения первого реагента в плазму.
28. Устройство по п.26, в котором первый реагент содержит материал, выбранный из группы, включающей в себя неорганические газы, газообразные неорганические соединения, газообразные органические соединения и газообразные металлоорганические соединения.
29. Устройство по п.28, в котором материал выбирается из группы неорганических газов, включающей в себя кислород, азот, водород, цинк, алюминий, индий и их соединения.
30. Устройство по п.28, в котором материал выбран из группы газообразных неорганических соединений, включающей в себя аммиак, силан и их соединения.
31. Устройство по п.28, в котором материал выбран из группы газообразных органических соединений, включающей в себя углеводороды, органосиланы, органосилоксаны и органосилазаны и их соединения.
32. Устройство по п.31, в котором материал выбран из группы газов, включающей в себя метан, бутадиен, этилбензол, гексаметилдисилан, тетраметилдисилан, винилтриэтилсилан, гексаметилдисилоксан, тетраметилдисилоксан, октаметилциклотетрасилоксан, гексаметилдисилазан и их соединения.
33. Устройство по п.28, в котором материал выбран из группы газообразных металлоорганических соединений, включающей в себя диэтилцинк, диметилцинк и их соединения.
34. Устройство для нанесения покрытия на подложку, содержащее средство для генерации потока плазмы, средство для подачи первого реагента в средство инжекции для введения первого реагента в поток плазмы, причем средство инжекции содержит средство для направления первого реагента в поток плазмы и средство для ограничения закупоривания первым реагентом внутренних стенок средства инжекции, при этом средство направления первого реагента в поток плазмы содержит первую внутреннюю стенку, простирающуюся от первой поверхности основания внутрь основания и определяющую первую часть канала для ограничения потока первого реагента, причем первая часть канала имеет первый диаметр и имеет такую форму, что первая внутренняя стенка первой части канала является параллельной первой оси; и вторую внутреннюю стенку, определяющую собой вторую часть канала, выполненную с возможностью сообщения по текучей среде с первой частью канала, при этом вторая часть канала имеет второй диаметр, который превышает первый диаметр, и имеет часть в виде углубления такую, что вторая внутренняя стенка второй части канала отходит от первой оси под заданным углом, и средство для осаждения покрытия, содержащего первый реагент, на поверхность подложки.
35. Устройство по п.34, в котором средство инжекции дополнительно содержит средство для введения первого реагента в плазму таким образом, что покрытие равномерно осаждается на всех областях поверхности подложки, предназначенных для покрытия.
36. Способ нанесения покрытия на подложку, включающий подачу первого реагента в первую инжекционную систему, имеющую множество инжекторов, расположенных по окружности канала для подачи текучего реагента, генерацию плазмы дугового разряда, введение первого реагента в плазму и осаждение первого реагента на поверхности подложки, при этом каждый из инжекторов имеет канал, состоящий из двух частей, причем первая часть канала имеет диаметр отверстия, достаточный для ограничения потока первого реагента, а вторая часть канала выполнена с расхождением внутренних стенок заданным углом для уменьшения роста закупоривающего слоя первого реагента на внутренних стенках, и выступающую в направлении плазмы часть наконечника.
37. Способ по п.36, согласно которому первый реагент содержит материал, выбранный из группы, включающей в себя неорганические газы, газообразные неорганические соединения, газообразные органические соединения и газообразные металлоорганические соединения.
38. Способ по п.37, согласно которому материал выбирается из группы неорганических газов, включающей в себя кислород, азот, водород, цинк, алюминий, индий и их соединения.
39. Способ по п.37, согласно которому материал выбирается из группы газообразных неорганических соединений, включающей в себя аммиак, силан и их соединения.
40. Способ по п.37, согласно которому материал выбирается из группы газообразных органических соединений, включающей в себя углеводороды, органосиланы, органосилоксаны и органосилазаны и их соединения.
41. Способ по п.40, согласно которому материал выбирается из группы газов, включающей в себя метан, бутадиен, этилбензол, гексаметилдисилан, тетраметилдисилан, винилтриэтилсилан, гексаметилдисилоксан, тетраметилдисилоксан, октаметилциклотетрасилоксан, гексаметилдисилазан и их соединения.
42. Способ по п.37, согласно которому материал выбирается из группы газообразных металлоорганических соединений, включающей в себя диэтилцинк, диметилцинк и их соединения.
43. Способ по п.36, согласно которому заданный угол расхождения составляет от около 20 до около 70°.
44. Способ по п.36, согласно которому дополнительно подводят первый реагент в канал, выполненный в форме кольца, и от канала через равномерно распределенные инжекторы в плазму.
45. Способ по п.36, согласно которому дополнительно вводят второй реагент в плазму через вторую инжекционную систему.
46. Способ по п.45, согласно которому дополнительно вводят третий реагент в плазму через третью инжекционную систему.
RU2003122206A 2000-12-20 2001-10-12 Инжектор и способ для длительного введения реагентов в плазму RU2291223C2 (ru)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/742,837 US6641673B2 (en) 2000-12-20 2000-12-20 Fluid injector for and method of prolonged delivery and distribution of reagents into plasma
US09/742,837 2000-12-20

Publications (2)

Publication Number Publication Date
RU2003122206A RU2003122206A (ru) 2005-02-20
RU2291223C2 true RU2291223C2 (ru) 2007-01-10

Family

ID=24986451

Family Applications (1)

Application Number Title Priority Date Filing Date
RU2003122206A RU2291223C2 (ru) 2000-12-20 2001-10-12 Инжектор и способ для длительного введения реагентов в плазму

Country Status (10)

Country Link
US (1) US6641673B2 (ru)
EP (1) EP1346080A1 (ru)
JP (1) JP2004516386A (ru)
KR (1) KR20030063444A (ru)
CN (1) CN1285761C (ru)
AU (1) AU2001296825A1 (ru)
CA (1) CA2431017A1 (ru)
MX (1) MXPA03005573A (ru)
RU (1) RU2291223C2 (ru)
WO (1) WO2002050335A1 (ru)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
RU2476953C2 (ru) * 2008-03-12 2013-02-27 Алитус Корпорейшн, С.А. Плазменная система
RU2509175C2 (ru) * 2008-07-04 2014-03-10 Абб Текнолоджи Аг Способ нанесения покрытия для пассивации кремниевых пластин
RU2541232C2 (ru) * 2008-08-27 2015-02-10 Недерландсе Органисати Вор Тугепаст-Натюрветенсхаппелейк Ондерзук Тно Устройство и способ нанесения атомного слоя
US9297077B2 (en) 2010-02-11 2016-03-29 Nederlandse Organisatie Voor Toegepast-Natuurwetenschappelijk Onderzoek Tno Method and apparatus for depositing atomic layers on a substrate
US9761458B2 (en) 2010-02-26 2017-09-12 Nederlandse Organisatie Voor Toegepast-Natuurwetenschappelijk Onderzoek Tno Apparatus and method for reactive ion etching

Families Citing this family (345)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4553471B2 (ja) * 2000-09-19 2010-09-29 東京エレクトロン株式会社 処理装置及び処理システム
US6641673B2 (en) * 2000-12-20 2003-11-04 General Electric Company Fluid injector for and method of prolonged delivery and distribution of reagents into plasma
WO2003028428A2 (en) * 2001-09-10 2003-04-10 University Of Virginia Patent Foundation Method and apparatus application of metallic alloy coatings
NL1020634C2 (nl) * 2002-05-21 2003-11-24 Otb Group Bv Werkwijze voor het passiveren van een halfgeleider substraat.
AU2003282533A1 (en) * 2002-08-08 2004-02-25 Trikon Technologies Limited Improvements to showerheads
US6884296B2 (en) * 2002-08-23 2005-04-26 Micron Technology, Inc. Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
EP1629522A4 (en) * 2003-05-30 2008-07-23 Aviza Tech Inc GAS DISTRIBUTION SYSTEM
US7622007B2 (en) * 2003-08-07 2009-11-24 Hitachi Kokusai Electric Inc. Substrate processing apparatus and semiconductor device producing method
US7727588B2 (en) * 2003-09-05 2010-06-01 Yield Engineering Systems, Inc. Apparatus for the efficient coating of substrates
US7647886B2 (en) 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US20050098106A1 (en) * 2003-11-12 2005-05-12 Tokyo Electron Limited Method and apparatus for improved electrode plate
KR100958576B1 (ko) * 2003-11-12 2010-05-18 엘지디스플레이 주식회사 표시소자의 제조장치
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US7906393B2 (en) 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
EP1563899A1 (en) * 2004-02-13 2005-08-17 Total Petrochemicals Research Feluy Device and method for the optimization of the injection of reactants into a reactor
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
DE102004029466A1 (de) * 2004-06-18 2006-01-05 Leybold Optics Gmbh Medieninjektor
JP4301094B2 (ja) * 2004-06-25 2009-07-22 トヨタ自動車株式会社 燃料又は還元剤添加装置及び方法、並びにプラズマトーチ
US20060021703A1 (en) * 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US7722737B2 (en) * 2004-11-29 2010-05-25 Applied Materials, Inc. Gas distribution system for improved transient phase deposition
US20070259111A1 (en) * 2006-05-05 2007-11-08 Singh Kaushal K Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US8236383B2 (en) * 2007-04-27 2012-08-07 Exatec Llc Abrasion resistant plastic glazing with in-mold coating
JP5325879B2 (ja) 2007-05-01 2013-10-23 エグザテック・リミテッド・ライアビリティー・カンパニー カプセル化プラスチックパネル及びそのパネルを作製する方法
WO2008141136A1 (en) * 2007-05-09 2008-11-20 Exatec. Llc Pre-dry treatment of ink in decorative plastic glazing
CA2658210A1 (en) * 2008-04-04 2009-10-04 Sulzer Metco Ag Method and apparatus for the coating and for the surface treatment of substrates by means of a plasma beam
DE102008029681A1 (de) * 2008-06-23 2009-12-24 Plasma Treat Gmbh Verfahren und Vorrichtung zum Aufbringen einer Schicht, insbesondere einer selbstreinigend und/oder antimikrobiell wirkenden photokatalytischen Schicht, auf eine Oberfläche
US20100037824A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Plasma Reactor Having Injector
US8851012B2 (en) * 2008-09-17 2014-10-07 Veeco Ald Inc. Vapor deposition reactor using plasma and method for forming thin film using the same
US8770142B2 (en) * 2008-09-17 2014-07-08 Veeco Ald Inc. Electrode for generating plasma and plasma generator
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8871628B2 (en) * 2009-01-21 2014-10-28 Veeco Ald Inc. Electrode structure, device comprising the same and method for forming electrode structure
US8257799B2 (en) 2009-02-23 2012-09-04 Synos Technology, Inc. Method for forming thin film using radicals generated by plasma
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8758512B2 (en) 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TWI385272B (zh) * 2009-09-25 2013-02-11 Ind Tech Res Inst 氣體分佈板及其裝置
US8771791B2 (en) 2010-10-18 2014-07-08 Veeco Ald Inc. Deposition of layer using depositing apparatus with reciprocating susceptor
US8877300B2 (en) 2011-02-16 2014-11-04 Veeco Ald Inc. Atomic layer deposition using radicals of gas mixture
US9163310B2 (en) 2011-02-18 2015-10-20 Veeco Ald Inc. Enhanced deposition of layer on substrate using radicals
EP2697295B1 (en) 2011-04-14 2018-12-19 Exatec, LLC. Organic resin laminate
US8361607B2 (en) 2011-04-14 2013-01-29 Exatec Llc Organic resin laminate
US20120270384A1 (en) * 2011-04-22 2012-10-25 Applied Materials, Inc. Apparatus for deposition of materials on a substrate
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20130029136A1 (en) * 2011-07-27 2013-01-31 Groner Markus D Transparent Polycarbonate Elements with Alumina Coatings
WO2013032421A1 (en) 2011-08-26 2013-03-07 Exatec Llc Organic resin laminate, methods of making and using the same, and articles comprising the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101394265B1 (ko) * 2012-08-22 2014-05-13 에스엔유 프리시젼 주식회사 분사노즐 유닛
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US20200173015A1 (en) * 2013-07-25 2020-06-04 Samsung Display Co., Ltd. Vapor deposition apparatus
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) * 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
WO2015194031A1 (ja) * 2014-06-20 2015-12-23 株式会社ユーテック プラズマcvd装置及び磁気記録媒体の製造方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
KR101755664B1 (ko) * 2014-07-29 2017-07-11 주식회사 정화나노엔지니어링 코팅 가스 주입을 통한 나노입자 제조 설비
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
KR102480457B1 (ko) * 2015-07-27 2022-12-22 삼성디스플레이 주식회사 증착 장치
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
CN107435139A (zh) * 2016-05-26 2017-12-05 灿美工程股份有限公司 气体分配器及基板处理装置
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
DE102017108992A1 (de) * 2017-04-26 2018-10-31 Khs Corpoplast Gmbh Vorrichtung zur Innenbeschichtung von Behältern
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US20190295822A1 (en) * 2018-03-20 2019-09-26 Applied Materials, Inc. Method and apparatus for providing radical species to a processing volume of a processing chamber
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN109382288A (zh) * 2018-09-28 2019-02-26 江苏柯润玺医疗科技发展有限公司 一种回转类零件表面凹槽填色工艺
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
CN109881138A (zh) * 2019-03-13 2019-06-14 罗远新 一种保护涂层施工工艺
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
JP2021064508A (ja) * 2019-10-11 2021-04-22 東京エレクトロン株式会社 プラズマ処理装置
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2527184C3 (de) * 1975-06-18 1981-07-02 Philips Patentverwaltung Gmbh, 2000 Hamburg Vorrichtung zur Herstellung von Targets für Kathodenzerstäubung
JPS62115827A (ja) * 1985-11-15 1987-05-27 Canon Inc 微粒子流の流れ制御装置
DE69125118T2 (de) * 1990-12-15 1997-06-19 Fujitsu Ltd Verfahren zur Herstellung eines Diamant-Überzuges
US5962085A (en) * 1991-02-25 1999-10-05 Symetrix Corporation Misted precursor deposition apparatus and method with improved mist and mist flow
US5679167A (en) * 1994-08-18 1997-10-21 Sulzer Metco Ag Plasma gun apparatus for forming dense, uniform coatings on large substrates
JP3380091B2 (ja) * 1995-06-09 2003-02-24 株式会社荏原製作所 反応ガス噴射ヘッド及び薄膜気相成長装置
TW356554B (en) * 1995-10-23 1999-04-21 Watkins Johnson Co Gas injection system for semiconductor processing
US5951771A (en) * 1996-09-30 1999-09-14 Celestech, Inc. Plasma jet system
KR100492258B1 (ko) * 1996-10-11 2005-09-02 가부시키가이샤 에바라 세이사꾸쇼 반응가스분출헤드
US6110544A (en) 1997-06-26 2000-08-29 General Electric Company Protective coating by high rate arc plasma deposition
US6213049B1 (en) * 1997-06-26 2001-04-10 General Electric Company Nozzle-injector for arc plasma deposition apparatus
US6106625A (en) * 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
US6132552A (en) * 1998-02-19 2000-10-17 Micron Technology, Inc. Method and apparatus for controlling the temperature of a gas distribution plate in a process reactor
US6123776A (en) 1998-03-04 2000-09-26 United Microelectronics Corp. Gas delivering apparatus for chemical vapor deposition
JP2000038678A (ja) 1998-07-22 2000-02-08 Komatsu Ltd プラズマcvd用のプラズマトーチ及び原料ガス導入方法
KR100328820B1 (ko) * 1999-02-25 2002-03-14 박종섭 화학기상증착 장비의 가스분사장치
JP2000290777A (ja) * 1999-04-07 2000-10-17 Tokyo Electron Ltd ガス処理装置、バッフル部材、及びガス処理方法
US6170432B1 (en) * 2000-01-24 2001-01-09 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
US6641673B2 (en) * 2000-12-20 2003-11-04 General Electric Company Fluid injector for and method of prolonged delivery and distribution of reagents into plasma

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
RU2476953C2 (ru) * 2008-03-12 2013-02-27 Алитус Корпорейшн, С.А. Плазменная система
RU2509175C2 (ru) * 2008-07-04 2014-03-10 Абб Текнолоджи Аг Способ нанесения покрытия для пассивации кремниевых пластин
RU2541232C2 (ru) * 2008-08-27 2015-02-10 Недерландсе Органисати Вор Тугепаст-Натюрветенсхаппелейк Ондерзук Тно Устройство и способ нанесения атомного слоя
US11549180B2 (en) 2008-08-27 2023-01-10 Nederlandse Organisatie Voor Toegepast-Natuurwetenschappelijk Onderzoek Tno Apparatus and method for atomic layer deposition
US9297077B2 (en) 2010-02-11 2016-03-29 Nederlandse Organisatie Voor Toegepast-Natuurwetenschappelijk Onderzoek Tno Method and apparatus for depositing atomic layers on a substrate
US9803280B2 (en) 2010-02-11 2017-10-31 Nederlandse Organisatie Voor Toegepast-Natuurwetenschappelijk Onderzoek Tno Method and apparatus for depositing atomic layers on a substrate
US10676822B2 (en) 2010-02-11 2020-06-09 Nederlandse Organisatie Voor Toegepast-Natuurwetenschappelijk Onderzoek Tno Method and apparatus for depositing atomic layers on a substrate
US9761458B2 (en) 2010-02-26 2017-09-12 Nederlandse Organisatie Voor Toegepast-Natuurwetenschappelijk Onderzoek Tno Apparatus and method for reactive ion etching

Also Published As

Publication number Publication date
EP1346080A1 (en) 2003-09-24
KR20030063444A (ko) 2003-07-28
US20020136909A1 (en) 2002-09-26
MXPA03005573A (es) 2003-10-06
WO2002050335A1 (en) 2002-06-27
CN1481449A (zh) 2004-03-10
AU2001296825A1 (en) 2002-07-01
RU2003122206A (ru) 2005-02-20
CN1285761C (zh) 2006-11-22
US6641673B2 (en) 2003-11-04
JP2004516386A (ja) 2004-06-03
CA2431017A1 (en) 2002-06-27

Similar Documents

Publication Publication Date Title
RU2291223C2 (ru) Инжектор и способ для длительного введения реагентов в плазму
US6397776B1 (en) Apparatus for large area chemical vapor deposition using multiple expanding thermal plasma generators
EP0887110B1 (en) Nozzle-injector for arc plasma deposition apparatus
KR100571169B1 (ko) 플라즈마 활성화된 증발 공정에 의한 이산화 규소의 침착
JP5267714B2 (ja) 透明ガスバリア性フィルムの製造方法および有機エレクトロルミネッセンス素子
EP1472387B1 (en) Corona-generated chemical vapor deposition on a substrate
JPH1171681A (ja) 高速アークプラズマ成膜による保護皮膜
JPWO2008096616A1 (ja) 透明ガスバリア性フィルム及びその製造方法
JPWO2008096617A1 (ja) 透明ガスバリア性フィルム及び透明ガスバリア性フィルムの製造方法
KR20070103465A (ko) 고온 화학 증기 증착 장치
JPWO2008096615A1 (ja) 透明ガスバリア性フィルム及びその製造方法
WO2009114851A2 (en) Method for preparing electrically conducting materials and devices including same
WO2003017737A2 (en) Cascade arc plasma and abrasion resistant coatings made therefrom
JPWO2006075490A1 (ja) 透明ガスバリアフィルム
KR20050113186A (ko) 큰 면적의 코팅재를 평면에 침착시키기 위한 장치 및 방법
KR100490510B1 (ko) 아크플라즈마침착장치용단일노즐-인젝터및표면처리및침착장치
EP4130336A1 (en) Atmospheric pressure remote plasma cvd device, film formation method, and plastic bottle manufacturing method
IE62322B1 (en) METHOD OF PLASMA ENHANCED SILICON OXIDE DEPOSITION IE

Legal Events

Date Code Title Description
MM4A The patent is invalid due to non-payment of fees

Effective date: 20081013