KR970077307A - 반도체장치 제조공정의 플라즈마 식각 방법 - Google Patents
반도체장치 제조공정의 플라즈마 식각 방법 Download PDFInfo
- Publication number
- KR970077307A KR970077307A KR1019960015149A KR19960015149A KR970077307A KR 970077307 A KR970077307 A KR 970077307A KR 1019960015149 A KR1019960015149 A KR 1019960015149A KR 19960015149 A KR19960015149 A KR 19960015149A KR 970077307 A KR970077307 A KR 970077307A
- Authority
- KR
- South Korea
- Prior art keywords
- plasma
- gas
- etching
- semiconductor device
- rti
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims abstract description 52
- 238000001020 plasma etching Methods 0.000 title claims abstract description 25
- 239000004065 semiconductor Substances 0.000 title claims abstract description 21
- 238000004519 manufacturing process Methods 0.000 title claims abstract description 20
- 239000007789 gas Substances 0.000 claims abstract 38
- 238000005530 etching Methods 0.000 claims abstract 20
- 239000000654 additive Substances 0.000 claims abstract 15
- 230000000996 additive effect Effects 0.000 claims abstract 15
- HZVOZRGWRWCICA-UHFFFAOYSA-N methanediyl Chemical group [CH2] HZVOZRGWRWCICA-UHFFFAOYSA-N 0.000 claims abstract 9
- 229920000642 polymer Polymers 0.000 claims abstract 7
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims abstract 5
- 239000000460 chlorine Substances 0.000 claims abstract 5
- 229910052710 silicon Inorganic materials 0.000 claims abstract 5
- 239000010703 silicon Substances 0.000 claims abstract 5
- 229910052801 chlorine Inorganic materials 0.000 claims abstract 3
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 claims abstract 2
- 239000000543 intermediate Substances 0.000 claims 28
- 229910021420 polycrystalline silicon Inorganic materials 0.000 claims 14
- 229920005591 polysilicon Polymers 0.000 claims 14
- GDTBXPJZTBHREO-UHFFFAOYSA-N bromine Chemical compound BrBr GDTBXPJZTBHREO-UHFFFAOYSA-N 0.000 claims 11
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 claims 8
- 229910052794 bromium Inorganic materials 0.000 claims 8
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims 6
- 229910052814 silicon oxide Inorganic materials 0.000 claims 5
- CPELXLSAUQHCOX-UHFFFAOYSA-N Hydrogen bromide Chemical group Br CPELXLSAUQHCOX-UHFFFAOYSA-N 0.000 claims 4
- 229910052786 argon Inorganic materials 0.000 claims 4
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims 4
- 239000012159 carrier gas Substances 0.000 claims 4
- 239000001307 helium Substances 0.000 claims 4
- 229910052734 helium Inorganic materials 0.000 claims 4
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 claims 4
- 239000011261 inert gas Substances 0.000 claims 4
- 229910052760 oxygen Inorganic materials 0.000 claims 4
- 239000001301 oxygen Substances 0.000 claims 4
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical group [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims 3
- 238000009616 inductively coupled plasma Methods 0.000 claims 3
- 230000005596 ionic collisions Effects 0.000 claims 3
- VNWKTOKETHGBQD-UHFFFAOYSA-N methane Chemical compound C VNWKTOKETHGBQD-UHFFFAOYSA-N 0.000 claims 3
- 229910003902 SiCl 4 Inorganic materials 0.000 claims 2
- 229910004298 SiO 2 Inorganic materials 0.000 claims 2
- ILAHWRKJUDSMFH-UHFFFAOYSA-N boron tribromide Chemical compound BrB(Br)Br ILAHWRKJUDSMFH-UHFFFAOYSA-N 0.000 claims 2
- 229910052799 carbon Inorganic materials 0.000 claims 2
- 229910000042 hydrogen bromide Inorganic materials 0.000 claims 2
- 238000010849 ion bombardment Methods 0.000 claims 2
- 239000002184 metal Substances 0.000 claims 2
- 238000005389 semiconductor device fabrication Methods 0.000 claims 2
- 125000004429 atom Chemical group 0.000 claims 1
- 238000007599 discharging Methods 0.000 claims 1
- 125000005843 halogen group Chemical group 0.000 claims 1
- 239000000463 material Substances 0.000 claims 1
- 238000003672 processing method Methods 0.000 claims 1
- 239000010408 film Substances 0.000 abstract 3
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 abstract 1
- 229910052731 fluorine Inorganic materials 0.000 abstract 1
- 239000011737 fluorine Substances 0.000 abstract 1
- 230000010354 integration Effects 0.000 abstract 1
- 238000002161 passivation Methods 0.000 abstract 1
- 239000000758 substrate Substances 0.000 abstract 1
- 239000010409 thin film Substances 0.000 abstract 1
- 239000012528 membrane Substances 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32133—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
- H01L21/32135—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
- H01L21/32136—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
- H01L21/32137—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Plasma & Fusion (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Drying Of Semiconductors (AREA)
- ing And Chemical Polishing (AREA)
Abstract
주(主)식각가스에 여러 가스를 혼합하여 공급함으로써 웨이퍼의 막질이 선택적으로 식각되는 부분의 측벽에 보호막(Passivation)용 박막을 형성시켜서 프로파일을 개선시키는 반도체장치 제조공정의 플라즈마 식각 방법에 관한 것이다.
본 발명은, 웨이퍼 상의 실리콘 함유 막질을 선택적으로 플라즈마 방식으로 식각하는 반도체장치 제조공정의 플라즈마 식각 방법에 있어서, 공급가스로써 염소(Cl) 또는 불소(F)를 포함하는 식각가스와 플라즈마 방전에 의하여 카빈(Carbene) 구조의 중간체를 생성하는 첨가가스를 혼합공급함에 따라 막질이 식각된 프로파일의 측벽에 플라즈마 상태에서 생성되는 중간체의 결합체인 폴리머가 형성되도록 이루어진다.
따라서, 본 발명에 의하면 극미세 및 초집적 사양을 요구하는 반도체장치의 제작에 충분히 이용될 수 있을 정도의 식각된 막질 프로파일을 구현할 수 있어서 반도체장치가 고용량 및 고기능화 될 수 있는 효과가 있다.
Description
본 내용은 요부공개 건이므로 전문내용을 수록하지 않았음
제2도는 본 발명에 따른 반도체장치 제조공정의 플라즈마 식각 방법의 실시예를 설명하기 위한 웨이퍼 막질 단면도이다.
Claims (46)
- 복수의 공급가스를 플라즈마 상태로 변환시켜서 웨이퍼 상의 폴리실리콘막질을 상부에 마스킹된 패턴에 따른 선택적인 반응 및 이온 충돌로 식각하는 반도체장치 제조공정의 플라즈마 식각 방법에 있어서, 상기 공급 가스로써 염소(Cl)를 포함하는 식각가스와 플라즈마 방전에 의하여 카빈(Carbene) 구조의 중간체를 생성하는 첨가가스를 혼합하여 공급하는 단계; 및 상기 폴리실리콘 막질이 식각된 프로파일의 측벽에 플라즈마 상태에서 생성되는 상기 중간체의 결합체인 폴리머를 형성시키는 단계를 구비함을 특징으로 하는 반도체장치 제조공정의 플라즈마 식각 방법.
- 제1항에 있어서, 상기 식각가스는 Cl2, BCl, HCl 또는 SiCl4중 어느 하나 또는 둘 이상의 조합임을 특징으로 하는 상기 반도체장치 제조공정의 플라즈마 식각 방법.
- 제1항에 있어서, 캐리어가스로서 상기 폴리실리콘 막질의 표면 식각부산물을 충돌로 탈락시키도록 불활성 가스인 헬륨(He) 또는 아르곤(Ar)중 하나를 공급함을 특징으로 하는 상기 반도체장치 제조공정의 플라즈마 식각 방법.
- 제1항에 있어서, 상기 첨가가스는 CH3Br, CH2Br2, CHBr3, C2H5Br 또는 C2H4Br2중 어느 하나 도는 둘 이상의 조합임을 특징으로 하는 상기 반도체장치 제조공정의 플라즈마 식각 방법.
- 제1항에 있어서, 상기 카빈구조의 중간체는 CxHyBrz(단, x, y 및 z는 '0' 또는 자연수)임을 특징으로 하는 반도체장치 제조공정의 플라즈마 식각 방법.
- 제5항에 있어서, 상기 중간체는 브롬화수소카빈( : CHBr)임을 특징으로 하는 상기 반도체장치 제조공정의 플라즈마 식각 방법.
- 제5항에 있어서, 상기 중간체는 다이하이드로겐카빈( : CH2)임을 특징으로 하는 상기 반도체장치 제조공정의 플라즈마 식각 방법.
- 제5항에 있어서, 상기 중간체는 다이브롬카빈( : CBr2)임을 특징으로 하는 상기 반도체장치 제조공정의 플라즈마 식각 방법.
- 제1항에 있어서, 상기 식각은 상온에서 이루어지며 상기 보조가스로는 브롬화메탄(CH3Br)을 공급함을 특징으로 하는 반도체장치 제조공정의 플라즈마 식각 방법.
- 제1항에 있어서, 상기 폴리실리콘의 하부 막질이 실리콘 옥사이드(SiO2)이면 막질간 식각 선택성을 위하여 산소(O2)를 더 공급함을 특징으로 하는 반도체장치 제조공정의 플라즈마 식각 방법.
- 제1항 또는 제10항에 있어서, 상기 염소와 산소는 1:2 내지 1:4의 비율의 양으로 공급함을 특징으로 하는 상기 반도체장치 제조공정의 플라즈마 식각 방법.
- 제1항에 있어서, 상기 식각가스와 첨가가스는 유도성 플라즈마(Inductively Coupled Plasma) 타입의 플라즈마원에 의해 중간체가 변환생성되어 상기 폴리실리콘 막질을 선택적으로 식각함을 특징으로 하는 상기 반도체장치 제조공정의 플라즈마 식각 방법.
- 제1항에 있어서, 상기 식각가스와 첨가가스는 용량성 플라즈마(Capacitively Coupled Plasma) 타입의 플라즈마원에 의해 중간체가 변환생성되어 상기 폴리실리콘 막질을 선택적으로 식각함을 특징으로 하는 상기 반도체장치 제조공정의 플라즈마 식각 방법.
- 복수의 공급가스를 플라즈마 상태로 변환시켜서 웨이퍼 상의 폴리실리콘 막질을 상부에 마스킹된 패턴에 따른 선택적인 반응 및 이온 충돌로 식각하는 반도체장치 제조공정의 플라즈마 식각 방법에 있어서, 상기 공급가스로서 불소(F)를 포함하는 식각가스와 플라즈마 방전에 의하여 카빈구조의 중간체를 생성하는 첨가가스를 혼합하여 공급하는 단계; 및 상기 폴리실리콘 막질이 식각된 프로파일의 측벽에 플라즈마 상태에서 생성되는 상기 중간체의 결합체인 폴리머를 형성시키는 단계를 구비함을 특징으로 하는 반도체장치 제조공정의 플라즈마 식각 방법.
- 제14항에 있어서, 상기 식각가스는 F2, SF6, CF4또는 CHF3중 어느 하나 또는 둘 이상의 조합임을 특징으로 하는 상기 반도체장치 제조공정의 플라즈마 식각 방법.
- 제14항에 있어서, 캐리어가스로서 상기 폴리실리콘 막질의 표면 식각부산물을 충돌로 탈락시키도록 불활성가스인 헬륨(He) 또는 아르곤(Ar)중 하나를 공급함을 특징으로 하는 상기 반도체장치의 제조공정의 플라즈마 식각 방법.
- 제14항에 있어서, 상기 첨가가스는 CH3Br, CH2Br2, CHBr3, C2H5Br 또는 C2H4Br2중 어느 하나 또는 둘 이상의 조합임을 특징으로 하는 상기 반도체장치 제조공정의 플라즈마 식각 방법.
- 제14항에 있어서, 상기 카빈구조의 중간체는 CxHyBrz(단, x, y 및 z는 '0' 또는 자연수)임을 특징으로 하는 상기 반도체장치 제조공정의 플라즈마 식각 방법.
- 제18항에 있어서, 상기 중간체는 브롬화가스카빈( : CHBr)임을 특징으로 하는 상기 반도체장치 제조공정의 플라즈마 식각 방법.
- 제18항에 있어서, 상기 중간체는 다이하이드로겐카빈( : CH2)임을 특징으로 하는 상기 반도체장치 제조공정의 플라즈마 식각 방법.
- 제18항에 있어서, 상기 중간체는 다이브롬카빈( : CHBr2)임을 특징으로 하는 상기 반도체장치 제조공정의 플라즈마 식각 방법.
- 제14항에 있어서, 상기 폴리실리콘의 하부 막질이 실리콘 옥사이드(SiO2)이면 막질간 식각 선택성을 위하여 산소(O2)를 더 공급함을 특징으로 하는 반도체장치 제조공정의 플라즈마 식각 방법.
- 제14항에 있어서, 상기 식각가스와 첨가가스는 유도성 플라즈마(Inductively Coupled Plasma) 타입의 플라즈마원에 의해 중간체가 변환생성되어 상기 폴리실리콘막질을 선택적으로 식각함을 특징으로 하는 상기 반도체장치 제조공정의 플라즈마 식각 방법.
- 제14항에 있어서, 상기 식각가스와 첨가가스는 용량성 플라즈마(Capacitively Coupled Plasma) 타입의 플라즈마원에 의해 중간체가 변환생성되어 상기 폴리실리콘 막질을 선택적으로 식각함을 특징으로 하는 상기 반도체장치 제조공정의 플라즈마 식각 방법.
- 복수의 공급가스를 플라즈마 상태로 변환시켜서 웨이퍼 상의 실리콘 옥사이드 막질을 상부에 마스킹된 패턴에 따른 선택적인 반응 및 이온 충돌로 식각하는 반도체장치 제조공정의 플라즈마 식각 방법에 있어서, 상기 공급가스로서 불소(F)를 포함하는 식각가스와 플라즈마 방전에 의하여 카빈구조의 중간체를 생성하는 첨가가스를 혼합하여 공급하는 단계; 및 상기 실리콘 옥사이드 막질이 식각된 프로파일의 측벽에 플라즈마 상태에서 생성되는 상기 중간체의 결합체인 폴리머를 형성시키는 단계를 구비함을 특징으로 하는 반도체장치 제조공정의 플라즈마 식각 방법.
- 제25항에 있어서, 상기 식각가스는, F2, SF6, CF4또는 CHF3중 어느 하나 또는 둘 이상의 조합임을 특징으로 하는 상기 반도체장치 제조공정의 플라즈마 식각 방법.
- 제25항에 있어서, 캐리어가스로서 상기 폴리실리콘 막질의 표면 식각부산물을 충돌로 탈락시키도록 불활성가스인 헬륨(He) 또는 아르곤(Ar)중 하나를 공급함을 특징으로 하는 상기 반도체장치 제조공정의 플라즈마 식각 방법.
- 제25항에 있어서, 상기 첨가가스는 CH3Br, CH2Br2, CHBr3, C2H5Br 또는 C2H4Br2중 어느 하나 또는 둘 이상의 조합임을 특징으로 하는 상기 반도체장치 제조공정의 플라즈마 식각 방법.
- 제25항에 있어서, 상기 카빈구조의 중간체는 CxHyBrz(단, x, y 및 z는 '0' 또는 자연수)임을 특징으로 하는 상기 반도체장치 제조공정의 플라즈마 식각 방법.
- 제29항에 있어서, 상기 중간체는 브롬화수소카빈( : CHBr)임을 특징으로 하는 상기 반도체장치 제조공정의 플라즈마 식각 방법.
- 제29항에 있어서, 상기 중간체는 다이하이드로겐카빈( : CH2)임을 특징으로 하는 상기 반도체장치 제조공정의 플라즈마 식각 방법.
- 제29항에 있어서, 상기 중간체는 다이브롬카빈( : CHBr2)임을 특징으로 하는 상기 반도체장치 제조공정의 플라즈마 식각 방법.
- 제25항에 있어서, 상기 식각가스와 첨가가스는 유도성 플라즈마(Inductively Coupled Plasma) 타입의 플라즈마원에 의해 중간체가 변환생성되어 상기 폴리실리콘막질을 선택적으로 식각함을 특징으로 하는 상기 반도체장치 제조공정의 플라즈마 식각 방법.
- 제25항에 있어서, 상기 식각가스와 첨가가스는 용량성 플라즈마(Capacitively Coupled Plasma) 타입의 플라즈마원에 의해 중간체가 변환생성되어 상기 폴리실리콘 막질을 선택적으로 식각함을 특징으로 하는 상기 반도체장치 제조공정의 플라즈마 식각 방법.
- 웨이퍼 상의 실리콘 함유층의 식각을 위한 반도체장치 제조공정의 플라즈마 식각 방법에 있어서, 브롬과 할로겐 족에 포함된 다른 한 원자가 결합된 BrI, 또는 Br2중 하나가 식각가스와 같이 공급되어 상기 실리콘 함유층의 선택적 식각에 의하여 형성된 프로파일의 측벽을 보호하기 위한 폴리머를 형성시킴을 특징으로 하는 반도체장치 제조공정의 플라즈마 식각 방법.
- 복수의 공급가스를 플라즈마 상태로 변환시켜서 웨이퍼 상의 실리콘 함유 막질을 상부에 마스킹된 패턴에 따른 선택적인 반응 및 이온 충돌로 식각하는 반도체장치 제조공정의 플라즈마 식각 방법에 있어서, 상기 공급가스로서 식각가스와 보론트리브로마이드(BBr3)을 공급함에 따라서 플라즈마 방전으로 생성된 중간체인 브롬 및 브론브로마이드에 의하여 상기 실리콘 함유 막질이 식각된 프로파일의 측벽에 폴리머를 형성시킴을 특징으로 하는 반도체장치 제조공정의 플라즈마 식각 방법.
- 복수의 공급가스를 플라즈마 상태로 변환시켜서 웨이퍼 상의 금속 막질을 상부에 마스킹된 패턴에 따른 선택적인 반응 및 이온 충돌로 식각하는 반도체장치 제조공정의 플라즈마 식각 방법에 있어서, 상기 공급가스로서 식각가스와 플라즈마 방전에 의하여 카빈구조의 중간체를 생성하는 첨가가스를 혼합하여 공급하는 단계; 및 상기 메탈 막질이 식각된 프로파일의 측벽에 플라즈마 상태에서 생성되는 상기 중간체의 결합체인 폴리머를 형성시키는 단계를 구비함을 특징으로 하는 반도체장치의 제조공정의 플라즈마 식각 방법.
- 제37항에 있어서, 상기 식각가스는 Cl2, BCl, HCl 또는 SiCl4중 어느 하나 또는 둘 이상으로의 조합임을 특징으로 하는 상기 반도체장치 제조공정의 플라즈마 식각 방법.
- 제37항에 있어서, 캐리어가스로서 상기 폴리실리콘 막질의 표면 식각부산물을 충돌로 탈락시키도록 불활성가스인 헬륨(He) 또는 아르곤(Ar)중 하나를 공급함을 특징으로 하는 상기 반도체장치 제조공정의 플라즈마 식각 방법.
- 제37항에 있어서, 상기 식각가스는 F2, SF6, CF4또는 CHF3중 어느 하나 도는 둘 이상으로의 조합임을 특징으로 하는 상기 반도체장치의 제조공정의 플라즈마 식각 방법.
- 제37항에 있어서, 상기 첨가가스는 CH3Br, CH2Br2, CHBr3, C2H5Br 또는 C2H4Br2중 어느 하나 또는 둘 이상의 조합임을 특징으로 하는 상기 반도체장치 제조공정의 플라즈마 식각 방법.
- 제37항에 있어서, 상기 카빈구조의 중간체는 CxHyBrz(단, x, y 및 z는 '0' 또는 자연수)임을 특징으로 하는 상기 반도체장치 제조공정의 플라즈마 식각 방법.
- 제42항에 있어서, 상기 중간체는 브롬화수소카빈( : CHBr)임을 특징으로 하는 상기 반도체장치 제조공정의 플라즈마 식각 방법.
- 제42항에 있어서, 상기 중간체는 다이하이드로카빈( : CH2)임을 특징으로 하는 상기 반도체장치 제조공정의 플라즈마 식각 방법.
- 제42항에 있어서, 상기 중간체는 다이브롬카빈( : CHBr2)임을 특징으로 하는 상기 반도체장치 제조공정의 플라즈마 식각 방법.
- 제42항에 있어서, 상기 폴리실리콘의 하부 막질이 실리콘 옥사이드(SiO2)이면 막질간 식각 선택성을 위하여 산소(O2)를 더 공급함을 특징으로 하는 상기 반도체장치 제조공정의 플라즈마 식각 방법.※ 참고사항 : 최초출원 내용에 의하여 공개하는 것임.
Priority Applications (7)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
KR1019960015149A KR100230981B1 (ko) | 1996-05-08 | 1996-05-08 | 반도체장치 제조공정의 플라즈마 식각 방법 |
TW085110602A TW306026B (en) | 1996-05-08 | 1996-08-30 | Method for plasma etching in a process for fabrication of semiconductor device |
DE19636288A DE19636288B4 (de) | 1996-05-08 | 1996-09-06 | Verfahren zur Plasmaätzung bei der Herstellung eines Halbleiterbauelements |
CN96113405A CN1132232C (zh) | 1996-05-08 | 1996-09-10 | 半导体器件制造工艺中的等离子体蚀刻法 |
JP8260134A JP2807445B2 (ja) | 1996-05-08 | 1996-09-10 | 半導体デバイス製造工程のプラズマエッチング方法 |
GB9623432A GB2313230B (en) | 1996-05-08 | 1996-11-11 | Method for plasma etching in a process for fabrication of semiconductor device |
US08/784,958 US5900163A (en) | 1996-05-08 | 1997-01-16 | Methods for performing plasma etching operations on microelectronic structures |
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
KR1019960015149A KR100230981B1 (ko) | 1996-05-08 | 1996-05-08 | 반도체장치 제조공정의 플라즈마 식각 방법 |
Publications (2)
Publication Number | Publication Date |
---|---|
KR970077307A true KR970077307A (ko) | 1997-12-12 |
KR100230981B1 KR100230981B1 (ko) | 1999-11-15 |
Family
ID=19458133
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1019960015149A KR100230981B1 (ko) | 1996-05-08 | 1996-05-08 | 반도체장치 제조공정의 플라즈마 식각 방법 |
Country Status (7)
Country | Link |
---|---|
US (1) | US5900163A (ko) |
JP (1) | JP2807445B2 (ko) |
KR (1) | KR100230981B1 (ko) |
CN (1) | CN1132232C (ko) |
DE (1) | DE19636288B4 (ko) |
GB (1) | GB2313230B (ko) |
TW (1) | TW306026B (ko) |
Cited By (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR20030071195A (ko) * | 2002-02-28 | 2003-09-03 | 주식회사 하이닉스반도체 | 반도체 제조용 플라즈마 식각 공정 |
KR100550348B1 (ko) * | 1998-07-22 | 2006-05-17 | 삼성전자주식회사 | 반도체소자의 식각방법 |
KR20190055774A (ko) * | 2017-11-15 | 2019-05-23 | 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 | 금속 게이트 구조물 및 그 제조 방법 |
Families Citing this family (144)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2956602B2 (ja) * | 1996-08-26 | 1999-10-04 | 日本電気株式会社 | ドライエッチング方法 |
JP3252780B2 (ja) * | 1998-01-16 | 2002-02-04 | 日本電気株式会社 | シリコン層のエッチング方法 |
US6083815A (en) * | 1998-04-27 | 2000-07-04 | Taiwan Semiconductor Manufacturing Company | Method of gate etching with thin gate oxide |
US6069086A (en) * | 1998-04-30 | 2000-05-30 | Applied Materials, Inc. | Non-HBr shallow trench isolation etch process |
US6232219B1 (en) | 1998-05-20 | 2001-05-15 | Micron Technology, Inc. | Self-limiting method of reducing contamination in a contact opening, method of making contacts and semiconductor devices therewith, and resulting structures |
US6107206A (en) * | 1998-09-14 | 2000-08-22 | Taiwan Semiconductor Manufacturing Company | Method for etching shallow trenches in a semiconductor body |
US6583063B1 (en) | 1998-12-03 | 2003-06-24 | Applied Materials, Inc. | Plasma etching of silicon using fluorinated gas mixtures |
US6312616B1 (en) | 1998-12-03 | 2001-11-06 | Applied Materials, Inc. | Plasma etching of polysilicon using fluorinated gas mixtures |
US6235214B1 (en) * | 1998-12-03 | 2001-05-22 | Applied Materials, Inc. | Plasma etching of silicon using fluorinated gas mixtures |
KR100511929B1 (ko) * | 1998-12-15 | 2005-10-26 | 주식회사 하이닉스반도체 | 반도체 장치의 콘택홀 형성방법_ |
JP2000208488A (ja) * | 1999-01-12 | 2000-07-28 | Kawasaki Steel Corp | エッチング方法 |
KR100881472B1 (ko) * | 1999-02-04 | 2009-02-05 | 어플라이드 머티어리얼스, 인코포레이티드 | 소정 기판 상에 놓여져 있는 패턴화된 마스크 표면 위로 적층 구조물을 증착하기 위한 방법 |
US6583065B1 (en) | 1999-08-03 | 2003-06-24 | Applied Materials Inc. | Sidewall polymer forming gas additives for etching processes |
US6565759B1 (en) * | 1999-08-16 | 2003-05-20 | Vanguard International Semiconductor Corporation | Etching process |
US6306313B1 (en) | 2000-02-04 | 2001-10-23 | Agere Systems Guardian Corp. | Selective etching of thin films |
US6391790B1 (en) | 2000-05-22 | 2002-05-21 | Applied Materials, Inc. | Method and apparatus for etching photomasks |
US7115523B2 (en) * | 2000-05-22 | 2006-10-03 | Applied Materials, Inc. | Method and apparatus for etching photomasks |
JP2002343798A (ja) * | 2001-05-18 | 2002-11-29 | Mitsubishi Electric Corp | 配線層のドライエッチング方法、半導体装置の製造方法および該方法によって得られた半導体装置 |
US7183201B2 (en) * | 2001-07-23 | 2007-02-27 | Applied Materials, Inc. | Selective etching of organosilicate films over silicon oxide stop etch layers |
US6872652B2 (en) * | 2001-08-28 | 2005-03-29 | Infineon Technologies Ag | Method of cleaning an inter-level dielectric interconnect |
WO2003037497A2 (en) | 2001-10-31 | 2003-05-08 | Tokyo Electron Limited | Method of etching high aspect ratio features |
US20040072081A1 (en) * | 2002-05-14 | 2004-04-15 | Coleman Thomas P. | Methods for etching photolithographic reticles |
US20060060565A9 (en) * | 2002-09-16 | 2006-03-23 | Applied Materials, Inc. | Method of etching metals with high selectivity to hafnium-based dielectric materials |
US20040224524A1 (en) * | 2003-05-09 | 2004-11-11 | Applied Materials, Inc. | Maintaining the dimensions of features being etched on a lithographic mask |
US7141505B2 (en) * | 2003-06-27 | 2006-11-28 | Lam Research Corporation | Method for bilayer resist plasma etch |
US20050029226A1 (en) * | 2003-08-07 | 2005-02-10 | Advanced Power Technology, Inc. | Plasma etching using dibromomethane addition |
KR100641952B1 (ko) * | 2004-02-06 | 2006-11-02 | 주식회사 하이닉스반도체 | 반도체 소자의 미세 패턴 형성 방법 |
WO2006003962A1 (ja) * | 2004-07-02 | 2006-01-12 | Ulvac, Inc. | エッチング方法及び装置 |
US7598176B2 (en) * | 2004-09-23 | 2009-10-06 | Taiwan Semiconductor Manufacturing Co. Ltd. | Method for photoresist stripping and treatment of low-k dielectric material |
KR100623592B1 (ko) * | 2004-10-30 | 2006-09-19 | 주식회사 하이닉스반도체 | 반도체 소자의 게이트 전극 형성 방법 |
KR100562657B1 (ko) * | 2004-12-29 | 2006-03-20 | 주식회사 하이닉스반도체 | 리세스게이트 및 그를 구비한 반도체장치의 제조 방법 |
US8293430B2 (en) * | 2005-01-27 | 2012-10-23 | Applied Materials, Inc. | Method for etching a molybdenum layer suitable for photomask fabrication |
WO2007088302A1 (fr) * | 2006-02-01 | 2007-08-09 | Alcatel Lucent | Procede de gravure anisotropique |
JP4865373B2 (ja) * | 2006-03-17 | 2012-02-01 | 株式会社日立ハイテクノロジーズ | ドライエッチング方法 |
KR20170116213A (ko) * | 2006-04-10 | 2017-10-18 | 솔베이 플루오르 게엠베하 | 에칭 방법 |
TW200917417A (en) * | 2007-10-01 | 2009-04-16 | Nanya Technology Corp | Interconnection process |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
KR20120000612A (ko) | 2010-06-28 | 2012-01-04 | 삼성전자주식회사 | 반도체 장치의 제조 방법 |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
CN104124148B (zh) * | 2013-04-26 | 2017-08-22 | 中微半导体设备(上海)有限公司 | 硅片刻蚀方法 |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
CN104779151B (zh) * | 2014-01-13 | 2018-01-26 | 北大方正集团有限公司 | 一种多晶硅刻蚀方法 |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
JP6289996B2 (ja) * | 2014-05-14 | 2018-03-07 | 東京エレクトロン株式会社 | 被エッチング層をエッチングする方法 |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9478434B2 (en) | 2014-09-24 | 2016-10-25 | Applied Materials, Inc. | Chlorine-based hardmask removal |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
JP6569578B2 (ja) * | 2016-03-30 | 2019-09-04 | 日本ゼオン株式会社 | プラズマエッチング方法 |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
CN107910260A (zh) * | 2017-11-14 | 2018-04-13 | 扬州扬杰电子科技股份有限公司 | 一种多晶硅回刻方法 |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
TWI716818B (zh) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
CN116721915B (zh) * | 2023-08-04 | 2023-10-03 | 无锡邑文电子科技有限公司 | SiC刻蚀方法和设备 |
Family Cites Families (17)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4490209B2 (en) * | 1983-12-27 | 2000-12-19 | Texas Instruments Inc | Plasma etching using hydrogen bromide addition |
US4855017A (en) * | 1985-05-03 | 1989-08-08 | Texas Instruments Incorporated | Trench etch process for a single-wafer RIE dry etch reactor |
US4784720A (en) * | 1985-05-03 | 1988-11-15 | Texas Instruments Incorporated | Trench etch process for a single-wafer RIE dry etch reactor |
US4789426A (en) * | 1987-01-06 | 1988-12-06 | Harris Corp. | Process for performing variable selectivity polysilicon etch |
US4818334A (en) * | 1988-03-15 | 1989-04-04 | General Electric Company | Method of etching a layer including polysilicon |
JPH0467624A (ja) * | 1990-07-09 | 1992-03-03 | Fujitsu Ltd | エッチング方法 |
US5169487A (en) * | 1990-08-27 | 1992-12-08 | Micron Technology, Inc. | Anisotropic etch method |
US5296095A (en) * | 1990-10-30 | 1994-03-22 | Matsushita Electric Industrial Co., Ltd. | Method of dry etching |
US5126008A (en) * | 1991-05-03 | 1992-06-30 | Applied Materials, Inc. | Corrosion-free aluminum etching process for fabricating an integrated circuit structure |
JPH05102098A (ja) * | 1991-10-11 | 1993-04-23 | Sony Corp | 配線形成方法 |
JP3259295B2 (ja) * | 1991-10-22 | 2002-02-25 | ソニー株式会社 | ドライエッチング方法及びecrプラズマ装置 |
JP3179872B2 (ja) * | 1991-12-19 | 2001-06-25 | 東京エレクトロン株式会社 | エッチング方法 |
JP3318777B2 (ja) * | 1992-11-17 | 2002-08-26 | ソニー株式会社 | ドライエッチング方法 |
US5691246A (en) * | 1993-05-13 | 1997-11-25 | Micron Technology, Inc. | In situ etch process for insulating and conductive materials |
US5437765A (en) * | 1994-04-29 | 1995-08-01 | Texas Instruments Incorporated | Semiconductor processing |
JP3353462B2 (ja) * | 1994-06-10 | 2002-12-03 | ソニー株式会社 | ドライエッチング方法 |
US5591301A (en) * | 1994-12-22 | 1997-01-07 | Siemens Aktiengesellschaft | Plasma etching method |
-
1996
- 1996-05-08 KR KR1019960015149A patent/KR100230981B1/ko not_active IP Right Cessation
- 1996-08-30 TW TW085110602A patent/TW306026B/zh not_active IP Right Cessation
- 1996-09-06 DE DE19636288A patent/DE19636288B4/de not_active Expired - Fee Related
- 1996-09-10 JP JP8260134A patent/JP2807445B2/ja not_active Expired - Fee Related
- 1996-09-10 CN CN96113405A patent/CN1132232C/zh not_active Expired - Fee Related
- 1996-11-11 GB GB9623432A patent/GB2313230B/en not_active Expired - Fee Related
-
1997
- 1997-01-16 US US08/784,958 patent/US5900163A/en not_active Expired - Fee Related
Cited By (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR100550348B1 (ko) * | 1998-07-22 | 2006-05-17 | 삼성전자주식회사 | 반도체소자의 식각방법 |
KR20030071195A (ko) * | 2002-02-28 | 2003-09-03 | 주식회사 하이닉스반도체 | 반도체 제조용 플라즈마 식각 공정 |
KR20190055774A (ko) * | 2017-11-15 | 2019-05-23 | 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 | 금속 게이트 구조물 및 그 제조 방법 |
US10872978B2 (en) | 2017-11-15 | 2020-12-22 | Taiwan Semiconductor Manufacturing Company Ltd. | Metal gate structure and methods of fabricating thereof |
US11637206B2 (en) | 2017-11-15 | 2023-04-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Metal gate structure and methods of fabricating thereof |
Also Published As
Publication number | Publication date |
---|---|
GB9623432D0 (en) | 1997-01-08 |
JP2807445B2 (ja) | 1998-10-08 |
DE19636288A1 (de) | 1997-11-13 |
CN1132232C (zh) | 2003-12-24 |
JPH09306895A (ja) | 1997-11-28 |
KR100230981B1 (ko) | 1999-11-15 |
GB2313230B (en) | 1998-07-22 |
CN1164761A (zh) | 1997-11-12 |
DE19636288B4 (de) | 2004-02-12 |
GB2313230A (en) | 1997-11-19 |
TW306026B (en) | 1997-05-21 |
US5900163A (en) | 1999-05-04 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR970077307A (ko) | 반도체장치 제조공정의 플라즈마 식각 방법 | |
CN101064244B (zh) | 形成用于高孔径比应用的各向异性特征图形的蚀刻方法 | |
CN100405551C (zh) | 在双掺杂栅应用中改进轮廓控制和提高n/p负载的方法 | |
CN1322560C (zh) | 用于选择性地蚀刻电介质层的工艺 | |
US6380095B1 (en) | Silicon trench etch using silicon-containing precursors to reduce or avoid mask erosion | |
JP3659933B2 (ja) | 高アスペクト比の開口をエッチングする方法 | |
US7166535B2 (en) | Plasma etching of silicon carbide | |
EP0151948B1 (en) | Control of etch rate ratio of sio2/photoresist for quartz planarization etch back process | |
US6277700B1 (en) | High selective nitride spacer etch with high ratio of spacer width to deposited nitride thickness | |
KR101111924B1 (ko) | 이중층 레지스트 플라즈마 에칭 방법 | |
US7682980B2 (en) | Method to improve profile control and N/P loading in dual doped gate applications | |
KR950012146A (ko) | SF_6(6플루오르화황)와, HBr(브롬화수소) 및 O₂(산소)를 사용하여 MoSi₂(규화몰리브덴)를 식각하는 방법 | |
US6399514B1 (en) | High temperature silicon surface providing high selectivity in an oxide etch process | |
SG145568A1 (en) | Process for etching dielectric films with improved resist and/or etch profile characteristics using etch gas with fluorocarbon and hydrogen | |
WO2002080230A3 (en) | Method of plasma etching low-k organosilicate materials | |
EP0414372A2 (en) | Dry etching methods | |
JPH10116823A (ja) | メタルポリサイド構造体のエッチング方法 | |
JPH1167723A (ja) | シランエッチングプロセス | |
JP2003086568A (ja) | エッチング方法 | |
JPH06163471A (ja) | 半導体製造方法 | |
KR0177927B1 (ko) | 드라이에칭방법 | |
JPS6466938A (en) | Manufacture of semiconductor device | |
KR20210124918A (ko) | 기판 처리 방법 및 기판 처리 장치 | |
JPH0613348A (ja) | 半導体装置の製造方法 | |
JPH05217955A (ja) | ドライエッチング方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A201 | Request for examination | ||
E902 | Notification of reason for refusal | ||
E701 | Decision to grant or registration of patent right | ||
GRNT | Written decision to grant | ||
FPAY | Annual fee payment |
Payment date: 20080729 Year of fee payment: 10 |
|
LAPS | Lapse due to unpaid annual fee |