CN1322560C - 用于选择性地蚀刻电介质层的工艺 - Google Patents

用于选择性地蚀刻电介质层的工艺 Download PDF

Info

Publication number
CN1322560C
CN1322560C CNB028280148A CN02828014A CN1322560C CN 1322560 C CN1322560 C CN 1322560C CN B028280148 A CNB028280148 A CN B028280148A CN 02828014 A CN02828014 A CN 02828014A CN 1322560 C CN1322560 C CN 1322560C
Authority
CN
China
Prior art keywords
dielectric layer
etching
layer
plasma
silicon oxide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB028280148A
Other languages
English (en)
Other versions
CN1618121A (zh
Inventor
C-L·谢
J·袁
H·陈
T·帕纳格普洛斯
Y·叶
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1618121A publication Critical patent/CN1618121A/zh
Application granted granted Critical
Publication of CN1322560C publication Critical patent/CN1322560C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31629Deposition of halogen doped silicon oxide, e.g. fluorine doped silicon oxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

提供一种用于蚀刻电介质结构的方法。该电介质结构包括:(a)一层未掺杂的氧化硅或F-掺杂的氧化硅;和(b)一层C,H-掺杂氧化硅。在等离子体蚀刻步骤中,蚀刻该电介质结构,其中利用包含氮原子和氟原子的等离子体源气体进行该等离子体蚀刻步骤。作为一个实例,等离子体源气体可以包括包含一种或多种氮原子和一种或多种氟原子的气体物质(例如NF3)。作为另一个实例,该等离子体源气体可以包括(a)包含一种或多种氮原子的气体物质(例如N2)和(b)包含一种或多种氟原子的气体物质(例如CF4气体)。在该蚀刻步骤中,相对于未掺杂氧化硅或F-掺杂氧化硅层,优先蚀刻C,H-掺杂氧化硅层。例如本发明的方法可适用于双镶嵌结构。

Description

用于选择性地蚀刻电介质层的工艺
技术领域
本发明涉及用于例如在双镶嵌工艺情况中选择性地蚀刻电介质层的工艺。
背景技术
制造半导体集成电路的技术不断提高可以在单个集成电路芯片上制造的晶体管、二极管、电容器和/或其它电子器件的数量。这主要通过减小最小特征尺寸来实现这种集成度的提高。
先进集成电路包含通过各电介质层与半导体衬底分离且彼此相分离的多层互连层。例如,诸如出现在微处理器中的逻辑电路需要插入有电介质层的数个互连层。水平互连层形成在电介质层上然后被另一电介质层覆盖。小触点或通路孔需要贯穿每个电介质层蚀刻以连接互连层。
可采用几种技术来制造互连线和通路。一种这样的技术包括通常称之为“双镶嵌工艺”的工艺。该工艺包括形成沟槽和下层通路孔,在其上沟槽和通路孔由导电材料填充,同时形成互连线和下层通路。
下面参考图1A-1F描述来自U.S.专利No.6211092的一种特殊的双镶嵌工艺,其全部公开内容并入这里以作参考。形成包括下述层的蚀刻结构:(a)下层10;(b)薄下停止层(stop layer)12;(c)下电介质层14;(d)薄上停止层16和(e)上电介质层20。停止层12、16具有相对于电介质层14、20的成份,以便选择蚀刻工艺贯穿上面的电介质层蚀刻但停止在停止层上。
现有技术公知的用于电介质层14、20的材料的实例包括掺杂和未掺杂的氧化硅材料。未掺杂的氧化硅材料通常分子式为SiOx,其中x在1.4与2.1之间,二氧化硅(其中x近似2)最为普遍。掺杂氧化硅材料为含硅、氧和一种或多种附加组分。这些层例如可以通过化学气相沉积(CVD)或等离子体增强化学气相沉积(PECVD)生长。
氮化硅通常为停止层材料而电介质为氧化硅材料。氮化硅例如可以通过CVD或PECVD生长。
沉积并光刻图案化第一光阻层(photoresist layer)44以形成相应于通路孔的掩模孔46,图中仅示出其中一个。值得注意的是,下层10通常包括电介质和金属区,金属区存在于孔区域中。
在第一蚀刻步骤中,如图1B中示出,贯穿上电介质层20、上停止层16和下电介质层14向下蚀刻延长的通路孔50至下停止层12。选择有选择性的蚀刻化学性以便蚀刻停止在下停止层12的上表面52。例如,在第一步骤中,可以使用非选择性定时蚀刻来穿过下电介质层20、上淡化物停止层16和贯穿下电介质层14的部分路径来蚀刻通路孔50。第二蚀刻步骤在具有良好的氧化物与淡化物比的情况下,随后即可使用以选择性地蚀刻贯穿下电介质层14的余下路径并停止在下停止层12的上表面52,完成延长的通路孔50。
在未示出的步骤中,剥除第一光阻层44。然后,如图1C中示出,沉积第二光阻层56并将其光刻图案化以形成相应于沟槽的掩模孔58。在图1D中示出的随后的蚀刻步骤中,贯穿上电介质层20向下蚀刻沟槽62至上停止层16的上表面64。由此有效地减小延长的通路孔50的深度以形成通路孔50’。
在进一步的蚀刻步骤中,如图1E中所示,贯穿下停止层12向下蚀刻通路孔50’底部的下停止层12的暴露部分到下层10的上表面68,该暴露部分至少在通路孔50’的位置通常为金属表面。取决于两停止层12、16的成份,该蚀刻步骤除去暴露在沟槽62底部的上停止层16的部分以暴露下电介质层14的上表面70。在该蚀刻步骤之前或之后执行的另一步骤中,将第二光阻层56与电介质蚀刻中形成的任何侧壁聚合物一起剥除。
其后,如图1F中所示,将金属72填充到沟槽62和下面的通路孔50’中,以接触下层10的上表面68。随后,化学机械抛光(CMP)除去溢出沟槽的任何金属。金属72形成水平互连线74以及接触下层10的通路76。金属72优选为铜,但可以为其它金属,诸如铝。然而,双镶嵌工艺特别适用于铜金属化,因为需要无铜蚀刻。
一般理想地,在双镶嵌结构内使用的非金属材料的介电常数k尽可能地低。例如,减小的介电常数k导致减小的电容,这将减小串话干扰和耦合,可以提高工作速度。但是,通常用于连接停止层12、16的材料(例如氮化硅)为介电常数k相对较高的材料。例如,Si3N4的介电常数k为大约7.5。(与此相比较,例如,二氧化硅的介电常数k通常在3.9与4.2之间)。
因此,在双镶嵌结构领域中需要减小这种较高介电常数k材料的不利影响。
发明内容
通过本发明来解决当前集成电路电介质蚀刻工艺的上述及其它困难。
根据本发明的实施例,提供一种用于蚀刻电介质结构的方法。该电介质结构包括:(a)未掺杂氧化硅或F-掺杂氧化硅的第一电介质层和(b)C,H-掺杂氧化硅的第二电介质层。然后在等离子体蚀刻步骤中蚀刻此电介质结构,该等离子体蚀刻步骤利用包括氮原子和氟原子的等离子体源气体进行。在该蚀刻步骤中,相对于第一电介质层选择蚀刻第二电介质层,提供例如2.5∶1或更大的、更为优选的3∶1或更大的第二电介质层比第一电介质层的选择性(selectivity)。
根据本发明的另一个实施例,提供一种用于在双镶嵌结构中蚀刻沟槽的方法。该双镶嵌结构包括:(a)下层;(b)在下层上的未掺杂氧化硅或F-掺杂氧化硅的通路电介质层;(c)在通路电介质层上的C,H掺杂氧化硅的沟槽电介质层;和(d)在沟槽电介质层上的图案化掩模层(patterned masking layer)。双镶嵌结构还优选包括穿过沟槽电介质层和通路电介质层延伸的延长的通路孔。然后通过等离子体蚀刻步骤,在沟槽电介质层内,蚀刻一个或多个沟槽。穿过形成在图案化掩模层中的孔进行蚀刻,蚀刻持续到暴露通路电介质层的上表面部分为止。利用包括氮原子和氟原子的等离子体源气体进行等离子体蚀刻步骤。类似于前面段落中的实施例,相对于通路电介质层优选地选择蚀刻沟槽电介质层,提供例如2.5∶1或更大的、更为优选的3∶1或更大的沟槽电介质层比通路电介质层的选择性。
在一些实施例中,等离子体源气体将包括包含一种或多种氮原子和一种或多种氟原子的气体物质(例如NF3)。在另一实施例中,等离子体源气体会包括:(a)包含一种或多种氮原子的气体物质(例如氮气,N2)和(b)包含一种或多种氟原子的气体物质(例如诸如CF4的碳氟化合物气体)。
未掺杂氧化硅层优选为二氧化硅层,而F-掺杂氧化硅层优选为氟化硅酸盐玻璃层。
在一些实施例中,在磁性增强反应离子蚀刻系统中进行等离子体蚀刻步骤。
本发明的一个优点是可以相对于未掺杂氧化硅电介质层和F-掺杂氧化硅电介质层优先蚀刻C,H-掺杂氧化硅电介质层。
本发明的另一个优点是可以从公知的双镶嵌结构中,消除具有相对较高的介电常数的蚀刻停止层。结果,减小该结构的电容。这将减小串话干扰和耦合,可以提高器件的工作速度。
通过阅读下述详细说明和所附权利要求,本发明的上述和其它实施例以及优点对于所属技术领域普通技术人员而言将变得显而易见。
附图说明
图1A-1F示出现有技术公知的双镶嵌工艺的示意性的局部剖视图。
图2A-2B示出根据本发明实施例的蚀刻工艺的示意性的局部剖视图。
图3A-3H示出根据本发明实施例的双镶嵌工艺的示意性的局部剖视图。
具体实施方式
作为详细说明的前序,应该注意的是,所有列出的气体成份百分比(%)为体积百分比,且所有列出的气体成份比为体积比。
术语“选择性”用来指a)两种或多种材料的比,和b)当一种材料的蚀刻速率与另一种材料相比增加时,蚀刻期间获得的条件。
下面参考附图更加全面地描述本发明,其中示出本发明示例性的实施例。然而,本发明可以以不同形式体现且不应该被限制为这里阐述的实施例。
下面结合图2A和2B描述根据本发明的实施例的工艺。参考图2A,其示出包括下电介质层208、上电介质层和图案化掩模层214的结构。下电介质层208由诸如二氧化硅(通常由四乙基正硅酸盐形成,还称之为TEOS)的氧化硅或例如氟化硅酸盐玻璃(FSG)的氟-掺杂氧化硅构成。如上面提及的,二氧化硅的介电常数k通常在3.9与4.2之间。而氟化硅酸盐玻璃的介电常数k大约为3.5。由于该原因,在一些情况中氟化硅酸盐玻璃比二氧化硅更优选。下电介质层208可以为适合于将要进行的应用的任何适合厚度,并且,例如,其可以利用现有技术公知的CVD工艺形成。
在下电介质层208上提供上电介质层210。本实施例中的上层为掺杂有碳和氢的氧化硅(下文中称之为“C,H-掺杂氧化硅”)。上层210可以为适合于将要进行的应用的任何适合厚度。Yau等人最近已经在U.S.专利No.6054379和No.6072227中描述了形成这种氧化的碳-硅材料的方法,这里将其全文并入以作参考。这种膜还在U.S专利No.6168726中进行了描述,将其全部公开内容并入以作参考。优选利用化学气相沉积(CVD)工艺,采用有机硅烷和氧化剂前体气体(precursor)制造该层,以形成介电常数在2.5至3之间的低k电介质。优选在具有低于1W/cm2的晶片上的功率密度的低功率电容性耦合等离子体中,并且在低于100℃的温度下进行CVD工艺。优选的氢含量为至少30at.%(原子百分比),更为优选的在45与60at.%(原子百分比)之间,优选的碳含量在5与20at.%之间,更为优选地在6与10at.%之间,优选的硅含量在15与30at.%之间,更为优选地在17与22at.%之间,且优选的氧含量在10与45at.%之间,更为优选地在15与30at.%之间。C,H-掺杂氧化硅材料目前由加利福尼亚州圣克拉拉市的应用材料有限公司以Black DiamondTM商标名出售。
在上层210上提供图案化掩模层214。图案化掩模层214优选为有机光阻层。图案化掩模层214可以为适合于在随后的蚀刻工艺期间保持掩模层214存在的任何厚度,其中蚀刻图2A的结构以形成如图2B中示出的沟槽210t。
可以在任何适合的等离子体处理设备中进行本发明的蚀刻工艺,例如反应离子蚀刻(RIE)设备。传统的反应离子蚀刻设备包含在真空室内的阳极和阴极,阴极一般由室内的用于支撑半导体晶片的基座形式形成,而阳极通常由室壁和/或室顶部形成。为了处理晶片,将等离子体源气体抽吸入真空室,并通过单正弦频率(RF)源驱动阳极和阴极,以将等离子体源气体激活为等离子体。虽然经常使用从100kHz至2.45GHz的频率,偶尔使用其它频率,但是单频通常为13.56MHz。RF功率激活等离子体源气体,在室内接近于要处理的半导体晶片产生等离子体。
等离子体处理设备还可以为磁性增强反应离子蚀刻(MERIE)设备。这种设备设置有一个或多个磁性控制等离子体以促进更均匀的蚀刻工艺的磁体或磁线圈。
用于本发明的特殊MERIE室为加利福尼亚州的圣克拉拉市的提供的eMaxTM室。
在该工艺步骤中使用的蚀刻化学物质优选基于包含氮原子和氟原子的等离子体源气体。如一个实例中,可以使用NF3气体。如另一实例中,可以使用(a)包含氮原子的气体物质和(b)包含氟原子的气体物质的混合物。例如,可以使用含有N2气体和一种或多种氟化碳气体(这里定义为含有碳和氟原子的气体)的混合物的等离子体源气体,更为优选地使用N2气体和仅含有碳和氟原子的诸如CF4气体、C2F6气体或C4F8气体的一种或多种氟化碳气体的混合物。
该蚀刻化学物质是有吸引力的,因为对于上电介质层获得良好的蚀刻速率(例如,可以获得一般大于0.5微米每秒,更为优选地大于1微米每秒的蚀刻速率)。
该化学物质是具有吸引力的,还因为其在上电介质层210与下电介质层208之间提供良好的选择性。该选择性通常为2.5∶1或更大,并且优选为3∶1或更大。作为该良好选择性的结果,下电介质层可以充当用于上电介质层蚀刻工艺的蚀刻停止层,以便于制造向图2B中示出那样的结构。
如一个具体的实例,通过在相对较低的功率下(例如<500W,更为优选地大约300W)和相对较高的压力下(例如150毫托或更大)操作的存在有由N2气体和CF4气体组成的等离子体源气体的MERIE室中,蚀刻如图2A中示出的结构来获得可接受的选择性和蚀刻速率。
如另一具体实例,通过在相对较高的功率下(例如>500W,更为优选地800至1200W)和相对较低的压力下(例如<150毫托,更为优选地30-50毫托)操作的存在有NF3等离子体源气体的eMaxTM室中,蚀刻如图2A中示出的结构来获得可接受的蚀刻速率和选择性,其中NF3等离子体源气体优选以15至50sccm(标准立方厘米每分钟)的流速提供。例如将阴极温度保持在10-20℃。优选磁场范围在0-30高斯。这可以获得大于1微米每分钟的C,H-掺杂氧化硅蚀刻率和大于3∶1的C,H-掺杂氧化硅比氟化硅酸盐玻璃的选择性。
现在转向图3A至3H,这些附图表示本发明的工艺对其有用的具体的双镶嵌工艺。图3A示出包括下层401的双镶嵌结构。下层401通常包括电介质区404和导电区402,例如铜区。
在下层401上沉积蚀刻停止层406。蚀刻停止层406可以由适合用于氧化硅材料的蚀刻停止材料的任何材料形成,包括碳化硅或氮化硅(通常分子式为SiNx,其中x可以在例如1至1.5的范围内略微改变且通常为Si3N4)。蚀刻停止层406可以为适合用作蚀刻停止层的任何厚度,且在该实例中,其厚度为300至1000埃。例如可以利用本领域公知的CVD工艺形成蚀刻停止层。
在蚀刻停止层406之上提供通路电介质层408,在该实施例中,通路电介质层408由(a)例如二氧化硅的未掺杂氧化硅(通常由TEOS形成)或(b)例如氟化硅酸盐玻璃的氟掺杂氧化硅构成。如前所述,二氧化硅的介电常数k通常在3.9与4.2之间,而氟化硅酸盐玻璃的介电常数k大约为3.5。通路电介质层408可以为适合用作通路层的任何合适的厚度,且例如在该实例中厚度为3000至5000埃。
在通路电介质层408上提供沟槽电介质层410。在该实施例中沟槽电介质层410为在上面关于图2A描述过其成份、形成和性质的C,H-掺杂氧化硅。沟槽电介质层410可以为适合用作沟槽电介质层的任何适合厚度,且在该实例中,厚度为3000至5000埃。
在沟槽电介质层410上设置优选为有机光阻层的第一图案化掩模层412。与图案化掩模层412相关的厚度和孔412a的尺寸可以为适合于蚀刻通路孔的任何值。例如,该图案化掩模层可以为具有4000至7000埃厚度和0.2至0.5微米孔的有机光阻层。
一旦建立了图3A的结构,然后使其经历蚀刻步骤,其中蚀刻延长的通路孔408v向下至停止层406,如图3B中所示。
如上所述,可以在任何适合的等离子体处理设备中进行该蚀刻工艺,例如,诸如MERIE室的等离子体增强反应离子蚀刻系统。用于该工艺步骤的蚀刻化学物质实质上可以为任何公知用于蚀刻相对于蚀刻停止层406具有良好选择性的硅酸盐基材料蚀刻化学物质。用于该工艺步骤的示例性蚀刻化学物质为那些基于含氟气体的物质,更为优选地为基于例如CH3F气体的氟化烃气体(这里限定为包含碳、氢和氟原子的气体)的物质。在蚀刻后,制造出图3B示出那样的结构。然后剥除该图案化掩模层412,制造出图3C中示出的结构。
随后,在图3D中示出的结构上,提供第二图案化掩模层414。例如第二图案化掩模层414为有机光阻层。与该图案化掩模层414相关的厚度和孔414a的尺寸可以为适合于蚀刻互连沟槽的值。例如,图案化掩模层可以为具有4000至7000埃厚度和0.2至0.5微米孔径的有机光阻层。
现在转向图3E,利用相对于通路电介质层408选择蚀刻沟槽电介质层410的蚀刻工艺在沟槽电介质层410中蚀刻沟槽410t。如上面关于图2B所讨论的,在任何适合的等离子体处理设备中进行蚀刻工艺,在该工艺中使用的蚀刻化学物质优选基于包含氮原子和氟原子的等离子体源气体(例如,NF3等离子体源气体或包含N2气体和一种或多种氟化碳气体的等离子体源气体)。利用该设备和蚀刻化学物质,可以相对于通路绝缘层408以3∶1或更大的选择性蚀刻沟槽电介质层410,而同时以大于1微米每分钟的速率蚀刻沟槽绝缘层410。
如上面关于图1讨论的,虽然这里不存在,但是现有技术中通常使用诸如氮化硅的材料作为沟槽电介质层与通路电介质层之间的蚀刻停止层以避免诸如拐角面(corner faceting)、微沟槽(micro-trenching)和蚀刻速率微载荷(micro-loading)的问题。然而,因为氮化硅具有相当高的介电常数k(例如Si3N4的介电常数为大约7.5),其从本结构消除是有利的。如在开篇段落里描述的,在本发明中可以以大于1微米每分钟同时获得3∶1或更大选择性来蚀刻沟槽电介质层410。结果,可以除去存在于沟槽电介质层与通路电介质层之间蚀刻停止层,而不遭受显著的拐角平面、微开槽和蚀刻速率微载荷。
(从图3E可以看出掩模层414材料在先前在通路电介质层408中蚀刻的通路孔408v内的存在有助于保持通路电介质层408肩部区域的完整性。)
在沟槽蚀刻步骤之后,剥除第二图案化掩模层414的剩余部分,以提供如图3F中示出的结构。
然后进行进一步的蚀刻步骤以除去存在于通路孔408v底部的蚀刻停止层406并由此提供至下面金属区402的通道。实现该目的的蚀刻化学物质是现有技术中公知的。例如,可以选择使用包含氟化烃(例如,CHF3、CH2F2、CH3F)、N2、O2和可选的氩的蚀刻化学物质。该最终结构在图3G中示出。
在金属化工艺中,如现有技术公知的用金属416(一般为铜)填充沟槽410t和通路孔408v。金属化工艺需要用阻挡层和润湿层涂敷沟槽410和通路孔408v,如已经在先进集成电路中的小特征金属化中公知的。一般在通常至少部分通过物理汽相沉积来进行的金属沉积工艺中填充沟槽410t和通路孔408v。通常持续填充直到金属完全填满通路孔408v和沟槽410t,并且有一些还覆在上表面410s上。然后通常进行化学机械抛光。由于氧化硅基材料比金属硬,当遇到沟槽电介质层410上表面410s时抛光基本停止。
虽然图3A至3H示出本发明对其有用的特定双镶嵌工艺,还包括公知的其它双镶嵌工艺和其它非镶嵌工艺的大量工艺,其中需要在两电介质层之间良好的蚀刻选择性。因此本发明的工艺也适用于这些工艺。
虽然本文具体示出并描述了各种实施例,应该意识到本发明的修改和变形由上述教导覆盖,并且落在附属权利要求的范围内,而不脱离本发明的精神和保护范围。
在本说明书中公开的所有特征(包括所附的权利要求书、摘要和附图)和/或公开的方法或工艺的所有步骤可以以任何组合方式进行组合,除了至少一些特征和/或步骤互相排斥的组合外。
在本说明书中公开的每一特征(包括所附的权利要求书、摘要和附图)可以由用于相同等效的或相似目的的选择特征替换,除非另外清楚地描述。因此,除了另外清楚地描述,所公开的每一特征仅是等效或相似特征的组类的一个实例。

Claims (24)

1、一种蚀刻电介质结构的方法,包括:
提供电介质结构,该电介质结构包括:(a)未掺杂氧化硅或F-掺杂氧化硅的第一电介质层;和(b)在所述第一介电层之上的C,H-掺杂氧化硅的第二电介质层;以及
执行等离子体蚀刻以蚀刻所述电介质结构,其中所述等离子体蚀刻包括利用等离子体源气体,所述等离子体源气体包括氮原子和氟原子;并且其中在所述等离子体蚀刻中,相对于所述第一电介质层,选择性地蚀刻所述第二电介质层,所述等离子体蚀刻避免在所述第一和第二电介质层之间插入蚀刻停止层的需要。
2、根据权利要求1所述的方法,其中所述等离子体源气体包括气体物质,所述气体物质包含一种或多种氮原子和一种或多种氟原子。
3、根据权利要求2所述的方法,其中所述气体物质为NF3
4、根据权利要求1所述的方法,其中所述等离子体源气体包括(a)包含一种或多种氮原子的气体物质和(b)包含一种或多种氟原子的气体物质。
5、根据权利要求4所述的方法,其中所述等离子体源气体包括N2和氟化碳气体。
6、根据权利要求5所述的方法,其中所述氟化碳气体为CF4
7、根据权利要求1所述的方法,其中所述第一电介质层为未掺杂二氧化硅层。
8、根据权利要求1所述的方法,其中所述第一电介质层为氟化硅酸盐玻璃层。
9、根据权利要求1所述的方法,其中所述等离子体蚀刻以至少2.5倍于蚀刻所述第一电介质层的速率蚀刻所述第二电介质层。
10、根据权利要求1所述的方法,其中所述等离子体蚀刻以至少3倍于蚀刻所述第一电介质层的速率蚀刻所述第二电介质层。
11、根据权利要求1所述的方法,其中所述等离子体蚀刻在磁性增强反应离子蚀刻系统内进行。
12.根据权利要求1所述的方法,其中C,H-掺杂氧化硅的所述第二电介质层是利用等离子体辅助的化学气相沉积工艺形成的。
13.根据权利要求1所述的方法,其中C,H-掺杂氧化硅层的所述沟槽电介质层是利用等离子体辅助的化学气相沉积工艺形成的。
14、一种在双镶嵌结构中蚀刻沟槽的方法,所述方法包括:
提供双镶嵌结构,该双镶嵌结构包括:(a)下层,(b)在所述下层上的未掺杂氧化硅或F-掺杂氧化硅的通路电介质层,(c)在所述通路电介质层上的C,H-掺杂氧化硅的沟槽电介质层,和(d)在所述沟槽电介质层上的图案化掩模层;以及
在等离子体蚀刻中,穿过所述图案化掩模层中的孔,在所述沟槽电介质层中,蚀刻一个或多个沟槽,直到暴露所述通路电介质层的部分上表面,其中利用包含氮原子和氟原子的等离子体源气体来进行所述等离子体蚀刻,并且其中,在所述等离子体蚀刻中,相对于所述通路电介质层,选择性地蚀刻所述沟槽电介质层。
15、根据权利要求14所述的方法,其中所述双镶嵌结构包括贯穿所述沟槽电介质层和所述通路电介质层延伸的延长的通路孔。
16、根据权利要求14所述的方法,其中所述等离子体源气体包括气体物质,所述气体物质包含至少一种氮原子和至少一种氟原子。
17、根据权利要求16所述的方法,其中所述气体物质为NF3
18、根据权利要求14所述的方法,其中所述等离子体源气体包括(a)包含一种或多种氮原子的气体物质和(b)包含一种或多种氟原子的气体物质。
19、根据权利要求18所述的方法,其中所述等离子体源气体包括N2和氟化碳气体。
20、根据权利要求14所述的方法,其中所述通路电介质层为未掺杂二氧化硅层。
21、根据权利要求14所述的方法,其中所述通路电介质层为氟化硅酸盐玻璃层。
22、根据权利要求14所述的方法,其中所述等离子体蚀刻以至少3倍于蚀刻所述第一电介质层的速率蚀刻所述第二电介质层。
23、根据权利要求14所述的方法,其中所述等离子体蚀刻在磁性增强反应离子蚀刻系统内进行。
24.根据权利要求14所述的方法,其中C,H-掺杂氧化硅层的所述沟槽电介质层是利用等离子体辅助的化学气相沉积工艺形成的。
CNB028280148A 2001-12-12 2002-12-05 用于选择性地蚀刻电介质层的工艺 Expired - Fee Related CN1322560C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/016,562 2001-12-12
US10/016,562 US6905968B2 (en) 2001-12-12 2001-12-12 Process for selectively etching dielectric layers

Publications (2)

Publication Number Publication Date
CN1618121A CN1618121A (zh) 2005-05-18
CN1322560C true CN1322560C (zh) 2007-06-20

Family

ID=21777766

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB028280148A Expired - Fee Related CN1322560C (zh) 2001-12-12 2002-12-05 用于选择性地蚀刻电介质层的工艺

Country Status (5)

Country Link
US (1) US6905968B2 (zh)
KR (1) KR20040068582A (zh)
CN (1) CN1322560C (zh)
TW (1) TWI295820B (zh)
WO (1) WO2003050863A1 (zh)

Families Citing this family (181)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7153776B2 (en) * 2002-11-27 2006-12-26 International Business Machines Corporation Method for reducing amine based contaminants
US6989105B2 (en) * 2003-06-27 2006-01-24 International Business Machines Corporation Detection of hardmask removal using a selective etch
US7256134B2 (en) * 2003-08-01 2007-08-14 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics
JP2006222232A (ja) * 2005-02-09 2006-08-24 Fujitsu Ltd 半導体装置およびその製造方法
US7442649B2 (en) * 2005-03-29 2008-10-28 Lam Research Corporation Etch with photoresist mask
US7288482B2 (en) * 2005-05-04 2007-10-30 International Business Machines Corporation Silicon nitride etching methods
US20060292859A1 (en) * 2005-06-27 2006-12-28 Taiwan Semiconductor Manufacturing Co., Ltd. Damascene process using dielectic layer containing fluorine and nitrogen
US8368220B2 (en) * 2005-10-18 2013-02-05 Taiwan Semiconductor Manufacturing Co. Ltd. Anchored damascene structures
US20070238254A1 (en) * 2006-03-28 2007-10-11 Applied Materials, Inc. Method of etching low dielectric constant films
US7601651B2 (en) * 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US20070287301A1 (en) * 2006-03-31 2007-12-13 Huiwen Xu Method to minimize wet etch undercuts and provide pore sealing of extreme low k (k<2.5) dielectrics
US7780865B2 (en) * 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US20080142483A1 (en) * 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
KR100850087B1 (ko) * 2006-12-27 2008-08-04 동부일렉트로닉스 주식회사 구리배선의 전도도 향상을 위한 식각 방법
US7767578B2 (en) * 2007-01-11 2010-08-03 United Microelectronics Corp. Damascene interconnection structure and dual damascene process thereof
CN101231968B (zh) * 2007-01-26 2010-11-17 联华电子股份有限公司 镶嵌内连线结构与双镶嵌工艺
CN101330019B (zh) * 2007-06-18 2010-12-22 中芯国际集成电路制造(上海)有限公司 通孔刻蚀方法及通孔区内钝化层去除方法
CN101764059B (zh) * 2008-12-25 2012-05-23 中芯国际集成电路制造(上海)有限公司 双镶嵌结构的形成方法及沟槽形成方法
US8211808B2 (en) * 2009-08-31 2012-07-03 Applied Materials, Inc. Silicon-selective dry etch for carbon-containing films
CN102044414B (zh) * 2009-10-13 2012-05-23 中芯国际集成电路制造(上海)有限公司 半导体结构及其制造方法
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8399359B2 (en) 2011-06-01 2013-03-19 United Microelectronics Corp. Manufacturing method for dual damascene structure
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US8735295B2 (en) 2012-06-19 2014-05-27 United Microelectronics Corp. Method of manufacturing dual damascene structure
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US8647991B1 (en) 2012-07-30 2014-02-11 United Microelectronics Corp. Method for forming dual damascene opening
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US8921226B2 (en) 2013-01-14 2014-12-30 United Microelectronics Corp. Method of forming semiconductor structure having contact plug
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8962490B1 (en) 2013-10-08 2015-02-24 United Microelectronics Corp. Method for fabricating semiconductor device
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9202708B1 (en) * 2014-10-24 2015-12-01 Applied Materials, Inc. Doped silicon oxide etch
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
KR20160067349A (ko) * 2014-12-04 2016-06-14 삼성전자주식회사 도전 구조물 형성 방법, 반도체 장치 및 반도체 장치의 제조 방법
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
TWI559586B (zh) * 2014-12-31 2016-11-21 力晶科技股份有限公司 電阻式隨機存取記憶體及其製造方法
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9859156B2 (en) * 2015-12-30 2018-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnection structure with sidewall dielectric protection layer
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10964653B2 (en) * 2017-09-28 2021-03-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming a semiconductor device comprising top conductive pads
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
WO2021207286A1 (en) * 2020-04-08 2021-10-14 Lam Research Corporation Selective etch using deposition of a metalloid or metal containing hardmask
US11961735B2 (en) * 2021-06-04 2024-04-16 Tokyo Electron Limited Cyclic plasma processing

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5843847A (en) * 1996-04-29 1998-12-01 Applied Materials, Inc. Method for etching dielectric layers with high selectivity and low microloading
CN1288253A (zh) * 1999-09-13 2001-03-21 摩托罗拉公司 腐蚀绝缘层和制作半导体器件的工艺
JP2001110789A (ja) * 1999-06-09 2001-04-20 Applied Materials Inc 集積した低k誘電体層とエッチング停止層
US6228758B1 (en) * 1998-10-14 2001-05-08 Advanced Micro Devices, Inc. Method of making dual damascene conductive interconnections and integrated circuit device comprising same
US6251770B1 (en) * 1999-06-30 2001-06-26 Lam Research Corp. Dual-damascene dielectric structures and methods for making the same
JP2001210627A (ja) * 1999-11-16 2001-08-03 Matsushita Electric Ind Co Ltd エッチング方法、半導体装置及びその製造方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5302240A (en) 1991-01-22 1994-04-12 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
US20020076935A1 (en) * 1997-10-22 2002-06-20 Karen Maex Anisotropic etching of organic-containing insulating layers
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US5935874A (en) 1998-03-31 1999-08-10 Lam Research Corporation Techniques for forming trenches in a silicon layer of a substrate in a high density plasma processing system
US6211092B1 (en) 1998-07-09 2001-04-03 Applied Materials, Inc. Counterbore dielectric plasma etch process particularly useful for dual damascene
US6207583B1 (en) 1998-09-04 2001-03-27 Alliedsignal Inc. Photoresist ashing process for organic and inorganic polymer dielectric materials
US6194128B1 (en) * 1998-09-17 2001-02-27 Taiwan Semiconductor Manufacturing Company Method of dual damascene etching
US6071809A (en) 1998-09-25 2000-06-06 Rockwell Semiconductor Systems, Inc. Methods for forming high-performing dual-damascene interconnect structures
US6245690B1 (en) * 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6168726B1 (en) * 1998-11-25 2001-01-02 Applied Materials, Inc. Etching an oxidized organo-silane film
US6097095A (en) 1999-06-09 2000-08-01 Alliedsignal Inc. Advanced fabrication method of integrated circuits with borderless vias and low dielectric-constant inter-metal dielectrics
US6180533B1 (en) 1999-08-10 2001-01-30 Applied Materials, Inc. Method for etching a trench having rounded top corners in a silicon substrate
US6573187B1 (en) * 1999-08-20 2003-06-03 Taiwan Semiconductor Manufacturing Company Method of forming dual damascene structure
US6165891A (en) 1999-11-22 2000-12-26 Chartered Semiconductor Manufacturing Ltd. Damascene structure with reduced capacitance using a carbon nitride, boron nitride, or boron carbon nitride passivation layer, etch stop layer, and/or cap layer
US6607675B1 (en) 2000-08-29 2003-08-19 Applied Materials Inc. Method of etching carbon-containing silicon oxide films
US6455411B1 (en) * 2000-09-11 2002-09-24 Texas Instruments Incorporated Defect and etch rate control in trench etch for dual damascene patterning of low-k dielectrics
US7311852B2 (en) * 2001-03-30 2007-12-25 Lam Research Corporation Method of plasma etching low-k dielectric materials
US6878615B2 (en) * 2001-05-24 2005-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method to solve via poisoning for porous low-k dielectric

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5843847A (en) * 1996-04-29 1998-12-01 Applied Materials, Inc. Method for etching dielectric layers with high selectivity and low microloading
US6228758B1 (en) * 1998-10-14 2001-05-08 Advanced Micro Devices, Inc. Method of making dual damascene conductive interconnections and integrated circuit device comprising same
JP2001110789A (ja) * 1999-06-09 2001-04-20 Applied Materials Inc 集積した低k誘電体層とエッチング停止層
US6251770B1 (en) * 1999-06-30 2001-06-26 Lam Research Corp. Dual-damascene dielectric structures and methods for making the same
CN1288253A (zh) * 1999-09-13 2001-03-21 摩托罗拉公司 腐蚀绝缘层和制作半导体器件的工艺
JP2001210627A (ja) * 1999-11-16 2001-08-03 Matsushita Electric Ind Co Ltd エッチング方法、半導体装置及びその製造方法

Also Published As

Publication number Publication date
CN1618121A (zh) 2005-05-18
US20030109143A1 (en) 2003-06-12
TWI295820B (en) 2008-04-11
US6905968B2 (en) 2005-06-14
TW200300980A (en) 2003-06-16
KR20040068582A (ko) 2004-07-31
WO2003050863A1 (en) 2003-06-19

Similar Documents

Publication Publication Date Title
CN1322560C (zh) 用于选择性地蚀刻电介质层的工艺
US6670278B2 (en) Method of plasma etching of silicon carbide
US6613689B2 (en) Magnetically enhanced plasma oxide etch using hexafluorobutadiene
US6919278B2 (en) Method for etching silicon carbide
US6362109B1 (en) Oxide/nitride etching having high selectivity to photoresist
US6168726B1 (en) Etching an oxidized organo-silane film
US6211092B1 (en) Counterbore dielectric plasma etch process particularly useful for dual damascene
US6284149B1 (en) High-density plasma etching of carbon-based low-k materials in a integrated circuit
US20050266691A1 (en) Carbon-doped-Si oxide etch using H2 additive in fluorocarbon etch chemistry
JP2001517868A (ja) フルオロプロペンまたはフルオロプロピレンを用いた酸化物の選択的エッチングプラズマ処理
KR20010080467A (ko) 헥사 플루오르화 부타디엔 또는 관련 플루오르화 탄화수소를 사용하여 산화물을 에칭하고 넓은 프로세스윈도우를 명시하기 위한 프로세스
JP2002525840A (ja) 特に銅デュアルダマシーンに有用な原位置統合酸化物エッチングプロセス
US5928967A (en) Selective oxide-to-nitride etch process using C4 F8 /CO/Ar
JP2006501634A (ja) 基板をエッチングするための方法及び装置
KR20130047663A (ko) 플라즈마 에칭 방법
CN100521111C (zh) 等离子体蚀刻方法
KR19990013557A (ko) 시레인 에칭 처리
WO2001086701A2 (en) Method of high selectivity sac etching
WO2003031676A1 (en) Method for making carbon doped oxide film
US7229930B2 (en) Selective etching of low-k dielectrics
JP2005328060A (ja) 半導体装置の製造方法
CN103050396B (zh) 多层介质刻蚀方法
KR100367852B1 (ko) 포토레지스트에 대해 고선택비를 갖는 에칭 방법
KR20040059454A (ko) 반도체 소자의 스토리지 노드 컨택 형성 방법
KR20040013974A (ko) 이중 다마신 패턴 형성 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20070620

Termination date: 20100105