TWI295820B - Process for selectively etching dielectric layers - Google Patents

Process for selectively etching dielectric layers Download PDF

Info

Publication number
TWI295820B
TWI295820B TW091134898A TW91134898A TWI295820B TW I295820 B TWI295820 B TW I295820B TW 091134898 A TW091134898 A TW 091134898A TW 91134898 A TW91134898 A TW 91134898A TW I295820 B TWI295820 B TW I295820B
Authority
TW
Taiwan
Prior art keywords
dielectric layer
layer
plasma
gas
dielectric
Prior art date
Application number
TW091134898A
Other languages
English (en)
Other versions
TW200300980A (en
Inventor
Lin Hsieh Chang
Yuan Jie
Chen Hui
Panagopoulos Theodoros
Ye Yan
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200300980A publication Critical patent/TW200300980A/zh
Application granted granted Critical
Publication of TWI295820B publication Critical patent/TWI295820B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31629Deposition of halogen doped silicon oxide, e.g. fluorine doped silicon oxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

1295820 九、發明說明: 【發明所屬之技術領域】 本發明係有關於一種選擇性蝕刻介電層的製程,例如有 關於雙層鑲嵌製程(Dual Damascene Process)。 【先前技術】 半導體積體電路技術持繽在能於早一積體電路晶片上製造電 晶體(transistor)、二極體(diode)、電容器(capacitor)及/或其 他電子元件上發展。此整合積集度(integration)的提昇,極大部 分係仰賴降低最小特徵結構(feature )尺寸而達成的。 先進的積體電路包含有複數的内連線層,其係由各別的介電 層而與半導體基材以及内連線層彼此之間加以分離。以微處理器 中之邏輯電路為例,其需要多個内連線層,以及介於其中的 介電層。一水平的内連線層係形成在一介電層上,然後再覆 蓋另一介電層。需要在每一介電層上蝕刻出小的接觸窗 (contact )或介層孔(Via hole),並穿過該介電層,以連接這 些内連線層。 有許多技術可用來產生内連線及介層孔。其中之一係通 常被稱為“雙層鑲嵌製程”之技術。此製程包含,形成一溝 渠(trench )以及下方的介層孔;隨後,該溝渠及介層孔, 被導體材料所填滿,同時形成一内連線層與一下方的介層 孔。 一種特別的雙層鑲嵌製程將在此被討論,請參照第1 a 圖至IF圖’係取材於美國專利號碼6,211,〇92,其全部之揭 1295820 露内容在此併入並引為參考。一蝕刻結構,其包含下列各 層.(a) —底層(underiying layer) 1〇,(b) 一薄的下方終 止層(thin lower stop layer) 12,(〇 一下方介電層(1籍以 dielectric layer) 14,(d)一薄的上方終止層(upper st〇p Uyer) 16 以及(e)—上方介電層(upper dielectric layer) 20。此終止 層12、16之組成相對於介電層14、2〇之組成而言,係可使 一選擇性蝕刻製程可蝕刻穿過上方的介電層,但是終止在此 終止層。 此項技藝領域所熟知的,此介電層14、2 0之材料,包 含有摻雜與未摻雜的氧化矽(silie〇n 〇xide)材料。未摻雜 的氧化矽材料,典型的由分子式(Si〇x)來表示,其中X係 川於1·4至2.1之間,而二氧化石夕(smc〇ndi〇xide,其X接 近於2)是最普遍使用的。摻雜的氧化矽材料包含有矽、氧 以及一或多個添加的材料種類。這些層可以藉由諸如化學氣 相沉積法(chemical vap〇r deposition ; CVD )或者電漿輔助 化學氣相沉積法(plasma_enhanced chemical yap〇r deposition; PECVD)來生長。 氮化矽(Silicon Nitride)是一典型的終止層材料,其中之 介電層為氧化矽材料。氮化矽可以藉由諸如CVD或pEcvD 法來生長。 一第一光阻層44,係被沉積並微影圖案化以形成與相對 介層孔對應之光罩開口( mask apertures ) 46,在此,以其中 之一來實例說明之。底層1〇典型的包含介電區與金屬區, 而在介層孔區域則具有一金屬區。 1295820 在第一蚀刻步驟,一延伸介層孔5〇,如第1B圖中所示, 被飯刻穿過上方介電層20、上方終止層16、以及下方介電 層1 4,並至下方終止層1 2。選擇恰當的蝕刻化學材料來進 行選擇性的触刻,使餘刻能停止於下方終止層1 2的上表面 52。例如,在第一步驟中,可使用一非選擇性定時蝕刻 (non-selective timed etch)來蝕刻此介層孔5〇,以穿過上 方介電層20、上方氮化物終止層16以及部分的下方介電層 14。之後可使用具有優良氧化物:氮化物選擇性的第二蝕刻 步驟,來選擇性的蝕刻殘餘的下方介電層14,並停止在此下 方終止層12的上表面52,以完成此延伸介層孔5〇。 在未說明的步驟中,第一光阻層44被剝除。然後,如 第1 c圖中所不,一第二光阻層56被沉積並微影圖案化,以 形成一光罩開口 58,其相對應於溝渠的位置。接下來的步 驟,說明於第1D圖,一溝渠62被蝕刻穿過上方介電層2〇, 並至上方終止層16的上表面64。此延伸介層孔5〇的深度因 此被有效的降低,以形成介層孔5〇,。 在更進一步的蝕刻步驟中,於介層孔5〇,的底部顯露出 來的下方終止層12係被蝕刻,如第! E圖所示,其穿過下方 終止層12’並至底層1〇的上表面68,而其係為一典型的金 屬表面,且至少在此介層孔5〇,的位置處係為一典型的金屬 表面。根據此兩個終止層12及16的成 >,此蝕刻步驟可移 除一部分暴路在溝渠62底部的上方終止層16,以露出一下 方介電層14的上表面7G<)在另—步驟中,其可在#刻步驟 之則或之後執仃,第二光阻層56與任何在介電層蝕刻製程 1295820 中所形成侧壁上的聚合物均被剝除。 然後,如第1F圖中所示,一金屬72被填充至此溝渠62 與底部的介層孔50’以接觸底層1〇的上表面68。接下來, 使用化學機械研磨(chemical mechanical polishing ; CMP ) 移除任何溢出溝渠的金屬材料。此金屬72同時形成水平的 内連線74與介層孔76,而該介層孔76係接觸底層1〇。此 金屬72較合適的係為鋼(c〇pper ),但可以是另一金屬,例 如鋁(aluminum)。但是,此種雙層鑲嵌製程特別合適應用 於銅的金屬化製程中,因為不需要蝕刻銅。 通常在雙層镶嵌結構中的非金屬材料的介電常數k是考 望此越低越好# 如,較低的介電常數&會形成較低的灣 今,其依序降低了串音(。㈣七⑴以及輕纟(wupling) 而使得操作速度提高。但是,典型與終止^ ΜΗ (例女 氮化夕)起使用的材料是具有相當高介電常數^之材料。 例如,ShN4的介電常數k約為7·5 (其係 常數k(典型的…·…·2之間)成對比 因此’極f在雙層鑲嵌結構技術領域 介電常數k材料的不良影響。 與二氧化矽的介電 )° 中,有效地降低高 【發明内容】 ㈣月有效解決目前積體電路之上述介電層#刻製 之問通與其他的問題。 根據本發明之一會 .., 實施例,係提供一種用於蝕刻介電驾 之方法0此介電結構白 再匕含U)未摻雜之氧化矽或摻雜氟之孝 9 1295820 矽的一第一介電層與(b)摻雜碳氫 乳又軋化矽的一第二介雷 層。然後,於一電漿蝕刻步驟中蝕刻此 电〜耩,其中此電 漿餘刻步驟係使用包含氮原子與氟原子 卞 < 電漿源氣體來執 行。第二介電層係相對於第一介電層而於餘 μ⑷步驟中被選擇 性的蝕刻,以提供例如,第二介電層:第— ^ 介電層之蝕刻選 擇比(selectivity )為2.5 : 1或更大,更佳係為3 · 1戈 根據本發明另一較佳實施例,係提供_種在雙層鑲^結 構中蝕刻一溝渠的方法。此雙層鑲崁結構/ 人、、、° 否 · ( a) —底芦 (Underlying Layer);(b)一未摻雜之氧化矽或摻 ^ > 人《 /雕既之氧化石夕 之一;丨層孔介電層,該介層孔介電層係覆蓋於底層之上· (c)一摻雜碳氫之氧化矽的溝渠介電層,該溝渠介電===筌 :”層孔’I電層之上方;以及⑷一圖案化之光罩層,其 蓋於溝渠介電層之上方。此雙層鑲嵌結構亦較佳的包:二 伸介層孔,其延伸穿過溝渠介電層與介層孔介電層。於 漿蝕刻步驟中,在溝渠介電層中蝕刻出一或多個溝渠。蝕刻 係進行以穿過圖奉# +土 s a L β 0a χ 一 牙、α茶化之先罩層上之開口,直到介層孔介電層 之上表面的一部分暴露出為止。此電漿蝕刻步驟係以包含 氮原子及氟原子之電漿源氣體進行。相似於先前的段落中之 較佳實施例,此溝渠介電層較佳是相對於介層孔介電層而被 選擇性的蝕刻’以提供例如,溝渠介電層:介層孔介電層之 選擇比為2·5: 1或更大,更佳S3: 1或更大。 人在一些實施例中,電漿源氣體包含一種氣體,該氣體包 ^或夕個氮原子與一或多個氟原子(例如:三氟化氮; Nh)。在其他實施例中,電漿源氣體包含(a)一包含一或多個 10 1295820 氮原子(例如:氮N2)之氣體,以及(b) —包含一或多個氟原子 (例如:碳氣化合物氣體,Fluorocarbon Gas,如四I化碳, CF4)之氣體。 上述未摻雜之氧化矽層較佳為二氧化矽(Silicon Dioxide)層,且上述摻雜氟之氧化矽層較佳為一氟化矽玻璃 (Fluorinated Silica Glass)層。 在部分實施例中,上述之電漿蝕刻步驟係於一磁性輔助 反應性離子钱刻糸統(Magnetically Enhanced Reactive Ion Etching System)中實施。 本發明優點之一為,相對於一未摻雜之氧化石夕介電層與 一摻雜氣之氧化矽介電層而言,一摻雜碳氫之氧化矽介電層 係較優先被ϋ刻。 當高的介電常數之蝕刻終止層消除。因此,此結構之電 (Capacitance)可被降低。同時進而降低了串音 耦合(Coupling),並允許增加元件的操作速度。 ’ 在本發明之詳細說明與申請專利範圍揭露之後,本發 之上述之與其他的實施例與優點,對於熟知此項 : 明顯可見的。 β 【實施方式】 在此需特別注意, ,且全部氣體的成 t部氣體成分 分比率皆為容 如同實施方式的序言, 的百分比皆為容積百分比〇/〇 積比率。 11 1295820 有關於「選擇比(selectivity)」一詞,在此係指a)兩 種或多種材料之㈣率的比例,以及b)在實際㈣的過程 中 材料的蝕刻率相較於另一材料的蝕刻率係為增加的情 乂下將參圖示詳細說明本發明,其中本發明的車声佳實 施例也在此描述。但是’本發明仍可以不同形式被實施,這 些不同態樣不應被解讀為於此所述實施例之限制。 參照第2A圖及第2B圖,以說明本發明之一實施例的流 程。參照第2A圖,其係說明一結構,其包含有下方介電層 208、一上方介電層21〇以及一圖案化的光罩層214。此下方 w電層208係由氧化矽所形成,例如,二氧化矽(典型係由 四乙基正矽酸鹽(tetraethyi〇rth〇siiicate5TE〇s)所形成),或摻 雜I之氧化矽,例如,氟化矽玻璃(fluorinated silica glass ; FSG)。如同上述,二氧化矽的介電常數k典型係介於3·9至 4·2之間。而氟化矽玻璃的介電常數約為3·5。為了這個原因, I化石夕玻璃相較於二氧化矽玻璃而更適合使用於某些情 >兄。下方介電層208,可以為任何適合目前應用的厚度,而 且其可以此項技藝領域所熟知的CVD製程來形成。 上方介電層210係覆蓋於下方介電層208上方。在此實 施例中,上方介電層210係為氧化矽並摻雜有碳(carbon) 以及氫(hydrogen )(在此稱之為“摻雜碳氫之氧化矽”)。 上方介電層210,可以為任何適合目前應用的厚度。Yau等 人近期曾經在美國專利號碼6,054,379,以及6,072,227中發 表一種形成氧化碳-石夕材料 (oxidized carbon-silicon 12 1295820 material )的方法,在此將這兩篇專利之全部内容併入以做 為參考。這樣的薄膜同樣也被發表於美國專利號碼 6,168,726,其全部的揭露内容在此亦引為參考。此層較佳係 使用化學氣相沉積(chemical vapor deposition ; CVD )製 程製造’其使用氧化劑(oxidizer)與有機矽烷(organ〇-silany 的氣體前驅物(gaseous precursor),以形成一低k介電層,其 具有介電常數在2.5至3之間。此CVD製程較佳係在一低能 量電谷輕合電漿中(其在晶圓上之能量密度小於1 W/cm2 ), 以及溫度小於100°c下實施。較佳的氫含量至少為3〇原子 %(atomic %),更佳為45至6〇原子%,較佳的碳含量是介於 5至2 0原子%,更佳的是介於6至i 〇原子%,較佳的矽含量 是介於15至30原子%,更佳的是介於17至22原子%,及 較佳的氧含量是介於10至45原子%,更佳的是介於15至 30原子%。一種摻雜碳氫之氧化矽材料,目前正由位於美國 其商品名為 Black 加州聖克拉拉的應用材料公司所銷售+,其商品名為
DiamondTM 〇
的溝渠210t。
設備。傳統的反應性離子钱刻設 reactive ion etching ; RIE)的 設備包含有位於一真空反應室 13 l295820 中之陽極及陰極。此陰極典型的形成一臺座,以在此反應室 中用以支撐半導體晶圓,而陽極係典型的形成牆及/或此反應 室的上頂部。當處理晶圓時,電漿源氣體係注入此真空反應 至而陽極與陰極係由單一的正弦波頻率(RF)源來驅動, 、激發電裝源氣體形成電漿。此單一頻率典型的為 ΐ3·56ΜΗζ,雖然一般經常使用頻率ΙΟΟΚΗζ至2.45GHz,其 他頻率則是偶爾被使用。此RF能量可激發電漿源氣體,並 於反應室中鄰近處理此半導體晶圓處產生電漿。 電漿製程設備亦可為一磁性輔助反應性離子蝕刻設備 (magnetically enhanced reactive i〇n etch : merie)。此設 備典型的提供一或多個磁鐵或磁性線圈,其係能磁性控制電 漿以促進一更均勻的蝕刻製程。 一種可與本發明一起使用的特定MERIE反應室,係為 eMaXw反應室,其由美國加州聖克拉拉的應用材料公司所銷 售0 个竹料,較佳係以内含氮 使用於此蝕刻製程 1、"N D石队 子與氟原子的電漿源氣體為基礎。如一眚你你丨七
貫施例中,可使用N 氣體。在其他的實施例中,可使用一(a)内含氮原子的 體種類’以及(b)内含I原子的氣體種類之混合物。例 可使用包含有一 N2氣體及一或多種的磁翁i人 夕禋的奴虱化合物氣 (fluorocarbon gases,在此定義為具有碳與氟原子之一 體)之混合物的一電漿源氣體。更佳的是一混合N2及一 多種碳氟化合物的氣體’且該碳氟化合物氣體僅包含有碳 氟原子,例如,CF4氣體、GF6氣體或cj8氣體。 14 1295820 此蝕刻的化學材+ 斗疋值得注意的,因為可ii:占μ七入+ 層較佳的蝕刻率(例如 達成上方"電 /每分鐘,較佳是約可、*丨 υ·1微+(nnCron) I, 到大於1微米/每分鐘)。此巍列h與 材料同樣也值得注意 *匕蝕刻化學 因為其k供上方介電層 介電層208之間—個电層210與下方 ! . . f ^ 良好的選擇比。此選擇比典型的為2.5·· 去it彳干t方入f Μ 、 或者更大。由於此良好的選擇比, ^ ^ ^ t私時,下方介電層可被當作一蝕刻 終止層,因此可形成如筮 第2β圖中所示的結構。 在一特定的實施例中,一
J接又的選擇比及钱刻速率可 於一 MERIL·反應室,在 4干J 在相當低的能量(例如,小於500W, 更佳係约300W)以及一相當 々田同的壓力(例如,150 mTorr, 或者以上)下’於包含右w > 匕各有比乳體及eh氣體之電漿源氣體 下操作,以進行如第2A圖中的結構之蝕刻。 另-特定的實施例,一可接受的蝕刻率與選擇比可以實 施於eMaxTM反應室,:i:孫於 ,α ^ ^ ,、係於一相當高的能量(例如,大於 15 1 00W,更佳的是800至1200W)及一知a把认旷丄, ;次 相當低的壓力(例如, 小於150 — ’或更佳的是3〇至50 mTorr),並於一叫 電聚源氣體下(其較佳流速係介於15至5〇 sccm⑻一 cubic centimeters t0 minute)之間),以完成第2a圖中的結構 的蝕刻。此陰極溫度係例如維持在1〇至2〇<t之間。較佳的 磁場範圍為0至30G F[此製程可以達到大於i微米/每分 鐘的推雜碳氫之氧化石夕的餘刻率,以及大於3:1的摻雜碳 氫之氧化矽:氟化矽玻璃的選擇比。 參照第3A至第3H圖,這些圖表示一特定的雙層鑲嵌製 !295820 程,以說明本發明係有效應用於此製程中。第3 A圖實例說 明-雙層㈣結構’其包含有底層4()1。底層仙典型的包 含介電區域404以及導電區域4〇2 ’例如銅區域。 在底層40 1之上係沉積有一蝕刻終止層4。此蝕刻終 止層406可使用任何針對氧化矽材料為合適的嘸刻終止材料 來形成,包含碳化梦(silic〇n carbide )或者是&化梦(siHc〇n nitride)(典型以《SiNx來代表,其+ χ在―個範圍中變 化,例如,1至1.5,且通常為s#4 )。此蝕刻終止層6可 以為任何適以作為蝕刻終止層的厚度,在此實施例中,其厚 度約為300至1000埃(Angstr〇m)。此蝕刻終止層4〇6可以 此項技藝領域所熟知的CVD製程來形成。 一介層孔介電層408係設置於蝕刻終止層4〇6之上方, 在此實施例中其係由有(a)—未摻雜的氧化碎(典型的由 Τ Ε Ο S所形成),例如-蓋〆卜访 / ι_ \ U如一氧化矽,或(b) —摻雜氟之氧化矽, 例如,氟化石夕玻填所蚯杰。l #、+、 匕t 呀坩、、且烕。如則所述,二氧化矽的介電常數 k典型的’I於3.9 i 4.2之間,且氟化矽玻璃的介電常數約為 3·5:此介層孔介電層4〇8可以是任何適以作為一介層孔層的 適當厚度,例如,在此實施例中之厚度約為3〇〇〇至5〇㈧埃。 一溝渠介電層410係形成在介層孔介電層408之上。在 此實施例中’此溝渠介電層41〇係為一摻雜碳氫的氧化矽, 其成分、構成與性質如參照帛2Α圖中所插述者。此溝渠介 電層410可以是任何適於成為一溝渠介電層的適當厚度,在 此實施例中之厚度係例如為3000至5000埃。 在溝渠介電層410之上方係沉積有一第—圖案化的光罩 16 1295820 層412,而其較佳為一有機光阻層。有關圖案化的光罩層412 的厚度及開口 4 1 2a的尺寸係可以為任何合適用來蝕刻一介 層孔的尺寸。例如,此圖案化光罩層可以為一有機光阻層, 其厚度係介於4000至7000埃之間,且具有一介於0.2至0.5 微米間之開口。 一旦如第3A圖中所述之結構被建立後,接著進行一蝕 刻製程,如第3B圖中所示,蝕刻至蝕刻終止層406以形成 一延伸的介層孔408v。 如上所述,此蝕刻製程可以任何適合的電漿製程設備來 執行,例如,一諸如MERIE反應室之類的電漿輔助反應性 離子餘刻系統(plasma-enhanced reactive ion etching system)。用於此製程步驟之餘刻化學材料可以是任何習知之 用於蝕刻以矽為基礎之材料且對於蝕刻終止層406具有良好 選擇比的蚀刻化學材料。用於此製程步驟之示範性餘刻化學 材料係以含乱的氣體為基礎’較佳係以敦化的碳氫化合物氣 體(fluorinated hydrocarbon gases,在此定義為具有碳、氫 以及氟原子之氣體)為基礎,例如,CH^F氣體。在#刻之 後’可產生如第3B圖中所示之結構。而後去除圖案化的光 罩層412,以產生如第3C圖中所示之結構。 接下來’第二圖案化的光罩層4 1 4係提供在此結構之 上,如第3D圖中所示。此第二圖案化的光罩層414例如可 以是一有機光阻層。有關於此圖案化的光罩層414的厚度及 開口 414a之尺寸可以為任何適以蝕刻一内連線(interc〇nnat) 溝渠的數值。例如’此圖案化的光罩層可以為一具有4〇〇〇 17 1295820 至7000埃之厚度以及約〇·2至0.5微米之開口泠有機光阻層。 參閱第3Ε圖,以一可相對於介層孔介電原408來選擇 性地蝕刻溝渠介電層41 0之蝕刻製程,於溝渠介電層41 〇中 蝕刻出一溝渠41 〇t。如與第2Β圖有關之詳細相述,此蝕刻 製程可以於任何適合的電漿製程設備來執行。如第2B圖中 有關之描述,使用在此製程中之蝕刻化學材料較佳係以内含 氮原子及氟原子的電漿源氣體為基礎(例如,NF3電漿源氣 體或者一内含N2氣體以及一或多種碳氟化合物氣體之混合 物的電漿源氣體)。使用此設備及蝕刻化學材科,可以相對 於介層孔介電層408而以約3 : 1或者更大的選擇比來蝕刻 溝渠介電層410,同時並以大於每分鐘1微米的速率餘刻此 溝渠介電層4 1 0。 如第1圖中有關的描述,雖然在此並未描述,一種如氣 化碎的材料係通常使用於習知技術中,以做為一介於溝渠介 電層與介層孔介電層之間的蝕刻終止層,而避免如角隅面切 割(corner faceting)、微溝渠(micro-trenching),以及餘刻率 之微觀負載效應(etch rate micro-loading)。但是,因為氮化 矽具有相當高的介電常數k (例如,ShN*的介電常數約為 7 · 5 )’將其由本發明中之結構排除是有利的。如前段中所描 述的,在本發明之溝渠介電層410可以在蝕刻速率大於1微 米/每分鐘進行蝕刻,且同時達到3 : 1或者更大之選擇比。 因此’在習知技藝中介於溝渠介電層與介層孔介電層之間的 蝕刻終止層可以被去除,且並不會產生經驗中明顯角隅面切 割、微溝渠,以及蝕刻率之微觀負載效應。(由第3E圖中可 18 1295820 408所產生%介層孔408v 助於維持介層孔介電層408 以發現’在先前蝕刻介層孔介電層 中的光罩層414材料之存在,係有 肩部的完整性。) 在經過了溝渠㈣步驟,第二圖案化的 留部分被去除,以提供如第3F圖中所示之結幾。 在更進一步的蝕刻製程中 竹徂於;丨層孔408v下方的 餘刻終止層406加以移除,因4卜摆 砂于、口此楗供了通往底層金屬區域4〇2 的入口。為了達到此項目的,盆 ,、所便用之蝕刻化學材料為此 項技藝領域所熟知的。例如, J使用包含有嚷氟氫化合物 (fluorohydrocarbon,如 ,ηυ τ: ^ττ 如 LHF3,CH2F2,CH3F )、氮(ν2)、 氧(〇2)以及選擇性的句冬< 、 疋评I扪匕s有虱(argon)之蝕刻化學材料。 因此形成了第3 G圖中所示的結構。 、之後於金屬化製程中以金屬416 (典型的為銅)填充溝 渠410t與介層孔408v,如同該技術領域已知者。如熟知的 回等積體電路之小特徵結構的金屬化製程,此金屬化製程需 要在溝渠410t與介層孔408v上塗佈阻障層(barHer丨叮“) 以及濕潤層(wetting layers)。此溝渠41〇t及介層孔4〇8v 典型係使用金屬沉積製程填充,其通常至少部分由物理氣相 沉積來完成。典型的填充持續至金屬完全填充此介層孔4〇8v 與溝渠41 〇t,且可以稍微的覆蓋此上表面41〇s。接下來典型 地以化學機械研磨(chemical mechanical polishing )進行研 磨。因為以氧化矽為基礎的材料較金屬為硬,因此當研磨至 溝渠介電層410的上表面410s時,研磨即會停止。 如第3A圖至第3H圖所說明之一特別的雙層鑲嵌製程, 19 95820 其係 的, 中介 發明 用來說明本發明之實用性, 包含其他的雙層鑲嵌製程與 於兩個介電層之間較佳的蝕 之製程亦可以運用到這些製 其他許多的製程同樣是熟知 其他的非雙層鑲嵌製程,其 刻選擇比是需要的。因此本 程中。 及說明,但對 蓋,其並不脫 雖然不同的實施例,在此特別的加以描述 於本發明之修改與變更,均為以上所教示與涵 離本發明所附之申請專利範圍之範圍與精神。 ’包含伴隨的 均可以任何方 在本發明之說明書中所揭露之所有的特徵 摘要以及圖示,及/或任何方法與製程的步驟, 式加以結合,除非此結合彼此互相排斥。 在本發明之說明書中(包含任何所附之申請專利範圍摘 要以及圖示)之每一揭露之特徵,可以被另一相等或者相似目 的之特徵來取代,以提供相等或相似的目的,除非明確的說 明不同,因此,除非明確的說明不同,每一被揭露之特徵, 均為一均等或相似特徵系列的一實施例。 【圖式簡單說明] 為讓本發明之上述和其他目的、特徵、和優點能更明顯 易懂’特舉較佳實施例,並配合下列圖式做更詳細說明,其 中: 第1 A-1 F圖係為習知的雙層鑲嵌製程之部分剖面示意 圖; 第2A-2B圖係為本發明之一實施例之蝕刻製程之之部 分剖面示意圖;以及 20 1295820 第3A-3H圖係為本發明之一實施例之雙過鑲嵌製程之 部分剖面示意圖。 【元件代表符號簡單說明】 10 底層 12 (下方)終止層 14 (下方)介電層 16 (上方)終止層 20 (上方)介電層 44 第一光阻層 46 光罩開口 50 延伸介層孔 50, 介層孔 52 上表面 56 第二光阻層 58 光罩開口 62 溝渠 64 上表面 68 上表面 70 上表面 72 金屬 74 内連線 76 介層孔 208 下方介電層 210 上方介電層 210t 溝渠 214 光罩層 401 底層 402 區域 404 介電區域 406 餘刻終止層 408 介層孔介電層 408v (延伸的)介層孔 410 溝渠介電層 410t 溝渠 410s 上表面 412 光罩層 412a 開口 414 光罩層 414a 開口 416 金屬
21

Claims (1)

1295820 十、申請專利範圍: 1. 一種蝕刻一介電結構的方法,至少包含: 提供一介電結構,其至少包含(a)未摻雜之氧化矽 氟之氧化矽的一第一介電層;以及(b)摻雜碳氫之氧化 第二介電層,該第二介電層係設置於該第一介電層上 及 進行一電漿餘刻以餘刻該介電結構’其中該電漿 括使用包含有氮原子與氟原子之一電漿源氣體,其中 介電層係相對於該第一介電層而選擇性地於該電漿 被蝕刻,且其中該電漿蝕刻係避免在該第一介電層及 介電層之間置入一蝕刻終止層之需求。 2. 如申請專利範圍第1項所述之方法,其中上述 源氣體包含一氣體物種,該氣體物種包含一或多個氮 一或多個II原子。 3. 如申請專利範圍第2項所述之方法,其中上述 物種係為三氟化氮(NF3)。 4. 如申請專利範圍第1項所述之方法,其中上述 源氣體包含(a) —包含一或多個氮原子之氣體物種; 一包含一或多個氟原子之氣體物種。 5. 如申請專利範圍第4項所述之方法,其中上述 或摻雜 矽的一 方;以 餘刻包 該第二 餘刻中 該第二 之電漿 原子與 之氣體 之電漿 以及(b) 之電漿 22 1295820 源氣體包含一氮氣(N2)與一碳氟化合物(Fluorocarbon)氣體。 6.如申請專利範圍第5項所述之方法,其中上述之碳氟 化合物氣體係為四氟化碳(CF4)。 7 ·如申請專利範圍第1項所述之方法,其中上述之第一 介電層係為一未摻雜之二氧化矽(Silic〇n Dioxide)層。 8.如申請專利範圍第1項所述之方法,其中上述之第一 介電層係為一氟化石夕玻璃(Fluorinated Silica Glass)層。 9·如申請專利範圍第1項所述之方法,其中上述之電漿 触刻&供 第一介電層·第一介電層之選擇比(selectivity) 為2 · 5 : 1或更大。 10·如申請專利範圍第1項所述之方法,其中上述之電漿 蝕刻提供一第二介電層:第一介電層之選擇比為3:1或更 大0 11·如申請專利範圍第1項所述之方法,其中上述之電漿 蝕刻係於一磁性輔助反應性離子蝕刻系統(MagnetiCally Enhanced Reactive Ion Etching System)中實施 〇 12·—種在一雙層鑲崁(dual damascene )結構中餘刻一 23 1295820 溝渠的方法,其中該方法至少包含: 提供一雙層鑲崁結構,該結構至少包含(a) —底層 (Underlying Layer); (b)未摻雜之氧化石夕或摻雜氟之氧化石夕的 一介層孔介電層,係覆蓋於該底層之上方;(c)摻雜碳氫之氧 化矽的一溝渠介電層,係覆蓋於該介層孔介電層之上方;以 及(d)—圖案化之光罩層,係覆蓋於該溝渠介電層之上方;以 及 藉由電漿蝕刻而透過該圖案化之光罩層上的複數個開 口而在該溝渠介電層中蝕刻一或多個溝渠,直到該介層孔介 電層之一上表面之一部分暴露出,其中該電漿蝕刻包括利用 一包含有氮原子與氟原子之電漿源氣體’且其中該溝渠介電 層係相對於該介層孔介電層而選擇性地於該電漿蝕刻中被 ϋ刻。 13. 如申請專利範圍第12項所述之方法’其中上述之雙 層鑲嵌結構包含一延伸介層孔,其延伸穿過該溝渠介電層與 該介層孔介電層。 14. 如申請專利範圍第12項所述之方法,其中上述之電 漿源氣體包含一氣體物種,該氣體物種包含至少一氮原子與 至少一氟原子。 15. 如申請專利範圍第14項所述之方法,其中上述之氣 體物種係為三氟化氮(NF3)。 24 1295820 16.如申請專利範圍第I]項所述之方法,其中上述之電 裝源氣體包含(a)—包含一或多個氮原子之氣體物種;以及(b) 包含一或多個氟原子之氣體物種。 17·如申請專利範圍第16項所述之方法,其中上述之電 襞源氡體包含一氮氣(N2)與一碳氟化合物(Fluorocarbon)氣 13A 體0 18·如申請專利範圍第12項所述之方法,其中上述之介 層孔介電層係為一未摻雜之二氧化石夕(Silicon Dioxide)層。 19·如申請專利範圍第12項所述之方法,其中上述之介 層孔介電層係為一氟化矽玻璃(Fluorinated Silica Glass)層。 20·如申請專利範圍第12項所述之方法,其中上述之電 聚姓刻提供一溝渠介電層:介層孔介電層之選擇比為3, : 1 或更大。 21. 如申請專利範圍第12項所述之方法,其中上述之電 漿#刻係於一磁性辅助反應性離子蚀刻系統(Magnetically Enhanced Reactive Ion Etching System)中實施。 22. 如申請專利範圍第1項所述之方法,其中摻雜碳氫之 25 1295820 氧化矽的該第二介電層係利用一電漿辅助化學氣相沉積製 程來形成。 2 3.如申請專利範圍第12項所述之方法,其中摻雜碳氫 之氧化矽的該溝渠介電層係利用一電漿輔助化學氣相沉積 製程來形成。 26 1295820 七、指定代表圖·· (一) 、本案指定代表圖為:第 3H 圖。 (二) 、本代表圖之元件代表符號簡單說明: 401 底層 402 區域 404 介電區域 406 餘刻終止層 408 介層孔介電層 410 溝渠介電層 410s 上表面 416 金屬 八、本案若有化學式時,請揭示最能顯示 發明特徵的化學式:
TW091134898A 2001-12-12 2002-11-29 Process for selectively etching dielectric layers TWI295820B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/016,562 US6905968B2 (en) 2001-12-12 2001-12-12 Process for selectively etching dielectric layers

Publications (2)

Publication Number Publication Date
TW200300980A TW200300980A (en) 2003-06-16
TWI295820B true TWI295820B (en) 2008-04-11

Family

ID=21777766

Family Applications (1)

Application Number Title Priority Date Filing Date
TW091134898A TWI295820B (en) 2001-12-12 2002-11-29 Process for selectively etching dielectric layers

Country Status (5)

Country Link
US (1) US6905968B2 (zh)
KR (1) KR20040068582A (zh)
CN (1) CN1322560C (zh)
TW (1) TWI295820B (zh)
WO (1) WO2003050863A1 (zh)

Families Citing this family (181)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7153776B2 (en) * 2002-11-27 2006-12-26 International Business Machines Corporation Method for reducing amine based contaminants
US6989105B2 (en) * 2003-06-27 2006-01-24 International Business Machines Corporation Detection of hardmask removal using a selective etch
US7256134B2 (en) * 2003-08-01 2007-08-14 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics
JP2006222232A (ja) * 2005-02-09 2006-08-24 Fujitsu Ltd 半導体装置およびその製造方法
US7442649B2 (en) 2005-03-29 2008-10-28 Lam Research Corporation Etch with photoresist mask
US7288482B2 (en) * 2005-05-04 2007-10-30 International Business Machines Corporation Silicon nitride etching methods
US20060292859A1 (en) * 2005-06-27 2006-12-28 Taiwan Semiconductor Manufacturing Co., Ltd. Damascene process using dielectic layer containing fluorine and nitrogen
US8368220B2 (en) * 2005-10-18 2013-02-05 Taiwan Semiconductor Manufacturing Co. Ltd. Anchored damascene structures
US20070238254A1 (en) * 2006-03-28 2007-10-11 Applied Materials, Inc. Method of etching low dielectric constant films
US20070287301A1 (en) * 2006-03-31 2007-12-13 Huiwen Xu Method to minimize wet etch undercuts and provide pore sealing of extreme low k (k<2.5) dielectrics
US7780865B2 (en) * 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7601651B2 (en) * 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US20080142483A1 (en) * 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
KR100850087B1 (ko) * 2006-12-27 2008-08-04 동부일렉트로닉스 주식회사 구리배선의 전도도 향상을 위한 식각 방법
US7767578B2 (en) * 2007-01-11 2010-08-03 United Microelectronics Corp. Damascene interconnection structure and dual damascene process thereof
CN101231968B (zh) * 2007-01-26 2010-11-17 联华电子股份有限公司 镶嵌内连线结构与双镶嵌工艺
CN101330019B (zh) * 2007-06-18 2010-12-22 中芯国际集成电路制造(上海)有限公司 通孔刻蚀方法及通孔区内钝化层去除方法
CN101764059B (zh) * 2008-12-25 2012-05-23 中芯国际集成电路制造(上海)有限公司 双镶嵌结构的形成方法及沟槽形成方法
US8211808B2 (en) * 2009-08-31 2012-07-03 Applied Materials, Inc. Silicon-selective dry etch for carbon-containing films
CN102044414B (zh) * 2009-10-13 2012-05-23 中芯国际集成电路制造(上海)有限公司 半导体结构及其制造方法
US9324576B2 (en) * 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8399359B2 (en) 2011-06-01 2013-03-19 United Microelectronics Corp. Manufacturing method for dual damascene structure
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US8735295B2 (en) 2012-06-19 2014-05-27 United Microelectronics Corp. Method of manufacturing dual damascene structure
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US8647991B1 (en) 2012-07-30 2014-02-11 United Microelectronics Corp. Method for forming dual damascene opening
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US8921226B2 (en) 2013-01-14 2014-12-30 United Microelectronics Corp. Method of forming semiconductor structure having contact plug
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8962490B1 (en) 2013-10-08 2015-02-24 United Microelectronics Corp. Method for fabricating semiconductor device
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9202708B1 (en) * 2014-10-24 2015-12-01 Applied Materials, Inc. Doped silicon oxide etch
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
KR20160067349A (ko) * 2014-12-04 2016-06-14 삼성전자주식회사 도전 구조물 형성 방법, 반도체 장치 및 반도체 장치의 제조 방법
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
TWI559586B (zh) * 2014-12-31 2016-11-21 力晶科技股份有限公司 電阻式隨機存取記憶體及其製造方法
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9859156B2 (en) * 2015-12-30 2018-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnection structure with sidewall dielectric protection layer
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10964653B2 (en) * 2017-09-28 2021-03-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming a semiconductor device comprising top conductive pads
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN115380364A (zh) * 2020-04-08 2022-11-22 朗姆研究公司 使用类金属或者含金属硬掩模的沉积的选择性蚀刻
US11961735B2 (en) * 2021-06-04 2024-04-16 Tokyo Electron Limited Cyclic plasma processing

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5302240A (en) * 1991-01-22 1994-04-12 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
US5843847A (en) 1996-04-29 1998-12-01 Applied Materials, Inc. Method for etching dielectric layers with high selectivity and low microloading
US20020076935A1 (en) * 1997-10-22 2002-06-20 Karen Maex Anisotropic etching of organic-containing insulating layers
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6340435B1 (en) * 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US5935874A (en) * 1998-03-31 1999-08-10 Lam Research Corporation Techniques for forming trenches in a silicon layer of a substrate in a high density plasma processing system
US6211092B1 (en) * 1998-07-09 2001-04-03 Applied Materials, Inc. Counterbore dielectric plasma etch process particularly useful for dual damascene
US6207583B1 (en) * 1998-09-04 2001-03-27 Alliedsignal Inc. Photoresist ashing process for organic and inorganic polymer dielectric materials
US6194128B1 (en) * 1998-09-17 2001-02-27 Taiwan Semiconductor Manufacturing Company Method of dual damascene etching
US6071809A (en) * 1998-09-25 2000-06-06 Rockwell Semiconductor Systems, Inc. Methods for forming high-performing dual-damascene interconnect structures
US6228758B1 (en) * 1998-10-14 2001-05-08 Advanced Micro Devices, Inc. Method of making dual damascene conductive interconnections and integrated circuit device comprising same
US6245690B1 (en) * 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6168726B1 (en) * 1998-11-25 2001-01-02 Applied Materials, Inc. Etching an oxidized organo-silane film
US6097095A (en) * 1999-06-09 2000-08-01 Alliedsignal Inc. Advanced fabrication method of integrated circuits with borderless vias and low dielectric-constant inter-metal dielectrics
US6251770B1 (en) * 1999-06-30 2001-06-26 Lam Research Corp. Dual-damascene dielectric structures and methods for making the same
US6180533B1 (en) * 1999-08-10 2001-01-30 Applied Materials, Inc. Method for etching a trench having rounded top corners in a silicon substrate
US6573187B1 (en) * 1999-08-20 2003-06-03 Taiwan Semiconductor Manufacturing Company Method of forming dual damascene structure
TW455948B (en) 1999-09-13 2001-09-21 Motorola Inc Process for etching an insulating layer and forming a semiconductor device
JP3400770B2 (ja) 1999-11-16 2003-04-28 松下電器産業株式会社 エッチング方法、半導体装置及びその製造方法
US6165891A (en) * 1999-11-22 2000-12-26 Chartered Semiconductor Manufacturing Ltd. Damascene structure with reduced capacitance using a carbon nitride, boron nitride, or boron carbon nitride passivation layer, etch stop layer, and/or cap layer
US6607675B1 (en) 2000-08-29 2003-08-19 Applied Materials Inc. Method of etching carbon-containing silicon oxide films
US6455411B1 (en) * 2000-09-11 2002-09-24 Texas Instruments Incorporated Defect and etch rate control in trench etch for dual damascene patterning of low-k dielectrics
US7311852B2 (en) * 2001-03-30 2007-12-25 Lam Research Corporation Method of plasma etching low-k dielectric materials
US6878615B2 (en) * 2001-05-24 2005-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method to solve via poisoning for porous low-k dielectric

Also Published As

Publication number Publication date
TW200300980A (en) 2003-06-16
US6905968B2 (en) 2005-06-14
KR20040068582A (ko) 2004-07-31
US20030109143A1 (en) 2003-06-12
CN1618121A (zh) 2005-05-18
WO2003050863A1 (en) 2003-06-19
CN1322560C (zh) 2007-06-20

Similar Documents

Publication Publication Date Title
TWI295820B (en) Process for selectively etching dielectric layers
TWI375268B (en) Selective etching of carbon-doped low-k dielectrics
US6844266B2 (en) Anisotropic etching of organic-containing insulating layers
US6440863B1 (en) Plasma etch method for forming patterned oxygen containing plasma etchable layer
US6919278B2 (en) Method for etching silicon carbide
US6551924B1 (en) Post metalization chem-mech polishing dielectric etch
US6168726B1 (en) Etching an oxidized organo-silane film
TWI352387B (en) Etch methods to form anisotropic features for high
TWI301644B (en) Self-aligned contact etch with high sensitivity to nitride shoulder
TWI495010B (zh) 用於互連圖案化之硬遮罩移除時之側壁及倒角保護
JP2012023384A (ja) SiCの除去法
WO2001086701A2 (en) Method of high selectivity sac etching
US7365021B2 (en) Methods of fabricating a semiconductor device using an organic compound and fluoride-based buffered solution
TWI378534B (en) Method of manufacturing semiconductor device
US8017493B2 (en) Method of planarizing a semiconductor device
US7115518B2 (en) Method for fabricating semiconductor device comprising forming holes in a multi-layer insulating film
JP2004165434A (ja) 半導体装置の製造方法
US20070128553A1 (en) Method for forming feature definitions
US6844267B1 (en) Anisotropic etching of organic-containing insulating layers
KR20040101008A (ko) 반도체 장치의 제조 방법
JPH10116904A (ja) 半導体装置の製造方法
CN104733373A (zh) 一种半导体器件的制造方法
JP2005005697A (ja) 半導体装置の製造方法
JP2005328060A (ja) 半導体装置の製造方法
US7538037B2 (en) Method for manufacturing semiconductor device

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees