JP2012023384A - SiCの除去法 - Google Patents

SiCの除去法 Download PDF

Info

Publication number
JP2012023384A
JP2012023384A JP2011195881A JP2011195881A JP2012023384A JP 2012023384 A JP2012023384 A JP 2012023384A JP 2011195881 A JP2011195881 A JP 2011195881A JP 2011195881 A JP2011195881 A JP 2011195881A JP 2012023384 A JP2012023384 A JP 2012023384A
Authority
JP
Japan
Prior art keywords
layer
silicon
carbide
silicon oxide
hydrogenated
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2011195881A
Other languages
English (en)
Inventor
Van Heeremeerusufu Serge
セルジェ・ファンヘーレメールスフ
Mainen Herman
ヘルマン・メイネン
David Dembowski Philip
フィリップ・デイビッド・デムボウスキー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Interuniversitair Microelektronica Centrum vzw IMEC
Original Assignee
Interuniversitair Microelektronica Centrum vzw IMEC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Interuniversitair Microelektronica Centrum vzw IMEC filed Critical Interuniversitair Microelektronica Centrum vzw IMEC
Publication of JP2012023384A publication Critical patent/JP2012023384A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/931Silicon carbide semiconductor

Abstract

【課題】基板に形成されたカーバイドシリコン層の少なくとも露出された部分を除去するための方法を提供する。
【解決手段】カーバイドシリコン層45を酸素含有プラズマに曝すことにより、前記カーバイドシリコン層45の少なくとも露出された部分を酸化シリコン層に変換し、そして基板から前記酸化シリコン層を除去するステップからなる。
【選択図】図4

Description

この発明は、シリコンカーバイド層、特に基板の特定のアモルファスSiCの除去法に関する。
この発明はまた、前記方法を実行する集積回路に関する。
SiC(シリコンカーバイド)、特にアモルファスSiCは化学的に極めて安定した要素として公知である。半導体処理にて、その後の1組の基本ステップとして定義される、多くのモジュールは、行われる動作で実質的に影響されずに留まる薄い層、いわゆる半不活性層の存在を必要とする。好ましくは、このような半不活性層は乾燥エッチングの間に硬質のマスク層として、または、湿潤/乾燥エッチングの間にエッチング停止層として、または化学的−機械的研磨プロセス(CMP)に対して、または多くの他の応用に対して停止層として用いることができる。例えば、これらの半不活性層は、拡散バリア層としても使用できる。その高い化学的な安定性のために、酸化シリコンおよびチッカシリコンのような他の材料、特に、選択的に動作が実行されるこれらの適用に対して利点を持つ半不活性層としてのSiC層の使用は、連続した実行のために高い重要性を持つ。加えて、SiC層は、バリア特性の面で優れている。
US-A-5,818,071のドキュメントは、およそ2.5マイクロオーム・センチメートル以下の抵抗率を有する、電体層および高導電金属材料との間の拡散バリア層としてのシリコンカーバイド層を採用した相互接続構造に関する。US-A-5,818,071のドキュメントは、予め金属化した誘電体構造、特に珪素層および誘電体の間において、エッチング停止層および拡散層としてシリコンカーバイド層の使用は述べていない。US-A-5,818,071のドキュメントは、下層のシリコンカーバイド層をいかにして選択的にパターン化したり除去するかは述べていない。
シリコンカーバイド層は、半導体処理および特に相互接続の構造および誘電体構造に使用することはたいそう魅力的であるが、その化学的に高い安定性が最大の欠点となり得る。SiC基板は、除去すること、特に選択的に除去することが(全く不可能ででないとしても)極めて困難であるという事実で問題がある。このような除去が要求される処理フローのいくつかの例は、浅い溝の絶縁アプローチを用いる電界領域の決定のためのCMP動作における停止用層およびコンタクトおよび道の決定のためのエッチング停止層としての使用がある。プロセスのフローは、低いコンタクト/道の抵抗を得るために、コンタクト/道の底にてエッチングを停止層の選択的な除去を要求する。別の例もまた、CMPアプリケーションにおける停止層としてのSiCの使用に関する。CMP後のクリーニングは、一般に粒子/残滓のエッチングに頼る。これは、極めて制御された方法で、粒子および/又は残滓の除去が必要となる表面が等方性でエッチングされることを要求する。しかしながら、SiCの高い化学的安定性のために、SiC層トップの粒子および/または残滓はエッチングされず、それゆえ、クリーニングはむしろ困難になる。
EP-A-0845803の文献は、結晶性SiCフイルムの保護表面の除去を開示している。最初にトップ層に欠陥が導入され、その後、典型的に1100℃にて熱酸化処理により、典型的なそのトップ層は酸化シリコン層に変換される。アクティブなデバイスは既に限定されており、それゆえ、限られた熱処理、つまり600℃またはそれ以下のみが適用されるので、この処理は相互接続構造および予め金属化した誘電体(PMD)構造での使用に適さない。更には、相互接続構造におけるほとんどの金属特性は典型的におよそ400℃を超える温度に対応しないが、PMD構造におけるシリカ層もまた650℃を超える温度に対応しない。
本発明は、層のベースとなる2酸化シリコンまたは酸化シリコン少なくともSiC層の大部分を変換することにより、露出したSiC層を除去することを目的とする。特に、この変換は、酸素含有プラズマ内で、低い温度、好ましくは600℃またはそれ以下で実行される。この後、前記SiC層の変換された部分は除去される。
本発明の別の目的は、露出したSiC層の選択的な除去のための先に述べた方法を用いることにより、エッチング停止層としてのSiCおよび/または拡散バリア層を用いるPMD構造を含む相互接続構造を組立てるための方法を提供する。
本発明の更に別の目的は、相互接続構造、特に、SiC層が導電性層と囲む誘電体層の間のエッチング停止層として用いることのできるPMD構造を提供する。
この発明は、広範囲のアプリケーションに対してこの化学的に高い安定材料の使用を可能にする、露出したSiC層の選択的な除去についてである。少なくとも本明細書の目的のために、カーバイド・シリコン層は、例えば少なくともシリコンおよびカーボンから構成される絶縁層であるが、それに限定されず、SiC、または少なくともSi、CおよびO、例えばシリコン酸化カーバイド、または少なくともSi、CおよびN、例えばチッカシリコン酸化カーバイド(SiNOC)、または少なくともSi、CおよびH、例えばアモルファス水素化シリコンカーバイド(SiC:H)、または少なくともSi、C、NおよびH、例えば水素化SiNC、または少なくともSi、O、C、NおよびH,例えば水素化SiNOCである。この開示の目的のために、酸化シリコン層は、少なくともSiおよひO、例えば(二)酸化シリコンまたは、Si、Oおよびより少ない割合のCおよびより少ない割合のNおよび/または、より少ない割合のH,でCの割合および/又はNおよび/またはNおよび/またはHがOの割合よりも少ない、例えば(二)酸化シリコンで構成される。
この発明の態様では、基板に形成されたカーバイドシリコン層の少なくとも露出された部分を除去するための方法が開示され、
前記カーバイドシリコン層を酸素含有プラズマに曝すことにより、前記カーバイドシリコン層の前記少なくとも露出された部分を酸化シリコン層に変換し、
前記基板から前記酸化シリコン層を除去するステップからなる。
前記露出された部分は、それに限定されないが、開口内の露出された部分または少なくとも層の露出された部分である。
この方法は、元の位置へ適用できる。その基板は、それに限定されないが、部分的に処理されるか、元のウエハーまたは、SiまたはGa、As、またはGeのような半導体材料のスライスまたは、ガラスのスライスのような絶縁材料または導電性材料である。前記基板は、パターン化された導電層を含むことができる。特に、前記基板が部分的に処理されたウエハーまたはスライスの場合、少なくとも、アクティブおよび/又はパッシィブのデバイは、既に形成されており、および/又は、少なくともこれらのデバイスを相互接続する構造が形成される。
この開示の目的のために、反応性イオンエッチング(RIE)プラズマまたは化学蒸着(CVD)プラズマまたはプラズマの残光のような通常のプラズマとしてプラズマが理解される。前記カーバイド・シリコン層を酸素含有プラズマへ曝すことにより、種を含む酸素にエネルギーが与えられ、その結果、カーバイド・シリコンは少なくとも部分的に酸化シリコンに変換される。このエネルギーは、例えば熱エネルギーまたは例えばイオンのフォーマット化による運動エネルギーである。
この発明の1実施例では、この発明の第1の実施態様で述べたような方法が開示されており、前記変換ステップおよび前記除去ステップは、前記カーバイド・シリコン層が実質的に除去されるまで実質的に数回繰返される。
この発明の実施例では、カーバイド・シリコン層から酸化シリコン層への変換は、カーバイド・シリコン層を酸素含有反応性イオンエッチング(RIE)プラズマに曝すことにより実行され得る。特に、カーバイド・シリコン層を含む基板は、プラズマエッチングツールの与圧されたチャンバー内に導入される。その圧力は、3Torr以下で、好ましくは1mTorrと1Torr間である。前記チャンバー内の温度は、300℃またはそれ以下、または好ましくは100℃以下である。この温度は、−20℃から100℃の範囲内である。好ましくは、前記温度は、およそ室温である。RIEのプラズマのエネルギーは、イオンの種が形成されるように、1eVから500eVの範囲である。
別の実施例では、カーバイド・シリコン層の一部から酸化シリコン層への変換は、そのカーバイド・シリコン層を酸素含有CVDプラズマへ曝すことにより実行できる。カーバイド・シリコン層を含む基板は、化学的蒸着ツールの与圧チャンバー内に導入される。その気圧は、それに限定されないが、5Torrより大きく、例えば10Torrである。その温度は、250℃から550℃の範囲内であり、好ましくは350℃から500℃の範囲内である。
この発明の更に別の発明では、カーバイド・シリコン層の一部から酸化シリコン層への変換は、そのカーバイド・シリコン層を酸素含有プラズマの残光へ曝すことにより実行できる。特に、カーバイド・シリコン層を含む基板は、プラズマエッチングツールの与圧されたチャンバー内に導入される。前記プラズマの残光は、それに限定されないが、0.02Torrから3Torr間であり、好ましくは0.75Torrと1.25Torr間であり、例えば、0.85Torrまたは1.1Torrである。物質を含む酸素の流れは、1000Sccm以下であり、好ましくはこれに限定されないが、およそ4000Sccmである。
前記チャンバー内の温度は好ましくは600℃またはそれ以下である。この温度は、100から600℃の範囲内であり、また、200から400℃の範囲内であり、又、200から300℃の範囲内である。この温度は好ましくは、これに限定されないが、およそ230℃である。
この発明の別の態様では、部分的に露出された少なくとも一つの導電性層を有する、基板上の集積回路が開示されており、
半導体層上に配置された導電性層と、
前記導電層の少なくとも一部を露出させるために、貫通している少なくとも一つの開口を有する少なくとも一つの誘電体層と、
少なくとも前記導電性層上に形成され、かつ、前記誘電体層と前記導電層との間に、前記導電性層の露出された部分に接近して位置するカーバイド・シリコン層とを含む。
前記導電性層は、純金属か、Al、Cu、W、Pt、Ag、Ni、Au、Co、Ti、Taからなるグループ金属の合金またはSi含有または他の半導体を含む層、例えばこれに限定されないが、珪素、ポリシリコンまたはシリコン層である。前記半導体層は、シリコン含有層、GaAs層、Ge層またはSiGe層である。前記誘電体層は、好ましくは、およそ4以下の誘電率を持つ。
この発明の実施例では、少なくとも一つ露出されたシリコン含有層、特にPMD構造を有する表面を持つ基板上に相互接続構造を含む集積回路が開示される。この相互接続構造は更に、
前記露出されたシリコン含有層上の順応するシリコン層と、
少なくとも一つの開口を有する前記基板の前記表面上の少なくとも一つの誘電体層とを備え、
前記開口は、前記誘電体層を貫通し、これにより、前記珪素層の開口を決定し、
そして、少なくとも前記珪素層上に形成され、かつ、前記誘電体層と前記珪素層との間に、前記珪素層の露出された部分に接近して位置するカーバイド・シリコン層とを含む。
珪素層は、シリコンおよびCo、Ti、Ta、Co、Mb、Ni、Pt、およびWからなるグループの少なくとも一つを含む。
本発明の更に別の態様では、半導体層上の少なくとも一つの導電性層を有する表面を持つ基板上に集積回路を形成するための方法が開示される。この導電性層は、純金属か、Al、Cu、W、Pt、Ag、Ni、Au、Co、Ti、Taからなるグループ金属の合金またはSi含有または他の半導体を含む層、例えばこれに限定されないが、珪素、ポリシリコンまたはシリコン層である。
この方法は、
少なくとも前記導電性層上にカーバイド・シリコン層を形成し、
前記表面上と前記カーバイド・シリコン層上に少なくとも一つの誘電体層を配置し、
前記誘電体層内にこの誘電体を貫通する少なくとも一つの開口を形成し、これにより、前記導電性層上に形成された前記カーバイド・シリコン層の一部を露出させ、
前記開口内の前記カーバイド・シリコン層の一部を酸素含有プラズマに曝すことにより、前記開口内の前記カーバイド・シリコン層の前記露出された一部を酸化シリコン層に変換し、
前記開口内の前記酸化シリコン層を除去するステップSを含む。
前記変換ステップおよび除去ステップは、前記導電性層の少なくとも一部が露出されるまで、その後数回繰返される。
添付した図面を参照して、この発明の詳細はこの後に詳しく述べる。しかし、当業者なれば、いくつかの他の等価な実施例やこの発明を実行する他の方法を考えることは明白であり、この発明の趣旨および範囲は添付した請求項によってのみ限定される。
少なくともこの開示目的のために、カーバイド・シリコン層は、少なくともSiおよびC、例えばそれに限定されないが、SiCまたは少なくともSi、C、例えばシリコンカーバイドまたは少なくともSi、N、OおよびC、例えばチッカシリコン酸化カーバイド(SiNOC)、または、少なくともSi、CおよびH、例えばアモルファス水素化シリコンカーバイド(SiC:H)、または少なくとも、Si、C、NおよびH、例えば水素化SiNC、または少なくともSi、O、C、NおよびH、例えば水素化SiNOCからなる絶縁層である。この開示目的のために、酸化シリコン層は、少なくともSiおよびO、例えば、(二)酸化シリコンまたは、Si、Oおよびより少ない割合のCおよび/又はよりむ少ない割合のNおよび/又はより少ない割合のH、例えば(二)酸化シリコンで、Cおよび/又はNおよび/又はHの割合はOの割合よりも少なく、これらで構成された層からなる。前記酸化シリコン層は、酸化シリコン、Cの割合がより少ない酸化シリコン、NおよびCの割合がより少ない酸化シリコン、Nの割合がより少ない酸化シリコン、水素化酸化シリコン、Cの割合がより少ない水素化酸化シリコン、NおよびCの割合がより少ない水素化酸化シリコン、およびNの割合がより少ない水素化酸化シリコンからなるグループの少なくとも一つを含む。
この発明の実施例では、カーバイド・シリコン層の少なくとも部分的に露出された部分を酸化シリコンに変換することにより、元の前記カーバイド・シリコン層の露出部分を除去することを目的としている。開示した方法は、カーバイドシリコン層を酸素含有プラズマへ曝すことにより、前記カーバイド・シリコン層の少なくとも露出された部分を酸化シリコンに変換し、そして前期基盤から酸化シリコンを除去するステップを含む。前記変換ステップおよび前記除去ステップは、前記シリコンカーバイド層が実質的に除去されるまで、その後数回繰返される。
前記露出された部分は、限定されないが、開口内で露出した部分か、層の少なくとも露出された部分である。
この方法は、元の位置に適用できる。基板は、限定されないが、部分的に処理されるか、未処理のウエハーまたは、SiまたはGa、AsまたはGeのような半導体材料のスライス、または、例えばガラスのスライスのような絶縁材料または導電性材料である。前記基板は、パターン化された導電性層を含むことができる。特に、前記基板が部分的に処理されたウエハーまたはスライスの場合、アクティブおよび/又はパッシィブなデバイスの少なくとも一部は、既に形成されているか、および/又は、これらのデバイスを相互接続する構造の少なくとも一部を形成できる。
好ましくはこの変換は、酸素含有プラズマ内にて、低温で実行され、好ましくは600℃またはそれ以下である。
この開示の目的のために、プラズマは、反応性イオンエッチング(RIE)プラズマまたは化学蒸着(CVD)プラズマまたはプラズマの残光のような通常のプラズマであると理解すべきである。前記カーバイド・シリコン層を酸素含有プラズマへ曝すことにより、酸素含有の種にエネルギーが与えられ、その結果、カーバイド・シリコンは部分的に酸化シリコンに変換される。このエネルギーは熱エネルギーまたはイオンのフォーマットによる運動エネルギーである。
この発明の実施例では、カーバイド・シリコン層から酸化シリコン層への変換は、カーバイド・シリコン層を酸素含有反応性イオンエッチング(RIE)プラズマに曝すことにより実行され得る。特に、カーバイド・シリコン層を含む基板は、プラズマエッチングツールの与圧されたチャンバー内に導入される。その圧力は、3Torr以下で、好ましくは1mTorrと1Torr間である。前記チャンバー内の温度は、300℃またはそれ以下、または好ましくは100℃以下である。この温度は、−20℃から100℃の範囲内である。好ましくは、前記温度は、およそ室温である。RIEのプラズマのエネルギーは、イオンの種が形成されるように、1eVから500eVの範囲である。
別の実施例では、カーバイド・シリコン層の一部から酸化シリコン層への変換は、そのカーバイド・シリコン層を酸素含有CVDプラズマへ曝すことにより実行できる。カーバイド・シリコン層を含む基板は、化学的蒸着ツールの与圧チャンバー内に導入される。その気圧は、それに限定されないが、5Torrより大きく、例えば10Torrである。その温度は、250℃から550℃の範囲内であり、好ましくは350℃から500℃の範囲内である。
この発明の更に別の発明では、カーバイド・シリコン層の一部から酸化シリコン層への変換は、そのカーバイド・シリコン層を酸素含有プラズマの残光へ曝すことにより実行できる。特に、カーバイド・シリコン層を含む基板は、プラズマエッチングツールの与圧されたチャンバー内に導入される。前記プラズマの残光は、それに限定されないが、0.02Torrから3Torr間であり、好ましくは0.75Torrと1.25Torr間であり、例えば、0.85Torrまたは1.1Torrである。物質を含む酸素の流れは、1000Sccm以下であり、好ましくはこれに限定されないが、およそ4000Sccmである。
前記チャンバー内の温度は好ましくは600℃またはそれ以下である。この温度は、100から600℃の範囲内であり、また、200から400℃の範囲内であり、又、200から300℃の範囲内である。この温度は好ましくは、これに限定されないが、およそ230℃である。
前記除去ステップは酸化シリコン層を湿潤または乾式エッチングに曝すことにより実行される。前記湿潤エッチングは、限定されないが、弱いHF、弱いBHFまたはHFまたはBHFを含む湿潤エッチングである。このような乾燥エッチングは、流れのソースを備える化学エッチングである。
好ましい実施例では、この変換は、低温で、好ましくは600℃またはそれ以下で酸素含有プラズマ、特にプラズマの残光で実行される。カーバイド・シリコン層は、酸素含有プラズマの残光に600℃またはそれ以下の温度で曝される。特に200℃から400℃の範囲内が採用される。この温度範囲では、カーバイド・シリコン層の露出部分の変換は、酸素原子または酸素基またはイオン性酸素またはカーバイド・シリコン層の酸化させる種を含む他の酸素の相互作用を通じて得ることができる。これらの反応性の種の発生は、適したガス混合物内でプラズマを発生することにより得ることができる。例として、カーバイド・シリコン層の酸化、特に、O2放電の残光で得られる、アモルファス水素化シリコンカーバイドは以下述べる。例は例1(図1および図2を参照)として参照される。酸素含有プラズマは、少なくとも酸素を含むプラズマである。酸素含有プラズマはそれに限定されないが、少なくとも酸素、およびN2、CxFy、SF2または他のハロゲン基からなるグループの一つを含む。
例1:アモルファス水素化シリコンカーバイドの残光酸化
カーバイド・シリコン層(1)(2)は、シリコンウエハー上に強められたプラズマの堆積を通じて形成された。この後、これらのウエハー上のカーバイド・シリコン層は、1.1Torrの圧力下で異なる時間の間、O2/N2プラズマ残光に供せられた。O2の流れは、4000sccmで、N2の流れは200sccmである。ウエハー温度はおよそ230℃に維持された。異なるサンプルが異なる処理時間、2〜8分の範囲内に対して供せられた。酸化後、サンプルは、5分間、2%のHF混合液内でエッチングされた(4)。フイルム(3)の配置後に、酸化後におよび希釈したHF溶液内にて湿潤エッチング(5)の後に長円性測定が実行された。
これらの測定からつぎの観察が得られた。
a.カーバイド・シリコンの、中くらいの温度範囲、つまり100℃から600℃の範囲の酸素含有環境への曝しにより、カーバイド・シリコンが異なる光性質を示す物質に変換された。
b.楕円パラメータの変化は曝す時間に依存する。
c.変換された物質は2%のHF溶液で除去できる。
d.除去された物質の量、つまり変換された層の厚さは、曝した時間に依存かる。より多くの物質の除去にはより長いプラズマへの曝し時間が必要。
e.変換された層の除去後、未処理のカーバイド・シリコン層に対するような同じ光性質が見出された。
f.2%のHF溶液に共した時、カーバイド・シリコン層は実質的に影響されずに留まる(図2)。図2の21で示すように、カーバイド・シリコン層の変換された部分は、最初の20秒内で除去されたが、エッチング処理は、カーバイド・シリコン層の未変換部分には影響を与えないことが明白に示されている。
この例は、カーバイド・シリコン層の酸化シリコン層ーの変換の可能性を明白に示している。曝した時間に依存して、カーバイド・シリコン層の露出した層は、部分的にまたは完全に変換できた。この変換された層は、例えばHFベースの溶液で除去可能である。この変換ステップおよび除去ステップは、前記カーバイド・シリコン層が実質的に除去されるまで継続して数回繰返された。変換が行われた場合、エッチング後、元のカーバイド・シリコン層の下の層が露出された。後者の場合、除去処理は、少なくとも前記下の層に対して酸化シリコン層が選択的に除去できるように、選択される。
この発明の別の実施例では、カーバイド・シリコン層の少なくとも一部が酸素含有RIEプラズマに曝される。プラズマの残光に替えてRIEプラズマを用いると、低い温度、例えば室温で変換を行える可能性を含むいくつかの利点が得られる。更に、低温の利点に加え、変換は、RIEプラズマ内で異方性的に実行され、これは、例えばダマスカスまたは2重のダマスカスの金属化スキームのような相互接続および誘電体構造を組み立てるための変換に特に大きな利点がある。
これとは別に、カーバイド・シリコンを酸素含有プラズマへ曝すかわりに、カーバイド・シリコンをチッカシリコンに変換するために、カーバイド・シリコンを窒素含有プラズマへ曝すこともできる。少なくともこの開示の目的のために、チッカシリコン層は、少なくともSiおよびN、例えばチッカシリコンまたはSi、NおよびCの割合がより少ないものからなる層である。このチッカの場合、変換されたカーバイド・シリコン層は、例えば燐酸を用いて除去できる。そうすることにより、得られたSi34は(二)酸化シリコンおよびシリコンの双方に対して選択的に除去できる。この方法は、例えば、ウエハー表面にある(ニ)酸化シリコンに対してカーバイド・シリコン層が選択的に除去される、集積化のスキームに使用できる。
この発明の更に別の実施例では、カーバイドシリコン層がエッチング停止層として、かつ、導電性層と囲っている誘電体との間の拡散バリア層として使用される、集積回路が開示されている。この導電性層は、半導体層上に配置される。その導電性層(43)は、純金属か、Al、Cu、W、Pt、Ag、Ni、Au、Co、Ti、Taからなるグループの合金または、シリコンを含む層または他の半導体を含む層である。この導電性層は、バリア層、導電性または非導電性で金属層のスタックであってもよい。
この発明の1実施例では、少なくとも一つのシリコン含有層を有する表面を持つ基板上の相互接続構造、特に、PMD構造が開示されており、カーバイドシリコン層がエッチング停止層として、およびシリカイド層と囲む誘電体層との間の拡散バリア層として使用される。
PMD構造(図3を参照)における、および特にコンタクトホール、つまり(多層)誘電体内でシリカイド層へ延在する開口の決定における主たる問題は、選択的にシリカイド材料に向けることである。シリカイド層は、例えばシリコン基板またはポリシリコンまたはアモルファスシリコン層のような近接するシリコン含有層に対して低い抵抗率および低い接触抵抗を持つ薄い層である。
シリカイド層は、シリコンおよびCo、Ti、Ta、Co、Mb、Ni、PtおよびWからなるグループの少なくとも一つからなる化合物である。シリカイド層は、それに限定されないが、CoxSiy(xおよびyは正数)で決定されるシリカイド・コバルト層、たとえばCoSi2、TixSiy(xおよびyは正数)で決定されるシリコン・チタニウム層、例えばTiSi2である。例えばシリカイドにおけるように、一般的なスキームでは、コンタクトホールの形成の間、エッチング停止層として使用される。多くのスキームは、2重レベルのコンタクトまたは多数レベルのコンタクトを用い、シリカイドに向かうコンタクトのエッチングプロセスの選択により高い要求が置かれる。コンタクトのエッチングプロセスは、エッチング速度に依存する側面比を示し(コンタクトサイズの減少につれてエッチング速度が低下)、そして、これにより、側面比は選択性に依存する。浅いおよび深いコンタクトの双方に対する選択性の制御は、極めて臨界的である。加えて、現在では、この選択性を更に強調するに次の傾向が観察されている。
a)CMPの導入は、一様でない誘電体を引き起こし、そのため過度のエッチング時間を要求する。
b)シリカイドの厚さの減少が更に選択性を増すことを要求する。
c)浅い接続部の導入は、影響の低下に対してより敏感で、最大の許容できる厚さへの厳しい要求を設定する。
これらの傾向のすべてが、シリカイドに対してよりよい選択性を要求し、実際に、いくつかの技術に対して、選択性は限定するファクターとなる。
選択性の問題点をに向ける方法は、いわゆるエッチング停止層の使用である。このような薄いエッチング停止層は、金属化された誘電体層スタックの配置に先立ち、少なくともシリカイドコンタクト層上に配置される。エッチング停止層として使用される典型的な材料は、チッカシリコンである。コンタクトのエッチングプロセスは、このチッカシリコン層上で又はその中で停止するように設定される。その後、薄いチッカ層の制御された除去のために別のエッチングステップが導入される。このアプローチの利点は、シリカイドおよび/またはシリコンまたは他の基板材料のエッチングプラズマへの限定された曝しで、基板材料の損失を基本的によりよく制御できることである。エッチング停止層の導入は、エッチングされる誘電体の構造特徴および、マルチレベルのスキームの影響、特に浅く、そして深いコンタクトホールの同時の決定に関するエッチング問題を克服できる。コンタクトエッチングの設定およびチッカ物の除去プロセスはしかしながら複雑である。使用した誘電体に依存して、チッカ物への誘電体のエッチングプロセスの選択は、特に、(二)酸化シリコンが誘電体として使用された時、あまりにも限定される。更に、シリカイドへの選択的なチッカ物の除去は、更により大きな問題となる。
選択性の問題点に加え、エッチング停止層はまた、非拡散の不純物、例えば金属粒子を減少させるために、今や他に良いバリア層を持たなくてはならない。エッチング停止層としてチッカシリコンが使用された場合、この層は、より良いバリア特性に対する注意深い利用が必要であり、非拡散の不純物の条件での改善は、より困難なエッチングとなり、それゆえ、選択性の問題点に負の影響を及ぼす。
この発明のこの実施例によれば、カーバイドシリコン層は、優れたバリア特性を有するエッチング停止層として導入される。その本来の高い化学的安定性ゆえに、シリカイドに向かって選択的に除去するのはほとんど不可能である。しかしながら、この変換方法によれば、十分に低い温度で酸化シリコンに変換され、それは次にシリカイドに対して容易に除去される。典型的な酸化エッチングプロセスに対し(CF4/CHF3)、SiCに対する酸化エッチングの選択性は、チッカ物に対するものよりも選択性がよい。少ない標準の化学反応に対し、同じ反応が観察された。加えて、シリカイドに対する酸化エッチングの選択性は、シリカイドに対するチッカ物の選択的なエッチングより良い。
図3では、少なくともひとつの露出されたシリコン含有層(32)を有する表面をもつ基板(31)の相互接続構造、特にPMD構造が開示される。露出されたシリコン含有層は、例えばソース、ドレインまたはコレクタ領域、またはポリシリコンまたは、例えばゲート領域またはエミッタまたはベース領域のようなアモルファスシリコン領域のごときシリコン含有基板層(32)である。その基板は、部分的に処理されるか、未処理のウエハーまたはシリコン、またはGaAsまたはGe、またはガラスのスライスのような絶縁材料である。前記基板は、パターン化された誘電体層および/またはパターン化されたアモルファスシリコンまたはポリシリコン層である。特に、基板が部分的に処理されたウエハーかスライスの時、少なくともアクティブおよび/またはパッシィブなデバイスは既に形成されている。
このPMD構造は、上記露出されたシリコン含有層上のシリカイド層(33)と、
前記基板上の少なくともひとつの開口(36)を有する少なくともひとつの誘電体層とを備え、前記開口は前記誘電体内を貫通し、それにより、前記シリカイド層の露出された部分を決定し、
そして、少なくとも前記シリカイド層上に形成され、そして前記誘電体層と前記シリカイド層との間で前記シリカイド層の前記露出された部分に近接して位置するカーバイド・シリコン層とを備える。
誘電体層は、セラミック酸化シリコン、チッカ物または酸化チッカ物の層、ベンゾサイクロブタレーネ、つまり、Cyclotene(商標名)として商業利用できるベンゾサイクロブタン(BCB)、ポリ アリレーネ エテール、つまりFLARE(商標名)ll、アロマティクハイドロカーボン、つまりSILK(商標名)およびポリマテイドからなるグループから選択された、フッソ化または非フッソ化または有機のポリマー層である。このような有機ポリマー層は、元の位置でフッソ化される。同様に、多孔性の誘電体層がたとえば乾燥ゲルとして使用できる。
シリカイドの典型例は、Ti、Ta、Co、Mb、NiおよびPtのような耐熱性金属によるシリカイドである。
図4にて、この発明の更に別の実施例によれば、PMD構造および内部のまたは内部金属構造(IMD)を含む相互接続構造を得るためのプロセスのステップが示される。
a)第1のプロセスステップ(ステップa)として、典型的に50nm厚のカーバイド・シリコン層(45)が基板(41)上に、つまり露出された導電層(42)上に配置される。しかしながら、好ましくは、この絶縁性のカーバイド・シリコン層を覆うようにして配置される。エッチング停止層およびバリア層としても機能するカーバイド・シリコン層は不純物の不拡散を防止する。その導電性層(43)は純金属であるか、Al、Cu、W、Pt、Ag、Ni、Au、Co、Ti、Taからなる金属の合金か、または例えばシリカイド、ポリシリコンまたはシリコン層のような層を含む半導体である。この半導体層は、バリア層、導電性または非導電性および金属層を含むこともできる。この基板は、部分的に処理された、または未処理のウエハーまたは、Si、Ga、As、Geのような半導体材料のスライスまたはSiGeまたは絶縁材料、例えばガラスのスライスまたは導電性材料である。前記基板は、(パターン化された)導電性層を含む。特に、前記基板が部分的に処理されたウエハーまたはスライスの場合、少なくともアクティブなおよび/又はパッシィブなデバイスは、既に形成されており、および/又は少なくともこれらのデバイスを含む構造を形成できる。
b)カーバイド・シリコン層の形成後、少なくともひとつの誘電体層(44)がその上に形成される。そして、少なくともその誘電体層の内部にその誘電体を貫通する孔が形成され(ステップb)、それにより、導電性層上に形成されたカーバイト・シリコン層の一部が露出される。この開口は、好ましくは、マスクとして誘電体層のトップ上のパターン化されたレジスト層を用いる乾式エッチング手法を用いて形成される。カーバイド・シリコン層はエッチング停止層として作用するので、このコンタクトのプロセスに対し利用できる、大きなプロセスの窓が存在する。
c)開口内のカーバイド・シリコン層の露出された部分は、次に、酸素含有プラズマに曝すことにより、少なくとも部分的に元の位置で酸化シリコンに変換される。そして、
d)この後、前記開口(および同時にレジスト)内の酸化シリコン層は、選択的に除去される。ステップcおよびステップdの手順は、下に横たわる導電層が露出されるまで繰返し実行される。
このステップaからステップdの手順に対するいくつかの別の可能なものがある。この発明は、この特定の手順に制限されない。
第1の変形として、カーバイド・シリコンの実行変換(ステップSc)に対して選択できる。この場合、酸化シリコン層が選択的に前記導電性層へ移動されることにより、前記導電性層の部分が露出される。
別の変形として、カーバイド・シリコンの変換(ステップc)に先立ち、誘電体内の開口の少なくとも側壁にバリアを形成して誘電体のスタックを保護することができる。その後のカーバイド・シリコンの変換/除去が非等方性の酸素含有RIE、プラズマへの曝しによって実行される場合、開口の側壁上でカーバイド・シリコン層をバリアとして選択できる。
例2:この発明の方法に基づく別の処理スキーム例
第1のシリコン層は、パターン化された酸化層および、パターン化されたポリシリコン層上のシリコンウエハーおよびTiOに直接に露出されたTiOの導電層を含むシリコンウエハー上に形成される。その後、酸化層が形成され、誘電体のスタックを決定する。レジスト層が形成され、そしてこの誘電体スタック上にパターン化かされる。次に、酸化スタック内に開口を決定するために、コンタクトのエッチングのために、酸化エッチングチャンバー無いにシリコンウエハーが導入される。そのエッチングはシリコン層上で停止する。シリコン層の露出された部分は、低温の酸素含有プラズマの残光を用いて、その位置で酸化シリコンに変換され、一方、同時にレジストが除去される。同じ酸化エッチングチャンバーが使用される。最後に、変換されたSiC、つまり酸化シリコンは、同じ酸化エッチングチャンバー内でTiSiに向けて選択的に除去される。例えばチッカシリコンの替わりにSiCを用いた幾つかの利点は、元の位置での処理、シリカイドの損失が低減、さらに標準的な化学を使用できるのでよい接触抵抗と生産性、および改善されたバリア特性である。SiCはこの処理スキームにおいて、チッカ物に対する別の材料として使用できる。
20nmの層(2)および50nmの層(1)の2つの異なるアモルファス水素化シリコンに対し、本発明の実施例に従って、酸化シリコン層(3)に変換される前に異なる時間間隔で実行された測定を示し、この変換(3)の開始から変換された部分(4)の除去までと、酸化シリコン層(5)の除去後まで行った。 本発明の実施例に従って、HFベースのエッチング解決を用いた時間に対する、部分的に酸化シリコンに変換されるカーバイド・シリコン層の厚さを示す。 本発明の実施例に基づく、金属化された誘電体構造を示す。 本発明の実施例に基づく金属化誘電体を得るためのステップを示す。
31:基板
32:シリコン含有層、
33:シリカイド層
36:開口
42:導電層
43:導電層
44:誘電体層
45:カーバイド・シリコン層

Claims (26)

  1. 基板に形成されたカーバイドシリコン層の少なくとも露出された部分を除去するための方法であり、
    前記カーバイドシリコン層を酸素含有プラズマに曝すことにより、前記カーバイドシリコン層の前記少なくとも露出された部分を酸化シリコン層に変換し、そして
    前記基板から前記酸化シリコン層を除去するステップからなる方法。
  2. 前記変換ステップおよび前記除去ステップは、前記カーバイド・シリコン層が実質上除去されるまで、続けて数回繰返される請求項1は際の方法。
  3. 前記カーバイド・シリコン層は、シリコンカーバイド、酸化シリコン、チッカシリコンカーバイド、チッカシリコン酸化カーバイド、水素化シリコンカーバイド、水素化シリコン酸化カーバイド、水素化チッカシリコンカーバイドおよび水素化チッカシリコン酸化カーバイドからなるグループの少なくとも一つを含む請求項1または2に記載の方法。
  4. 前記酸化シリコン層は、酸化シリコン、Cの割合がより少ない酸化シリコン、NおよびCの割合がより少ない酸化シリコン、Nの割合がより少ない酸化シリコン、Cの割合がより少ない水素化酸化シリコン、NおよびCの割合がより少ない水素化酸化シリコンおよびNの割合がより少ない水素化酸化シリコンからなるグループの少なくとも一つを含む請求項1〜3のいずれかに記載の方法。
  5. 前記酸素含有プラズマは、酸素含有反応性イオンエッチングプラズマである請求項1〜4のいずれかに記載の方法。
  6. 前記変換ステップは、−20℃から100℃の範囲内で実行される請求項5に記載の方法。
  7. 前記変換ステップは、室温で実行される請求項5に記載の方法。
  8. 前記酸素含有プラズマは、酸素含有化学蒸着プラズマである請求項1〜7のいずれかに記載の方法。
  9. 前記変換ステップは、350℃から500℃の範囲内で実行される請求項8に記載の方法。
  10. 前記酸素含有プラズマは、酸素含有プラズマの残光である請求項1〜9のいずれかに記載の方法。
  11. 前記変換ステップは、200℃から400℃の範囲内で実行される請求項10に記載の方法。
  12. 前記基板から酸化シリコン層を除去するステップは、基板への、乾式エッチングに基づくフッソ、湿潤エッチングに基づくHF、湿潤エッチングに基づくBHFおよび、湿潤エッチングに基づくHF/BHFからなるグループの一つを適用することにより実行される請求項1〜11のいずれかに記載の方法。
  13. 半導体層上の少なくとも一つの導電性層を有する表面を持つ基板上に集積回路を形成するための方法であり、
    少なくとも前記導電性層上にカーバイド・シリコン層を形成し、
    前記カーバイド・シリコン層上に少なくとも一つの誘電体層を配置し、
    前記導電性層上に形成された前記カーバイド・シリコン層の一部を露出させるために、前記誘電体層内にこの誘電体を貫通する少なくとも一つの開口を形成し、
    前記開口内の前記カーバイド・シリコン層の一部を酸素含有プラズマに曝すことにより、前記開口内の前記カーバイド・シリコン層の前記露出された一部を酸化シリコン層に変換し、
    前記開口内の前記酸化シリコン層を除去するステップSを含む。
  14. 前記導電層はシリカイド層である請求項13に記載の方法。
  15. 前記シリカイド層は、シリコン、およびCo、Ti、Ta、Co、Mb、Ni、PtおよびWを含むグループの少なくとも一つからなる化合物である請求項13に記載の方法。
  16. 前記導電層は、ポリシリコン層およびアモルファスシリコン層からなるグループの一つである請求項13に記載の方法。
  17. 前記変換ステップおよび前記除去ステップは、前記導電層の少なくとも一部が露出されるまで、引き続き数回繰返される請求項13〜16のいずれかに記載の方法。
  18. 前記カーボン・シリコン層は、シリコンカーバイド、シリコン酸化カーバイド、チッカシリコンカーバイド、チッカシリコン酸化カーバイド、水素化シリコンカーバイド、水素化シリコン酸化カーバイド、水素化チッカシリコンカーバイドおよび水素化チッカシリコン酸化カーバイドからなるグループの少なくとも一つを含む請求項13〜17のいずれかに記載の方法。
  19. 前記酸化シリコン層は、シリコン酸化物、Cの割合がより少ないシリコン酸化物、NおよびCの割合がより少ないシリコン酸化物、Nの割合がより少ない酸化シリコン、水素化シリコン酸化物、Cの割合がより少ない水素化シリコン酸化物、NおよびCの割合がより少ない水素化シリコン酸化物、およびNの割合がより少ない水素化シリコン酸化物からなるグループの少なくとも一つを含む請求項13〜18のいずれかに記載の方法。
  20. 部分的に露出された少なくとも一つの導電性層を有する、基板上の集積回路であって、
    半導体層上に配置された導電性層と、
    前記導電層の少なくとも一部を露出させるために、貫通している少なくとも一つの開口を有する少なくとも一つの誘電体層と、
    少なくとも前記導電性層上に形成され、かつ、前記誘電体層と前記導電層との間に、前記導電性層の露出された部分に接近して位置するカーバイド・シリコン層とを含む集積回路。
  21. 前記導電層はシリカイド層である請求項20に記載の集積回路。
  22. 前記シリカイドは、シリコンおよびCo、Ti、Ta、Co、Mb、Ni、PtおよびWからなるグループの少なくとも一つからなる化合物であるむ請求項21に記載の集積回路。
  23. 前記導電層は、ポリシリコン層およびアモルファスシリコン層からなるグループの一つである請求項20に記載の集積回路。
  24. 前記半導体層は、シリコン層、GaAs層、Ge層およびSiGe層からなるグループの一つである請求項20〜23のいずれかに記載の集積回路。
  25. 前記カーバイドシリコン層は、シリコンカーバイド、シリコン酸化カーバイド、チッカシリコンカーバイド、チッカシリコン酸化カーバイド、水素化シリコンカーバイド、水素化シリコン酸化カーバイド、水素化チッカシリコンカーバイドおよび水素化チッカシリコン酸化カーバイドからなるグループの少なくとも一つを含む請求項20〜24のいずれかに記載の集積回路。
  26. 前記酸化シリコン層は、酸化シリコン、Cの割合がより少ない酸化シリコン、NおよびCの割合がより少ない酸化シリコン、Nの割合がより少ない酸化シリコン、Cの割合がより少ない水素化酸化シリコン、NおよびCの割合がより少ない水素化酸化シリコンおよびNの割合がより少ない水素化酸化シリコンからなるグループの少なくとも一つを含む請求項20〜25のいずれかに記載の集積回路。
JP2011195881A 1999-05-03 2011-09-08 SiCの除去法 Pending JP2012023384A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13228499P 1999-05-03 1999-05-03
US60/132,284 1999-05-03

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2000616055A Division JP2002543610A (ja) 1999-05-03 2000-04-28 SiCの除去法

Publications (1)

Publication Number Publication Date
JP2012023384A true JP2012023384A (ja) 2012-02-02

Family

ID=22453301

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2000616055A Pending JP2002543610A (ja) 1999-05-03 2000-04-28 SiCの除去法
JP2011195881A Pending JP2012023384A (ja) 1999-05-03 2011-09-08 SiCの除去法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2000616055A Pending JP2002543610A (ja) 1999-05-03 2000-04-28 SiCの除去法

Country Status (7)

Country Link
US (2) US6599814B1 (ja)
EP (1) EP1186009B1 (ja)
JP (2) JP2002543610A (ja)
KR (1) KR20020028047A (ja)
AU (1) AU4277700A (ja)
TW (1) TW475206B (ja)
WO (1) WO2000067304A1 (ja)

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050099078A1 (en) * 1999-05-03 2005-05-12 Serge Vanhaelemeersch Method for removal of SiC
JP4174610B2 (ja) * 1999-08-27 2008-11-05 正隆 村原 エッチング方法
EP1178527A3 (en) * 2000-08-04 2006-09-27 Applied Materials, Inc. Method for removing silicon carbide from a substrate surface after oxidation
JP4698813B2 (ja) * 2000-10-19 2011-06-08 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP2003124189A (ja) * 2001-10-10 2003-04-25 Fujitsu Ltd 半導体装置の製造方法
US6656811B2 (en) * 2001-12-21 2003-12-02 Texas Instruments Incorporated Carbide emitter mask etch stop
US6797628B2 (en) * 2002-01-16 2004-09-28 Micron Technology, Inc. Methods of forming integrated circuitry, semiconductor processing methods, and processing method of forming MRAM circuitry
US6833300B2 (en) * 2003-01-24 2004-12-21 Texas Instruments Incorporated Method of forming integrated circuit contacts
US6962835B2 (en) * 2003-02-07 2005-11-08 Ziptronix, Inc. Method for room temperature metal direct bonding
EP1521301A1 (en) * 2003-09-30 2005-04-06 Interuniversitaire Microelectronica Centrum vzw ( IMEC) Method of formation of airgaps around interconnecting line
EP1608013B1 (en) * 2003-09-30 2013-04-24 Imec Method of formation of airgaps around interconnecting line
JP4864307B2 (ja) * 2003-09-30 2012-02-01 アイメック エアーギャップを選択的に形成する方法及び当該方法により得られる装置
JP4791034B2 (ja) * 2004-12-28 2011-10-12 東京エレクトロン株式会社 半導体装置の製造方法
US7641736B2 (en) * 2005-02-22 2010-01-05 Hitachi Metals, Ltd. Method of manufacturing SiC single crystal wafer
JP2006351881A (ja) * 2005-06-16 2006-12-28 Toshiba Corp 半導体記憶装置及び半導体記憶装置の製造方法
US7572741B2 (en) 2005-09-16 2009-08-11 Cree, Inc. Methods of fabricating oxide layers on silicon carbide layers utilizing atomic oxygen
EP1801067A3 (en) * 2005-12-21 2012-05-09 Imec Method for forming silicon germanium layers at low temperatures for controlling stress gradient
US20100062224A1 (en) * 2006-10-31 2010-03-11 Interuniversitair Microelektronica Centrum Method for manufacturing a micromachined device
US20090184402A1 (en) * 2008-01-22 2009-07-23 United Microelectronics Corp. Method of fabricating a shallow trench isolation structure including forming a second liner covering the corner of the trench and first liner.
JP2009194216A (ja) * 2008-02-15 2009-08-27 Hitachi Ltd 半導体装置の製造方法
US8357974B2 (en) 2010-06-30 2013-01-22 Corning Incorporated Semiconductor on glass substrate with stiffening layer and process of making the same
US8557679B2 (en) 2010-06-30 2013-10-15 Corning Incorporated Oxygen plasma conversion process for preparing a surface for bonding

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01181465A (ja) * 1988-01-11 1989-07-19 Fujitsu Ltd 超高速半導体装置の製造方法
JPH06196400A (ja) * 1991-12-30 1994-07-15 Sony Corp 反射防止膜の条件決定方法、反射防止膜の形成方法、新規な反射防止膜を用いたレジストパターン形成方法、及び薄膜形成方法
JPH06326058A (ja) * 1993-03-16 1994-11-25 Sanyo Electric Co Ltd 半導体基板の処理方法
JPH0745614A (ja) * 1993-07-30 1995-02-14 Sony Corp 半導体装置の製造方法
JPH0864580A (ja) * 1994-08-24 1996-03-08 Sony Corp 半導体装置の製造方法
JPH0878352A (ja) * 1994-09-06 1996-03-22 Sanyo Electric Co Ltd 基板の処理方法
JPH08102462A (ja) * 1994-09-30 1996-04-16 Toshiba Corp 半導体装置及びその製造方法
JPH0945918A (ja) * 1995-07-28 1997-02-14 Nippon Steel Corp 半導体装置の製造方法
JPH10321838A (ja) * 1997-05-16 1998-12-04 Matsushita Electric Ind Co Ltd 半導体装置の製造方法

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4865685A (en) * 1987-11-03 1989-09-12 North Carolina State University Dry etching of silicon carbide
US4981551A (en) * 1987-11-03 1991-01-01 North Carolina State University Dry etching of silicon carbide
US4948461A (en) * 1989-10-16 1990-08-14 Eastman Kodak Company Dry-etching method and plasma
US5436174A (en) * 1993-01-25 1995-07-25 North Carolina State University Method of forming trenches in monocrystalline silicon carbide
JPH06314791A (ja) * 1993-03-02 1994-11-08 Fuji Electric Co Ltd 炭化けい素半導体装置の製造方法
JP3170764B2 (ja) * 1993-11-17 2001-05-28 富士通株式会社 シリコン系薄膜の選択成長方法、トップゲート型及びボトムゲート型薄膜トランジスタの製造方法
US5818071A (en) * 1995-02-02 1998-10-06 Dow Corning Corporation Silicon carbide metal diffusion barrier layer
US5571374A (en) * 1995-10-02 1996-11-05 Motorola Method of etching silicon carbide
KR100312568B1 (ko) 1996-04-18 2003-06-19 마쯔시다덴기산교 가부시키가이샤 Sic 소자 및 그 제조방법
JP3893725B2 (ja) * 1998-03-25 2007-03-14 株式会社デンソー 炭化珪素半導体装置の製造方法
US6255211B1 (en) * 1998-10-02 2001-07-03 Texas Instruments Incorporated Silicon carbide stop layer in chemical mechanical polishing over metallization layers
US6358842B1 (en) * 2000-08-07 2002-03-19 Chartered Semiconductor Manufacturing Ltd. Method to form damascene interconnects with sidewall passivation to protect organic dielectrics

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01181465A (ja) * 1988-01-11 1989-07-19 Fujitsu Ltd 超高速半導体装置の製造方法
JPH06196400A (ja) * 1991-12-30 1994-07-15 Sony Corp 反射防止膜の条件決定方法、反射防止膜の形成方法、新規な反射防止膜を用いたレジストパターン形成方法、及び薄膜形成方法
JPH06326058A (ja) * 1993-03-16 1994-11-25 Sanyo Electric Co Ltd 半導体基板の処理方法
JPH0745614A (ja) * 1993-07-30 1995-02-14 Sony Corp 半導体装置の製造方法
JPH0864580A (ja) * 1994-08-24 1996-03-08 Sony Corp 半導体装置の製造方法
JPH0878352A (ja) * 1994-09-06 1996-03-22 Sanyo Electric Co Ltd 基板の処理方法
JPH08102462A (ja) * 1994-09-30 1996-04-16 Toshiba Corp 半導体装置及びその製造方法
JPH0945918A (ja) * 1995-07-28 1997-02-14 Nippon Steel Corp 半導体装置の製造方法
JPH10321838A (ja) * 1997-05-16 1998-12-04 Matsushita Electric Ind Co Ltd 半導体装置の製造方法

Also Published As

Publication number Publication date
EP1186009B1 (en) 2012-05-30
KR20020028047A (ko) 2002-04-15
US6599814B1 (en) 2003-07-29
WO2000067304A1 (en) 2000-11-09
AU4277700A (en) 2000-11-17
US20030143816A1 (en) 2003-07-31
JP2002543610A (ja) 2002-12-17
EP1186009A1 (en) 2002-03-13
US6806501B2 (en) 2004-10-19
TW475206B (en) 2002-02-01

Similar Documents

Publication Publication Date Title
JP2012023384A (ja) SiCの除去法
US8158509B2 (en) Method of manufacturing semiconductor device
US6168726B1 (en) Etching an oxidized organo-silane film
CN101536155B (zh) 一种具有原位背侧聚合物去除的等离子体蚀刻工艺
KR100892797B1 (ko) 고종횡비 분야용 이방성 피쳐를 형성하는 에칭 방법
US7256137B2 (en) Method of forming contact plug on silicide structure
US4470189A (en) Process for making polycide structures
KR100880131B1 (ko) 유기질 저유전율 재료의 에칭 방법
TWI261864B (en) Recess gate and method for fabricating semiconductor device with the same
JP3152428B2 (ja) 選択的異方性を用いて局部相互接続を形成する改良方法
KR20030087041A (ko) 실리콘 카바이드 플라즈마 식각 방법
JPH09148268A (ja) 半導体装置の製造方法
KR20010014954A (ko) 반도체 장치 및 그 제조 방법
US6225202B1 (en) Selective etching of unreacted nickel after salicidation
US6881661B2 (en) Manufacturing method of semiconductor device
US7125809B1 (en) Method and material for removing etch residue from high aspect ratio contact surfaces
US6281118B1 (en) Method of manufacturing semiconductor device
US20050099078A1 (en) Method for removal of SiC
JP2000164569A (ja) 半導体装置の製造方法
US6848454B2 (en) Method of manufacturing semiconductor device
KR20200102617A (ko) 산화 갈륨의 표면 처리 방법
TWI305945B (en) Method of fabricating dual damascene structure
JP2004119754A (ja) 配線、配線の製造方法、半導体装置及びその製造方法
JPH07297146A (ja) コンタクト形成方法
JP2008060238A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130704

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130709

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20131009

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20131015

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20140304