KR19990013557A - 시레인 에칭 처리 - Google Patents

시레인 에칭 처리 Download PDF

Info

Publication number
KR19990013557A
KR19990013557A KR1019980026714A KR19980026714A KR19990013557A KR 19990013557 A KR19990013557 A KR 19990013557A KR 1019980026714 A KR1019980026714 A KR 1019980026714A KR 19980026714 A KR19980026714 A KR 19980026714A KR 19990013557 A KR19990013557 A KR 19990013557A
Authority
KR
South Korea
Prior art keywords
silicon
etching
silane
selectivity
gas
Prior art date
Application number
KR1019980026714A
Other languages
English (en)
Inventor
춘쉬 큐이
로버트더블유. 우
게랄드체야오 인
Original Assignee
조셉제이.스위니
어플라이드머티어리얼스,인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉제이.스위니, 어플라이드머티어리얼스,인코포레이티드 filed Critical 조셉제이.스위니
Publication of KR19990013557A publication Critical patent/KR19990013557A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32871Means for trapping or directing unwanted particles

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Computer Hardware Design (AREA)
  • Inorganic Chemistry (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

고밀도 플라즈마 반응기에서 실리콘상의 산화물을 높은 선택성을 사용하여 선택적으로 에칭하기 위한 저온 처리. 주요 에칭 가스는 시레인 또는 유사한 실리콘 함유 가스, 예를들어, 모노시레인(SiH4)이 부가된 C2F6또는 C4F8같은 수소 없는 플루오르카본이다. 플루오르카본 및 시레인은 2 내지 5, 바람직하게 2.5 내지 3 범위내의 비율로 부가된다. 상기 처리는 높은 폴리실리콘 선택성, 높은 포토레지스트 페스트 선택성, 및 스티프 프로파일 각을 제공한다. 선택성은 높은 흐름 비율에서 동작함으로써 향상된다. 실리콘 테트라플루오라이드는 산화물 에칭 비율을 향상시키기 위하여 부가된다. 처리는 180℃ 이하 및 심지어 120℃ 이하의 챔버 부분 온도에서 동작할 수 있다. 상기 처리는 넓은 처리 윈도우를 가지는 이중 레벨 접촉 구조를 제조할 수 있다.

Description

시레인 에칭 처리
본 발명은 플라즈마 반응기 및 그것의 동작에 관한 것이다. 특히, 본 발명은 반도체의 플라즈마 에칭, 및 특히 산화물 에칭에 관한 것이다.
반도체 집적 회로의 집적 레벨은 계속하여 증가한다. 다이나믹 메모리의 다음 세대는 64 메가비트의 용량을 가지며 마이크로프로세서의 다음 세대는 천만개 이상의 트랜지스터를 가질 것이다. 그 이상의 세대가 계획된다. 이들 밀도는 형상 크기를 계속하여 축소시킴으로써만 달성되고, 현재 0.15㎛를 추구한다. 그러나, 층 두께는 유전체 브레이크다운 및 저항 손실같은 물리적 효과 때문에 유사하게 축소될수없다. 그래서, 현재의 설계는 높은 종횡비, 즉, 형상의 깊이 대 폭의 비율을 가지는 형상을 요구한다. 예를들어, 비아(via)는 중간 유전층을 통하여 두 레벨의 금속화부분을 전기적으로 접속시킨다. 유전층이 유전체 브레이크다운 드레스홀드로 인하여 적당히 큰 두께로 제한되기 때문에, 조밀한 회로는 매우 좁은 바이어스(vias)를 요구하고, 그에 따른 종횡비는 3 및 그 이상이다. 높은 종횡비의 홀을 에칭하는 것은 매우 높은 이방성 에칭을 요구한다.
다른 문제점은 경제적인 이유로 인하여, 처리될 웨이퍼의 크기가 증가한다는 것이다. 현재 가장 좋은 제조 장치는 200㎜의 웨이퍼를 위하여 설계되고, 장치는 300㎜의 웨이퍼를 위하여 설계된다. 상기 큰 웨이퍼는 에칭 처리중에 엄격한 균일성을 요구한다.
몇몇의 증가된 밀도는 보다 복잡한 집적 회로 구조에 의해 달성되지만, 그것은 처리, 특히 에칭중에 다른 요구를 부과한다. 일례는 도 1의 단면도에 도시된 이중 레벨 접촉용 구조이다. 실리콘 기판(10)은 상부 레벨로부터 접촉하기 위하여 이미 형성된 도시되지 않은 구조를 포함한다. 플라즈마 증착 실리콘 이산화물의 제 1 산화물층(12)은 실리콘 기판(10)상에 형성된다. 비교적 얇은 폴리실리콘 상호접속부(14)는 제 1 산화물층(12)에 증착 및 형성되고, 제 2 산화물층(16)은 상호접속부(14) 및 제 1 산화물층(12) 모두에 증착된다. 그후, 하나의 포토마스크를 사용하는 단일 포토리소그래픽 에칭 단계는 폴리실리콘 상호접속부(14) 까지의 비교적 얕은 비아 홀(20) 및 실리콘 기판(10)까지의 보다 깊은 기판 접촉홀(22) 양쪽을 에칭한다. 양쪽 홀이 다른 레벨상의 각각의 폴리실리콘 상호접속부와 접촉하기 위하여 사용되도록 매우 유사한 처리가 사용될수있다는 것이 인식된다.
이중 레벨 접촉 구조는 실리콘에 매우 선택적인 에칭 처리를 요구한다. 즉, 처리는 실리콘 산화물을 선택적으로 에칭하지만 더 적은 한도로 실리콘을 에칭한다. 만약 선택성이 충분히 높지 않으면, 폴리실리콘 상호접속부(14)는 동일한 에칭 조건하에서, 보다 두꺼운 제 1 산화물층이 에칭되는 동안 에칭된다. 보다 작게 선택성이 요구되는 구조에서 조차, 실리콘에 대한 선택성은 산화물 에칭이 아래놓여있는 실리콘상에서 신뢰할수있게 정지하여 에칭에 대한 넓은 처리 윈도우를 제공하도록 크게 요구된다. 빈약한 선택성을 사용하여, 만약 에칭이 매우 짧은 시간동안 수행되면, 실리콘은 노출되지 않고, 만약 너무 긴 시간동안 에칭이 수행되면 아래놓여있는 얇은 실리콘 층은 완전히 에칭된다. 처리 변수는 상업적으로 수행하기 위한 정밀한 타이밍 에칭을 어렵게한다.
산화물 에칭 선택성은 플라즈마 반응기에서 플루오르카본 에칭 가스 및 플루오르 스캐빈저(scavenger)를 사용하여 달성되었다. 이런 응용에서 사용된 플루오르카본은 카본, 플루오르 및 수소만으로 구성된 화합물이다. 수소없는 플루오르카본은 카본 및 플루오르로만 구성된다. 수소없는 플루오르카본 에칭 가스의 예는 CF4, C2F6, C4F8이다. 공통적인 조건하에서 대부분의 플루오르카본 가스는 모든 표면상에 중합체를 증착한다는 것이 믿어진다. 그러나, 중합체가 충분히 낮은 플루오르 함량을 가지면, 아래놓여있는 실리카(SiO2) 층의 산소는 휘발성 CO 및 CO2를 형성하기 위하여 중합체와 반응한다. 즉, 아래 놓여있는 실리카는 중합체가 형성되는 것을 방지하고, 실리카는 에칭된다. 다른 한편, 아래놓여있는 실리콘(또는 실리콘 질화물 Si3N4) 층은 산소를 포함하지 않고, 중합체는 그것이 에칭되는 것보다 빨리 증착하여 아래놓여있는 실리콘 층이 에칭되는 것을 방지한다. 결과적으로, 비아 및 접촉 홀(20, 22)의 에칭은 에칭이 정지되거나 실질적으로 감소되는 포인트에 실리콘층(14, 10)이 도달될 때까지 계속된다.
그러나, 중합체에 의해 제공된 선택성은 비교적 낮은 플루오르 함량을 가지는 중합체에 의존한다. 실리콘은 플루오르카본 플라즈마로부터 플루오르를 제거하기 위하여 공지된 다수의 재료중 가장 바람직하다. 실리콘은 플라즈마의 플루오르 기(F*)와 반응하고, 플라즈마로부터 발생하는 임의의 중합체는 낮은 플루오르 함량을 가진다. 그러나, 일반적으로 실리콘은 몇몇의 활성을 요구한다. 라이스(Rice)는 미국특허 제 5,4777,975 호에서 실리콘의 열적 활성을 개시한다. 선택적으로 실리콘은 실리콘 전극의 RF 바이어싱과 함께 활성화되는 것이 인식되었다. 예를들어 콜린즈(Collins) 등에 의한 미국특허 제 5,556,501 호 및 유럽특허 제 552,491 호를 참조한다.
여기에 참고로써 통합된 1996년 10월 18일에 출원된 쉬나이더(Schneider )등에 의한 미국특허 제 08/734,015 호는 산화물 에칭시 포함된 이들 많은 문제점을 처리한다. 몇몇 실시예중, 그들은 도 2에서 단면으로 도시된 원뿔형 플라즈마 반응기(30)를 개시한다. 웨이퍼(32)는 하부 챔버 몸체(36)에 대해 바람직하게 수직으로 이동할 수 있는 받침대(34)상에 지지된다. 링(37)은 받침대(34) 상부를 둘러싼다. 상기된 실시예에서, 링(37)은 석영으로 구성되고 열적으로 변동한다. 그러나, 상기 링(37)은 실리콘 또는 실리콘 카바이드로 형성하는 것이 가능하고 열적으로 활성화되는 플루오르 스캐빈저로서 사용한다. 가열은 플라즈마 가열 및 능동적인 냉각 사이의 균형에 따르거나, 만약 링의 보다 높은 활성이 목표되면 실리콘 링(37)의 제어된 복사 가열에 따른다.
상부 챔버 어셈블리는 플라즈마 가드(40), 밀봉 링(42), 및 중간 지지 패드(44)를 포함하는 전기 절연 조인트를 통하여 하부 챔버 몸체(36)상에 지지된 원뿔형 돔(38)을 포함한다. 바람직하게, 원뿔형 돔은 소결된 실리콘 카바이드의 전기 절연 벌크 몸체 및 화학 기상 증착(CVD)된 실리콘 카바이드의 전기 절연 내부 필름으로 구성된다. 선택적으로, 원뿔형 돔은 높은 저항(ρ>20Ω-cm)의 폴리실리콘 재료로 구성된다. 코일 캐리어(48)에 지지된 RF 유도 코일(46)은 원뿔형 돔(38) 주위를 감싼다. 소결물 및 원뿔형 돔(38)의 CVD 실리콘 카바이드 부분의 저항은 유도 코일(46)을 통하여 유도된 RF 자기장이 최소의 손실을 가지고 돔(38)을 통과하지만 내부 CVD 필름이 접지 평면을 제공하기 위하여 미리 설정된 전기 전위로 설정되도록 선택된다.
전기 절연 플라즈마 가드(50)는 원뿔 돔(38)의 상부 내부 림(rim)상에 위치하고 차례로 루프(52)를 지지한다. 진공 O-링 또는 베스펠(Vespel) 링은 전기 절연을 제공한다. 중앙 가스 공급부(54)는 루프(52)와 일체형이고 처리 가스를 루프(52)의 도시되지 않은 홀을 통하여 반응기(30)에 공급한다. 대다수의 나머지 도시된 구조는 원뿔형 돔(38) 및 루프(52)의 온도 제어와 연관되고 쉬나이더 등에 의한 관련 특허 출원에 충분히 기술된다. 루프 열적 제어부는 저항 가열 플레이트(56) 및 웨이퍼 냉각 냉각 플레이트(57)를 포함한다. 돔 열적 제어부는 저항 가열 원뿔 외장(58) 및 웨이퍼-냉각 칼라(59)를 포함한다.
루프(52)의 노출 부분의 직경은 대략 받침대의 직경과 같다. 즉, 플라즈마를 사이에 두는 두 개의 전극은 동일한 크기를 가진다.
산화물 에처(etcher)에 대하여, 루프(52)는 전기적 전도 플루오르 스캐빈저로 형성되고, 상기 스캐빈저는 바람직하게 폴리실리콘, 실리콘 카바이드, 및 유리 카본이다. 바람직하게, 원뿔형 돔(38)은 이들 동일 재료중 하나로 형성되고 플라즈마에 대한 전기 접지 표면을 제공하도록 충분한 전도성을 가진다. 통상적으로, 에칭 가스는 플루오르카본이고, 헥사플루오르-에탄(C2F6)은 도시된 반응기에서 산화물 에칭을 위하여 광범위하게 검사되었다. 통상적으로, 1 내지 2㎒의 주파수 범위에서 RF 전력이 코일(46) 및 받침대(34)와 루프(52)에 의해 형성된 두 개의 전극 양단에 인가될 때, 플루오르카본 플라즈마는 웨이퍼(32)위의 처리 공간(56)을 포함하는 반응기(30)에서 여기된다. 풀루오르 스캐빈저는 플라즈마와 접촉하고 플라즈마로부터 어느 정도의 플루오르 양을 제거하기 위하여 만들어진다. 그것에 의해, 플루오르카본 플라즈마로부터 웨이퍼(32)상에 형성된 어떤 중합체는 플루오르가 불충분하다. 잘 공지된 바와같이, 낮은 플루오르 중합체는 예를들어 도 1의 이중 레벨 접촉 구조에서 실리콘에 대한 높은 선택성 에칭을 형성한다. 이런 방법에서, 활성화는 루프 및 돔을 실질적으로 가열하지 않고 달성된다.
고체 플루오르 스캐빈저를 활성화하기 위한 한가지 방법은 RF 에너지를 상기 스캐빈저에 인가하는 것이다. 즉, 루프(52)는 단순히 접지되는 것이 아니라, 중요한 RF 신호가 루프에 인가된다. 우(Wu) 등에 의해 1997년 2월 21일자에 출원된 미국특허출원 제 08/804,430 호에 도시된 바와같이, 이것을 사용하는 전기 회로는 도 4에 개략적으로 도시된다. 원뿔형 돔(38)은 전기적으로 접지되고, 그것의 주변 코일(46)은 제 1 RF 전력 공급기(60)에 의해 전력이 인가된다. 전력 분할 RF 전력 공급기(62)는 두 개의 결합 캐패시터(64, 66)를 통하여 양쪽 루프(52) 및 받침대(34)에 결합된다. 전력 분할 RF 전력 공급기(62)의 실시예는 도 4에 개략적으로 도시된다. 제 2 RF 전력 공급기(66)는 단상 RF 전력을 전력 분할 회로(68)에 공급한다. 예를들어, RF 전력은 광대역 트랜스포머(70)의 제 1 권선에 인가된다. 제 2 트랜스포머(72)는 다수의 탭을 가지며, 스위치(72)는 접지같은 소정 전위에 대한 이들 탭중 임의의 하나를 선택적으로 접속한다. 제 2 트랜스포머의 각각의 단부는 결합 캐패시터(64, 66)를 통하여 루프(52) 및 받침대(34)에 접속된다. 트랜스포머 탭 스위치(72)의 세팅에 따라, RF 전력의 가변양은 루프(52) 및 받침대(34) 사이에 분할된다. 제 2 트랜스포머의 중간의 도시된 위치(요구된 위치가 아니고, 루프 52에 전달되는 RF 전력이 조금 작을것이 요구됨)에서 루프(52)와 받침대(34)에 대하여 동일 크기를 가정하여, 같은 양의 RF 전력이 루프(52) 및 받침대에 전달된다. 다른 한편, 만약 제 2 트랜스포머의 루프 단부에서 탭이 접지에 스위칭되면, 루프(52)는 AC 접지되고 RF 전력은 루프(52)에 전달되지 않는다. 이것은 실리콘 바탕 플루오르 스캐빈저가 열적으로 활성화될 때 라이스(Rice) 등에 의해 사용되었던 동작 모드이다. 이들 단부 사이의 탭 위치는 받침대(34)로 전달되는 대부분의 전력과 다른 비율의 전력으로 분할한다.
플루오르카본 에천트의 존재시 플루오르에 대한 실리콘 바탕 스캐비닝은 실리콘 질화물의 아래놓여있는 층에 높은 산화물 에칭 선택성을 달성하기 위하여 적용된다. 마크스(Marks) 등에 의해 미국특허 제 5,423,945 호에서 질화물에 대한 높은 선택성은 실리콘 카운터 전극이나 시레인같은 실리콘 함유 가스를 사용하여 달성되지만, 대체물로서 고체 및 가스 실리콘 소스를 제공한다.
콜린즈(Collins) 등은 미국특허 제 5,556,501 호에서 플루오르 스캐비닝에 대한 다른 방법을 개시하였다. 몇몇 실시예중 하나에서, 그들은 C2F6또는 CF4같은 플루오르카본과 시레인(SiH4), 테트라에틸로소실리케이트(TEOS), 디에틸시레인, 또는 실리콘 테트라플루오라이드(SiF4)같은 플루오르 소비 실리콘 함유 첨가 가스를 결합한다. 그들은 CH3F 또는 CHF3같은 중합체 형성 첨가 가스를 사용하는 것을 인식하였다. 동일한 특허 및 1992년 9월 9일에 출원되고, 유럽 특허출원 552,491-A으로서 공개된 그것의 제 2 일부 계속 출원 07/941,507은 실리콘 카운터 전극 및 그 전극에 RF 전력 인가 방법을 개시한다. 그러나, 콜린즈등은 가스 플루오르 스캐빈저에 대한 대체물로서 고체 실리콘 스캐빈저를 고려한다. 유사하게, 미국특허 제 5,312,518 호에서 타츠미(Tatsumi)는 황 할로겐화물 에칭시 플루오르를 스캐비닝하기 위하여 실리콘 벽을 개시하고 또한 C4F8에칭을 논의하고, 두 개의 화학물질을 결합하지 않는다.
우 등에 의해 논의된 전력 분할은 비교적 낮은 온도에서 우수한 산화물 선택성을 제공하지만, 반응기 설계를 간략화하고 O-링 같은 온도 감지 부분을 연장시키기 위하여 추가로 온도를 감소시키는 것이 목표된다. 또한, 고체 실리콘 바탕 스캐빈저의 RF 활성화는 RF 신호가 루프, 설계시 추가의 결합 및 반응기 동작에 적용될 것을 요구한다.
본 발명의 목적은 이중 레벨 구조에서 높은 에칭 선택성을 제공하는 것이다.
도 1은 반도체 집적 회로에서 이중 레벨 접촉 구조의 단면도.
도 2는 본 발명에 유용한 플라즈마 에칭 챔버의 단면도.
도 3은 도 2 플라즈마 반응기의 전력 분할 개략도.
도 4는 전력 분할 회로의 전기 개략도.
도 5는 중요한 에칭 파라미터를 형성하는데 사용하는 이중 레벨 접촉 구조의 다른 단면도.
도 6 및 도 7은 시레인 및 플루오르카본 흐름 비율의 함수로서 산화물 에칭 비율에 대한 실험으로 결정된 개략적인 그래프.
도 8 및 도 9는 시레인 및 플루오르카본 흐름 비율의 함수로서 폴리실리콘 선택성에 대한 실험으로 결정된 개략적인 그래프.
도 10 및 도 11은 시레인 및 플루오르카본 흐름 비율의 함수로서 페스트(facet) 선택성에 대한 실험으로 결정된 개략적인 그래프.
도 12 및 도 13은 시레인 및 플루오르카본
흐름 비율의 함수로서 프로파일 각에 대한 실험으로 결정된 개략적인 그래프.
도 14는 본 발명의 시레인 에칭 처리를 사용하는 루프 및 돔 온도상에서 산화물 에칭 비율에 따른 그래프.
도 15는 루프 및 돔 온도에서 폴리실리콘 및 포토레지스트 페스트 선택성에 따른 그래프.
도 16, 17 및 18은 시레인, 실리콘 테트라플루오라이드, 및 카본 일산화물의 4개의 다른 화합물에 대한 산화물 에칭 비율, 페스트 선택성, 및 폴리실리콘 선택성을 도시하는 그래프.
*도면의 주요 부분에 대한 부호의 설명*
10 : 기판 12 : 제 1 산화물층
14 : 상호접속부 16 : 제 2 산화물층
18 : 포토레지스트 20 : 홀
22 : 접촉홀 80 : 포토레지스트의 상부
82 : 페스트
본 발명은 산소, 특히 실리콘층을 포함하지 않는 다른 층의 전반에 걸쳐 산화물층을 에칭하기 위하여 적용할 수 있는 에칭 처리로서 요약된다. 상기 처리에서, 실리콘 및 수소를 포함하는 플루오르-스캐빈저 가스는 플루오르 및 카본을 포함하는 에칭 가스에 부가된다. 바람직하게, 스캐비닝 가스는 시레인 또는 유사한 가스이고, 에칭 가스는 플루오르카본이다. 본 발명의 일측면에서, 시레인은 플라즈마를 둘러싸는 전극으로서 사용되는 바와같은 고체 플루오르 스캐빈저와 결합하여 사용된다. 본 발명의 다른 측면에서, 플루오르카본 및 시레인의 흐름 비율은 선택성 및 다른 처리 특성을 최적화하기 위하여 매우 좁은 범위에 놓이도록 제어된다. 보다 높은 이들 가스의 흐름 비율은 바람직하다. 실리콘 테트라플루오라이드가 부가되고, 산화물 에칭 비율이 증가한다.
실리콘 산화물을 에칭하기 위하여 플루오르카본 에칭 처리에 시레인의 부가는 몇몇 장점을 제공한다. 그러나, 플루오르카본 및 시레인 가스의 흐름 비율은 바람직하게 좁은 범위내에서 설정되고, 시레인의 부가는 통상적인 플루오르카본 에칭 처리와 비교하여 처리의 다른 변화를 촉구한다.
향상된 집적 회로에 적용될 산화물 에칭 처리는 매우 심하고 종종 상충되는 요구를 만족시킬 필요가 있다. 비싼 기계를 통한 웨이퍼의 높은 작업 처리량에 대하여, 산화물 에칭 비율(EROX)은 비록 보다 높은 에칭 비율이 요구될지라도, 예를들어 500㎚/분 이상 높은 값에서 유지될 필요가 있다. 아래놓여있는 폴리실리콘의 얇은 층을 가지는 구조 및 특히 도 1의 이중 레벨 접촉같은 향상된 구조에 대한 깊은 에칭에 대하여, 산화물에 대한 에칭 비율은 폴리실리콘에 대한 에칭 비율보다 매우 높을것이 요구된다. 산화물 에칭 비율(EROX) 대 폴리실리콘 에칭 비율(ERPOLY)의 비는 폴리실리콘 선택성(SPOLY)이라 불린다. 매우 조밀한 집적 회로에 대하여, 바이어스 및 접촉 홀은 밀접하게 간격질 필요가 있다. 이것은 두 개의 요구 사항을 요구한다. 산화물 에칭은 수직 벽을 가지는 홀을 생성하기 위하여 심하게 이방적으로 에칭될 필요가 있다. 이것은 거의 90°이어야 하는 에칭된 홀의 탭퍼(taper) 각 또는 윤곽선 프로파일로서 표현될 수 있다.
다른 요구 사항은 패턴화된 포토레지스트가 제한된 에칭 비율을 가지며 그것의 에칭은 완전히 이방적이지 않다는 사실로부터 발생한다. 도 5의 단면에서 도시된 바와같이, 에칭 가스는 느린 에칭 비율에서 노출된 산화물뿐 아니라 포토레지스트(18)를 에칭한다. 점선(80)은 포토레지스트(18)의 본래의 상부를 도시한다. 그러나, 포토레지스트에 관련하여 보다 중요한 선택성은 비아 및 접촉 홀(20, 22) 상부의 바로 위 포토레지스트(18)에서 현상한 페스트(82)라고 생각된다. 포토레지스트의 본래의 두께는 DPR에 의해 표현되고, 페스트(82) 아래에 남아있는 포토레지스트(18)의 두께는 DREM에 의해 표현된다. 페스트 선택성(SFACET)은 산화물 깊이(DOX) 대 페스트(82)의 하부에서 포토레지스트(18)의 손실 비율이다. 즉,
(1)
페스트 선택성은 높게 유지될 필요가 있다.
큰 웨이퍼가 가지는 다른 문제점은 중심 대 에지의 비 균일성을 유도하는 웨이퍼의 중심 및 에지 사이의 어떤 양의 차에 실질적인 변화가 있다는 것이다. 그래서, 에지 및 중심 값은 결정될 필요가 있다.
실시예 1
본 발명의 실질적으로 증명된 실시예는 RF 전력이 유도적으로 결합된 원뿔형 돔(38)을 가지는 도 2의 반응기상에서 실시된다. 원뿔형 돔(38) 및 루프(52) 모두는 폴리실리콘 또는 실리콘 카바이드로 형성되고 전기적으로 접지된다. 플루오르카본 에칭 가스는 모노시레인(SiH4)이 부가된 에틸 헥사플루오라이드(C2F6)이다. 가스 흐름 비율은 20 리터의 챔버 체적으로 표준화되어야 한다.
실시예의 포괄적인 세트에서, C2F6및 SiH4의 양은 변화되고, 다른 동작 파라미터는 TEOS- 성장 산화물 및 산화물 층 사이 폴리실리콘 라인의 두 개의 레벨을 포함하는 이중 레벨 구조로 형성된 일련의 웨이퍼를 에칭하기 위하여 대부분 일정하게 유지된다. C2F6및 SiH4외에, 에칭 가스의 가스 흐름은 0 및 40sccm 사이의 CO 및, 450sccm의 Ar로 구성되고 챔버 압력은 35 및 45mTorr 사이로 고정된다. 유도 코일은 2800W의 RF 소스 전력이 공급된다. 웨이퍼를 고정하는 받침대는 1400W의 RF 바이어싱 전력이 제공되고 10℃로 수냉된다. 실리콘 카바이드 루프는 100 내지 180℃의 범위내의 동작 온도에서 제어되는 온도이고, 보다 높은 온도는 실험을 위하여 바람직하다. 실리콘 카바이드 원뿔형 돔은 150℃로 고정된다. 에칭은 120초 동안 진행된다.
웨이퍼를 에칭한후, 산화물 에칭 비율(EROX), 폴리실리콘 선택성(SPOLY), 페스트 선택성(SFACET) 및 프로파일 각은 웨이퍼에 대하여 웨이퍼 중심 및 웨이퍼 에지 양쪽에서 측정된다. 데이터는 C2F6및 SiH4가스 흐름 비율의 함수로서 중심 및 에지 양쪽에서 파라미터에 대한 윤곽선 플롯을 제공하기 위하여 통계적으로 분석된다.
산화물 에칭 비율(EROX)은 도 6에서 웨이퍼 중심이 도시되고 도 7에서 웨이퍼 에지가 도시된다. 폴리실리콘 선택성(SPOLY)은 도 8에서 웨이퍼 중심이 도시되고 도 9에서 웨이퍼 에지가 도시된다. 페스트 선택성(SFACET)은 도 10에서 웨이퍼 중심이 도시되고 도 11에서 웨이퍼 에지가 도시된다. 마지막으로, 프로파일 각은 도 12에서 웨이퍼 중심이 도시되고 도 13에서 웨이퍼 에지가 도시된다. 그래프의 쌍은 각각 논의된다.
도 6 및 도 7에 300, 400, 500 및 600㎚/분의 값에서 산화물 에칭 비율(EROX)에 대한 윤곽선(80)이 도시된다. 시레인 및 플루오르카본 사이의 주어진 에칭 비율 윤곽선(80)에 대한 직선은 두 가스가 강하게 상호작용한다는 것을 도시한다. 그래프의 사선(82)은 시레인 및 C2F6사이의 최적 선형 관계를 제공하기 위한 것이다. 두 흐름 비율 사이의 선형 관계는 아래와 같이 표현된다.
[SiH4]=1/2([C2F6]-20sccm) (2)
엄격한 비례성으로부터의 오프셋은 이해되지 않고 비교적 거의 유용하지 않은 실험 포인트에 의해 유발된다. 비례 라인(84)은 2, 2.5, 3, 4 및 5의 흐름 비율(R) 값에 대하여 도 6 및 도 7에 제공되고, R은 흐름 비율이다.
(3)
에칭 비율 데이터는 2 및 5 사이의 비례(R) 범위가 일반적으로 보다 나은 결과를 제공하는 것을 도시하고, 2.5 및 4 사이의 범위는 보다 나은 결과를 제공한다. 그러나, 추후에 설명될 바와같이, 보다 높은 플루오르카본 흐름 비율은 바람직하고, 도 6의 우측상에, 가장 바람직한 비례(R) 범위가 2.5 및 3 사이이다.
도 8 및 도 9에 도시된 폴리실리콘 선택성(SPOLY)에 대해 설명하면, 윤곽선(86)은 25, 50, 75, 100, 150 및 200(다른 세트의 윤곽선이 두 개의 그래프로 제공된다)의 폴리실리콘 선택성(SPOLY)에 대한 값에 대하여 제공된다. 이들 결과는 플루오르카본 및 시레인의 농도 사이에 강한 상호작용을 도시한다. 그래프 사선(84)에 의해 나타난 비-비례 선형 관계는 최적의 특성을 제공하기 위한 것이다. 그러나, 2 및 5, 2.5 및 4, 및 2.5 및 3 사이 값의 각각의 쌍을 가지는 비례(R) 라인(84)에 의해 둘러싸진 영역은 도 6 및 도 7의 영역과 유사한 장점을 제공한다. 그러나, 이들 윤곽선(86)은 CF의 흐름 비율이 55sccm 이상이고 SiH4에 대한 흐름 비율이 15sccm 이상일 때 우수한 폴리실리콘 선택성을 가리킨다. 중심 및 에지 에칭 비율 양쪽을 고려하여, 2.5 및 3 사이의 R의 비례 범위와 함께 70sccm 이상의 C2F6및 25sccm 이상의 SiH4흐름 비율은 최적화된다. 그러나, 도 7의 중심 에칭 비율을 고려하여, C2F6는 100sccm 이하로 유지되어야 한다.
페스트 선택성(SFACET)의 윤곽선은 2, 3, 4, 5 및 6의 선택성 값에 대하여 도 10 및 11에 제공된다. 윤곽선(88)은 도 8 및 도 9의 폴리실리콘 선택성에 대하여 바람직한 바와같은 동일한 흐름 비율에 대하여 우선권을 도시한다. 그러나, 페스트 선택성은 55sccm 이상의 C2F6및 15sccm 이상의 SiH4에 대하여 흐름 비율에 대한 보다 강한 선호도를 도시한다.
프로파일 각의 윤곽선(90)은 75°, 80°, 82.5° 및 87.5° 각의 값에 대하여 도 12 및 도 13에 제공된다. 모든 값이 양쪽 도면에서 윤곽선을 가지지 않는다. 데이터는 보다 수직의 프로파일이 보다 높은 흐름 비율의 SiH4및 보다 낮은 흐름 비율 C2F6에 대하여 얻어진다는 것이 도시된다. 다른 윤곽선과 함께 고려하여, 이미 표시된 흐름 비율의 바람직한 범위는 적어도 80°의 허용 가능한 프로파일 각을 생성하고, 상기 각은 양쪽 SiH4및 C2F6의 흐름 비율이 보다 높아지면 바람직하게 증가된다.
실시예 2
다른 세트의 실시예는 제 1 실시예와 동일한 많은 처리 파라미터로 수행되지만, 원뿔형 돔(38) 및 루프(52)의 온도는 공정중에 변화된다. 돔 및 루프는 전기적으로 접지된다. 벽 및 돔 온도의 5가지 조합은 테이블 1에서 검사된다.
테이블 1
수행 루프 온도(℃) 벽 온도(℃)
1 120 135
2 135 143
3 153 170
4 172 190
5 190 210
5개의 공정동안, C2F6, SiH4및 CO의 흐름 비율은 각각 60sccm, 20sccm 및 20sccm에서 일정하게 유지된다. 제조후, 각각의 공정으로부터의 웨이퍼는 구획화되고, 마이크로그래프화되고, 에칭 특성은 마이크로그래프로부터 측정된다. 도 14에서, 곡선(92)은 웨이퍼 중심에서 산화물 에칭 비율을 도시하고, 곡선(93)은 웨이퍼 에지에서 산화물 에칭 비율을 도시한다. 산화물 에칭 비율은 낮은 온도에서 증가하고, 루프 온도는 135℃보다 바람직하게 높지 않고 벽 온도는 143℃보다 바람직하게 높지 않다. 도 15에서, 곡선(94)은 웨이퍼 중심에서 폴리실리콘 선택성을 도시하고, 곡선(95)은 웨이퍼 에지에서 폴리실리콘 선택성을 도시한다. 또한, 곡선(96)은 웨이퍼 중심에서 포토레지스트 페스트 선택성을 도시하고, 곡선(97)은 웨이퍼 에지에서 포토레지스트 페스트 선택성을 도시한다. 이들 곡선은 135/143℃를 선호하고 153/170℃ 이상의 온도를 선호하지 않는 에칭 처리로서 해석된다. 접촉 홀 프로파일은 측정된다. 도시되지 않은 데이터는 153/170℃ 및 그보다 낮은 온도를 선호한다. 이들 온도에서, 프로파일 각은 87℃ 및 그 이상이다.
상기 데이터는 비교적 낮은 온도에서 유지되고 실리콘 부분에 RF 바이어싱이 없는 실리콘 또는 실리콘 카바이드를 가지는 챔버로 수행된 실험으로부터 유도된다. 즉, 실리콘 벽의 대부분은 플루오르를 스캐비닝하기 위하여 활성화되지 않는다. 그래서, 대부분의 실리콘 바탕 플루오르 스캐비닝은 가스 시레인에 의해 형성된다. 플루오르 스캐비닝을 위한 실리콘 바탕 벽에 의존하지 않는 장점은 상기 벽이 에칭 처리동안 소비되지 않는다는 것이다. 그럼에도 불구하고, 시레인 스캐빈저의 사용으로, 실리콘 벽은 바람직하다. 상기 벽은 실리콘 웨이퍼와 호환하고 실리콘 웨이퍼 및 실리콘 벽 사이에 약간 중요한 차이없이 에칭 화학 물질에 크게 영향을 미치지 않는다. 게다가, 고체 및 기체 스캐빈저의 사용은 에칭 처리중에 두 개의 독립적인 제어를 제공한다. 상기된 방법에서 가스 스캐빈저는 스캐비닝을 조절하지만, 실리콘 전극의 온도 제어는 스캐비닝중 추가의 제어를 제공하기 위하여 도 14 및 15를 참조하여 도시된다. 실리콘 전극의 RF 바이어싱은 추가의 제어를 제공할 수 있다. 고체 및 기체 스캐빈저에 의한 두 개의 제어는 특히 우수한 에칭 특성을 달성하거나 생산 공정에서 중요한 고려사항인 처리 윈도우를 넓히기 위하여 균형이 이루어진다.
실시예 3
상기된 결과는 C2F6인 플루오르카본 에천트를 위하여 유도되지만, 본 발명은 다른 플루오르카본 에칭 가스로 넓혀질 수 있다. 다른 세트의 실시예는 제 1 에칭 가스로서 옥타플루오르-사이클로부탄(C4F8)을 사용하여 수행된다. 가스 흐름은 C4F8가 20sccm, CHF3가 20sccm, O2가 10sccm, Ar이 450sccm, 및 가변양의 SiH4이다. 챔버는 30mTorr에서 고정된다. 하이드로플루오르카본(CHF3)은 중합체 형성체로 종종 간주된다. RF 전력은 제 1 실시예와 동일하다. 실리콘 카바이드 루프는 140℃에서 고정되고; 폴리실리콘 원뿔형 돔은 150℃에서 고정된다.
시레인이없는 제 1 웨이퍼의 에칭 동안, 산화물 에칭 비율은 667㎚/분이고, 폴리실리콘 선택성은 20이고 포토레지스트 페스트 선택성은 4이다. 12sccm의 시레인 흐름을 가지는 제 2 웨이퍼의 에칭 동안, 산화물 에칭 비율은 408㎚/분으로 감소되고, 폴리실리콘 선택성은 100의 관찰 제한 범위 이상으로 증가되고 포토레지스트 에칭 비율은 4이다. 이들 결과는 시레인 및 플루오르카본의 상기된 바람직한 흐름 비율 범위와 동일하다. 이들 결과는 이중 레벨 처리에서 시레인 및 다른 플루오르카본의 결합중 효과적이다.
실리콘 테트라플루오라이드(SiF4)는 산화물 에칭과 연관된다. 이런 결과는 SiF4가 보다 빠른 산화물 에칭을 제공하지만 SiH4보다 빈약한 선택성을 제공한다. 그러나, 당업자는 시레인 및 실리콘 테트라플루오라이드가 특정 응용을 위한 최적화된 성능을 위하여 결합될수있다는 것을 발견하였다.
실시예 4
실시예의 다른 세트는 일반적으로 제 2 실시예와 동일한 조건을 사용하여 수행된다. C2F6의 흐름 비율은 60sccm이고, CO는 20sccm이고, Ar은 450sccm이다. 제 1 웨이퍼에 대하여, 에칭 가스는 20sccm의 SiF4로 증대된다. 웨이퍼 에지에서, 이것은 860㎚/분의 산화물 에칭 비율, 8.5의 폴리실리콘 선택성, 3.5의 포토레지스트 페스트 선택성 및 85°의 폴리실리콘 선택성을 생성한다. 중심에서, 프로파일 각은 에칭 정지 문제를 유발할만큼 작다. 즉, 홀은 에칭을 정지한다. 제 2 웨이퍼에 대하여, SiF4는 20sccm의 SiH4에 의해 대체된다. 웨이퍼 에지에서, 시레인은 700㎚/분의 산화물 에칭 비율, 30의 폴리실리콘 선택성, 4.4의 페스트 선택성 및 87°의 프로파일 각을 생성한다.
이들 결과는 SiF4가 보다 빠른 산화물 에칭 비율을 제공하지만, SiH4가 보다 나은 선택성 및 이방성을 제공한다는 것을 보여준다.
실시예 5
다른 세트의 실시예에서, 플루오르카본 에칭시 실리콘 테트라플루오라이드에 시레인을 부가하는 효과가 시험된다. 에칭 가스의 흐름은 C2F6가 60sccm이고 Ar이 450sccm임을 포함한다. 에칭 가스에 20sccm의 SiF4의 부가는 930㎚/분의 산화물 에칭 비율, 8.8의 폴리실리콘 선택성, 3.5의 포토레지스트 선택성, 및 86°의 프로파일 각을 웨이퍼 에지에서 생성한다. 선택적으로, 에칭 가스에 10sccm의 SiF4및 10sccm의 SiH4의 부가는 웨이퍼 에지에서 820㎚/분의 산화물 에칭 비율, 14.8의 폴리실리콘 선택성, 4.4의 페스트 선택성, 및 88°의 프로파일 각의 값을 생성한다. 그래서, 시레인은 산화물 에칭 비율을 감소시키지만 다른 특성을 개선한다.
실시예 6
다른 세트의 실시예는 에칭 챔버에 시레인, 실리콘 테트라플루오라이드 및 카본 모노사이드의 상대적 흐름을 변화하도록 수행된다. C2F6의 흐름 비율은 60sccm이고, Ar은 450sccm이다. 5개의 다른 웨이퍼에 대하여, SiH4, SiF4및 CO의 흐름 비율은 유니트에서 각각 sccm (20/0/20), (0/20/20), (0/20/0) 및 (10/10/0)이다. 다른 조건은 상기된 바와 대략 같다.
상기와 같이 결정된 산화물 에칭 비율은 웨이퍼 중심에 대하여 도 16에서 플롯(100)에 의해 도시되고 웨이퍼 에지에 대하여 플롯(102)에 의해 도시된다. 상기된 바와같이, SiH4를 SiF4로 대체하는 것은 산화물 에칭 비율을 증가시킨다.
페스트 선택성에 대한 결과는 도 17에 도시되고, 플롯(104)은 중심 포토레지스트 페스트 선택성을 제공하고 플롯(106)은 에지 포토레지스트 페스트 선택성을 제공한다. 에지 결과는 뚜렷하지 않지만, 중심 결과는 실리콘 테트라플루오라이드에 걸쳐 시레인에 대한 명확한 장점을 도시한다.
폴리실리콘 선택성의 결과는 도 18에 도시되고, 플롯(108)은 중심 폴리실리콘 선택성을 제공하고, 플롯(110)은 에지 결과를 제공한다. 명확하게, 실리콘 테트라플루오라이드보다 시레인이 높은 폴리실리콘 선택성을 특히 중심에서 바람직하게 제공한다. 다른 한편, 도 16은 SiH4에 걸쳐 SiF4의 에칭 장점을 명확하게 도시한다. 그러므로, 플루오르실리콘 및 시레인의 몇몇 조합은 향상된 집적 회로에 대하여 많은 특징 가운데 가장 좋은 전체적인 결과를 제공하기 위하여 선택될 수 있다.
플루오르카본에 시레인의 부가가 많은 장점을 가질지라도, 몇몇 동작 단점을 수반한다. 시레인은 다소 더러운 처리를 생성한다. 결과적으로, 만약 챔버 입자 특성이 낮은 레벨에서 유지되면, 시레인이 사용되지 않을 때 보다 시레인 에칭을 사용하여 챔버를 세척할 것이 요구된다. 산소 플라즈마를 사용하는 포스트-에칭 플라즈마 처리(PET)는 어떤 잔류 중합체등을 제거하기 위하여 에칭한후 수행된다. 시레인을 포함하는 것은 단지 C4F8만을 사용하는 바와같은 비-시레인 처리를 행하는 것보다 챔버 세척을 유지하기 위하여 상당히 긴 O2PET를 요구한다.
산소 포스트-에칭 처리는 예를들어 RF 전력 150W의 비교적 낮은 바이어스 전력을 가진 에칭 시간과 비교하여 바람직하게 비교적 긴 시간, 예를들어 45 내지 90초 동안 수행된다. 보다 긴 세척은 보다 생산적인 처리를 제공하는 보다 깨끗한 챔버를 제공한다.
포토레지스트 페스트 선택성을 개선시키기 위하여 메인 시레인 및 플루오르카본 에칭 단계 전에 사전 에칭 단계를 수행하는 것은 바람직하다. 사전 에칭 단계에서, 매우 감소된 RF 바이어스 전력은 메인 바이어스 전력 및 가능하게 영인 바이어스 전력의 20% 또는 심지어 10% 이하로 받침대에 인가되고, 사전 에칭 처리는 5초 정도 짧은 시간동안만 인가된다. 사전 에칭 처리는 포토레지스트 페스트 선택성을 개선시킨다.
고체 실리콘을 바탕으로 플루오루를 제거하는 것은 마크스등에 의한 상기된 특허에 기술된 바와같이 높은 폴리실리콘 선택성만을 위하여 사용하는 것이 아니라 실리콘 산화물에 관련하여 높은 실리콘 질화물 선택성을 위하여 사용한다. 그래서, 본 발명의 많은 측면은 산화물 층을 통하여 아래놓여있는 실리콘 질화물층으로 에칭하기에 유용하다. 정말로, 본 발명의 바람직한 페스트 선택성 및 프로파일 탭퍼링은 아래 놓여있는 재료가 실리콘이나 실리콘 질화물이 없는 구조에 인가될 수 있다.
추가의 처리 제어는 쉬나이더 등에 의한 상기된 특허 출원에 기술된 바와같이 시레인 스캐빈저 가스의 조합 및 플루오르 스캔비저 전극에 RF 전력 분할에 의해 달성된다.
본 발명의 처리로 바람직하게 사용된 시레인은 실리콘 및 수소로 구성된다. 고체 실리콘 스캔비저와 조합하여 수소만을 사용하는 상대적인 실험은 엄격한 내부 선택성을 생성한다. 다른 실리콘 및 수소 함유 가스는 비록 처리 윈도우가 어떤 새로운 가스 조합을 위하여 만들어질지라도 선택성을 유사하게 향상시킬 것이 기대된다. 실리콘 및 수소를 바탕으로 하는 다른 상기 가스는 디시레인 및 TEOS이다.
상기 실시예의 수소없는 플루오르카본은 산화물 에칭 비율을 증가시키고, 개시된 C2F6및 C4F8와 다른 수소없는 플루오르카본은 사용되고, CF4는 일반적인 산화물 에천트이다. CHF3같은 하이드로플루오르카본은 공지된 에칭 가스이고 증가된 선택성을 제공하기 위하여 기록된다. 그러나,만약 에칭 가스가 하이드로플루오르카본과 결합하는 시레인 또는 유사 가스를 포함하면, 에칭 처리는 더 복잡하고, 두 흐름 비율중 선택성 에칭 비율의 의존도는 분리하기가 쉽지않다. 이러한 같은 이유로, 실리콘 및 수소 함유 가스가 플루오르 또는 다른 할로겐화물을 포함하지 않는 것이 바람직하다. 실험은 도 6 내지 13의 결과를 생성하는데 행한 바와같이 SiH4및 CHF3를 가지고 처리 윈도우를 형성하기 위하여 수행된다. 하이드로플루오르카본을 사용하는 결과는 특정 범위의 흐름 비율을 선호하는데 너무 극적이지 않다. 부가적으로, 최소한 예비 결과는 플루오르카본보다 빈약한 선택성 및 불균일성을 나타낸다. 그럼에도 불구하고, 잘 제어된 챔버는 시레인과 결합하는 하이드로플루오르카본 에칭 가스를 위하여 최적화된다.
실리콘 또는 질화물상 산화물을 에칭할 때 비록 본 발명의 에칭 가스가 특히 바람직할지라도, 그것의 사용은 아래 놓여있는 재료에 종속하지 않는다. 만약 다른 대안이 있다면, 본 발명의 에칭 가스는 폴리실리콘 선택성이 적절하지 않은 금속 라인에 산화물 통하여 에칭하는 것과 같이 다른 구조에 제공될 수 있다.
본 발명은 접촉 및 비아 홀의 높은 성능 및 종래 가스를 사용하는 유사 구조를 제공한다. 게다가, 선택성 향상 및 에칭 비율 향상의 분리는 처리 윈도우를 만드는 쉬운 방법을 제공한다.
본 발명에 따라 이중 레벨 구조에서 높은 에칭 선택성이 제공된다.

Claims (16)

  1. 기판을 에칭하기 위한 방법에 있어서,
    상기 챔버내의 플라즈마에 노출된 실리콘 바탕 스캐비닝 표면을 포함하는 플라즈마 반응기 챔버내에 상기 기판을 배치하는 단계;
    실리콘 및 수소를 포함하는 제 1 가스 및 플루오르 및 카본을 포함하는 제 2 가스를 포함하는 처리 가스를 상기 챔버에 유입하는 단계; 및
    상기 처리 가스를 상기 플라즈마에 여기시켜 상기 기판을 에칭하는 단계를 포함하고, 상기 에칭 단계에 의해 상기 기판의 산화물은 실리콘을 포함하는 아래놓여있는 재료보다 우선적으로 에칭되는 것을 특징으로 하는 기판 에칭 방법.
  2. 제 1항에 있어서, 상기 제 1 가스는 시레인 및 TEOS로 구성된 그룹으로부터 선택되는 것을 특징으로 하는 기판 에칭 방법.
  3. 제 2항에 있어서, 상기 처리 가스는 부가적으로 SiF4를 포함하는 것을 특징으로 하는 기판 에칭 방법.
  4. 제 1항에 있어서, 상기 제 2 가스는 수소없는 플루오르카본을 포함하는 것을 특징으로 하는 기판 에칭 방법.
  5. 제 4항에 있어서, 상기 제 1 가스는 시레인 및 TEOS로 구성된 그룹으로부터 선택되는 것을 특징으로 하는 기판 에칭 방법.
  6. 제 5항에 있어서, 상기 제 1 가스는 시레인을 포함하는 것을 특징으로 하는 기판 에칭 방법.
  7. 제 6항에 있어서, 상기 유입 단계는 2 및 5 사이의 범위를 가지는 상기 수소없는 플루오르카본 및 상기 시레인 사이 흐름 비율의 비로 상기 처리 가스를 유입하는 것을 특징으로 하는 기판 에칭 방법.
  8. 제 7항에 있어서, 상기 범위는 2.5 및 4 사이인 것을 특징으로 하는 기판 에칭 방법.
  9. 제 8항에 있어서, 상기 범위는 2.5 및 3 사이인 것을 특징으로 하는 기판 에칭 방법.
  10. 제 9항에 있어서, 상기 플루오르카본의 흐름 비율은 20리터 챔버에 대해 표준화된 55sccm 보다 큰 것을 특징으로 하는 기판 에칭 방법.
  11. 제 6항에 있어서, 상기 유입 단계는 상기 플루오르카본을 20리터 챔버에 대해 표준화된 55sccm보다 큰 흐름 비율로 상기 챔버에 유입하는 것을 특징으로 하는 기판 에칭 방법.
  12. 제 1항에 있어서, 200℃의 동작 온도로 상기 실리콘 바탕 스캐비닝 표면의 온도를 제어하는 단계를 더 포함하는 것을 특징으로 하는 기판 에칭 방법.
  13. 제 12항에 있어서, 상기 동작 온도는 150℃ 이하인 것을 특징으로 하는 기판 에칭 방법.
  14. 산화물 층을 에칭하기 위한 방법에 있어서,
    실리콘 산화물층을 가지는 기판을 플라즈마 반응기 챔버내에 배치하는 단계;
    시레인, 실리콘 테트라플루오라이드, 및 플루오르카본을 포함하는 에칭 가스를 상기 챔버에 흘리는 단계; 및
    상기 에칭 가스를 플라즈마에 여기시켜 상기 기판을 에칭하는 단계를 포함하는 것을 특징으로 하는 산화물 에칭 방법.
  15. 제 14항에 있어서, 상기 플루오르카본은 수소없는 플루오르카본인 것을 특징으로 하는 산화물 에칭 방법.
  16. 제 15항에 있어서, 상기 흐름 단계는 2 및 5 사이 비율의 각각의 흐름 비율로 상기 챔버에 상기 시레인 및 상기 플루오르카본을 흘리는 것을 특징으로 하는 산화물 에칭 방법.
KR1019980026714A 1997-07-03 1998-07-03 시레인 에칭 처리 KR19990013557A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US8/888,370 1997-07-03
US08/888,370 US5965463A (en) 1997-07-03 1997-07-03 Silane etching process

Publications (1)

Publication Number Publication Date
KR19990013557A true KR19990013557A (ko) 1999-02-25

Family

ID=25393065

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019980026714A KR19990013557A (ko) 1997-07-03 1998-07-03 시레인 에칭 처리

Country Status (5)

Country Link
US (1) US5965463A (ko)
EP (1) EP0889507A1 (ko)
JP (1) JPH1167723A (ko)
KR (1) KR19990013557A (ko)
TW (1) TW398042B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190076229A (ko) 2017-12-22 2019-07-02 주식회사 포스코 피처리물 청소장치

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6171974B1 (en) * 1991-06-27 2001-01-09 Applied Materials, Inc. High selectivity oxide etch process for integrated circuit structures
TW403959B (en) * 1996-11-27 2000-09-01 Hitachi Ltd Plasma treatment device
JPH11111680A (ja) * 1997-09-30 1999-04-23 Yasuhiro Horiike エッチング方法
US6482747B1 (en) * 1997-12-26 2002-11-19 Hitachi, Ltd. Plasma treatment method and plasma treatment apparatus
US6093655A (en) 1998-02-12 2000-07-25 Micron Technology, Inc. Plasma etching methods
US6235213B1 (en) 1998-05-18 2001-05-22 Micron Technology, Inc. Etching methods, methods of removing portions of material, and methods of forming silicon nitride spacers
US6380096B2 (en) * 1998-07-09 2002-04-30 Applied Materials, Inc. In-situ integrated oxide etch process particularly useful for copper dual damascene
US6277759B1 (en) * 1998-08-27 2001-08-21 Micron Technology, Inc. Plasma etching methods
US6228774B1 (en) * 1998-12-29 2001-05-08 Lam Research Corporation High aspect ratio sub-micron contact etch process in an inductively-coupled plasma processing system
US6271141B2 (en) 1999-03-23 2001-08-07 Micron Technology, Inc. Methods of forming materials over uneven surface topologies, and methods of forming insulative materials over and between conductive lines
US6214747B1 (en) * 1999-10-28 2001-04-10 United Microelectronics Corp. Method for forming opening in a semiconductor device
US7115523B2 (en) * 2000-05-22 2006-10-03 Applied Materials, Inc. Method and apparatus for etching photomasks
US6391790B1 (en) 2000-05-22 2002-05-21 Applied Materials, Inc. Method and apparatus for etching photomasks
US7183201B2 (en) * 2001-07-23 2007-02-27 Applied Materials, Inc. Selective etching of organosilicate films over silicon oxide stop etch layers
US6797628B2 (en) * 2002-01-16 2004-09-28 Micron Technology, Inc. Methods of forming integrated circuitry, semiconductor processing methods, and processing method of forming MRAM circuitry
KR20040012451A (ko) * 2002-05-14 2004-02-11 어플라이드 머티어리얼스, 인코포레이티드 포토리소그래픽 레티클을 에칭하는 방법
US20040171260A1 (en) * 2002-06-14 2004-09-02 Lam Research Corporation Line edge roughness control
US7547635B2 (en) * 2002-06-14 2009-06-16 Lam Research Corporation Process for etching dielectric films with improved resist and/or etch profile characteristics
KR100474539B1 (ko) * 2002-07-15 2005-03-10 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US7045368B2 (en) * 2004-05-19 2006-05-16 Headway Technologies, Inc. MRAM cell structure and method of fabrication
US8293430B2 (en) 2005-01-27 2012-10-23 Applied Materials, Inc. Method for etching a molybdenum layer suitable for photomask fabrication
US20070254470A1 (en) * 2006-04-27 2007-11-01 Hynix Semiconductor Inc. Method for fabricating a semiconductor device having a repair fuse
US20100330805A1 (en) * 2007-11-02 2010-12-30 Kenny Linh Doan Methods for forming high aspect ratio features on a substrate

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4213818A (en) * 1979-01-04 1980-07-22 Signetics Corporation Selective plasma vapor etching process
US5556501A (en) * 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
JP3220992B2 (ja) * 1991-01-22 2001-10-22 ソニー株式会社 ドライエッチング方法
JP3000717B2 (ja) * 1991-04-26 2000-01-17 ソニー株式会社 ドライエッチング方法
JPH04354331A (ja) * 1991-05-31 1992-12-08 Sony Corp ドライエッチング方法
US5477975A (en) * 1993-10-15 1995-12-26 Applied Materials Inc Plasma etch apparatus with heated scavenging surfaces
US5423945A (en) * 1992-09-08 1995-06-13 Applied Materials, Inc. Selectivity for etching an oxide over a nitride
EP0552490A1 (en) * 1992-01-24 1993-07-28 Applied Materials, Inc. Process for etching an oxide layer over a nitride
EP0552491B1 (en) * 1992-01-24 1998-07-15 Applied Materials, Inc. Plasma etch process and plasma processing reactor

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190076229A (ko) 2017-12-22 2019-07-02 주식회사 포스코 피처리물 청소장치

Also Published As

Publication number Publication date
TW398042B (en) 2000-07-11
JPH1167723A (ja) 1999-03-09
EP0889507A1 (en) 1999-01-07
US5965463A (en) 1999-10-12

Similar Documents

Publication Publication Date Title
KR19990013557A (ko) 시레인 에칭 처리
US7977245B2 (en) Methods for etching a dielectric barrier layer with high selectivity
KR100849707B1 (ko) 탄소-도우핑된 저유전체들의 선택적 식각
US6451703B1 (en) Magnetically enhanced plasma etch process using a heavy fluorocarbon etching gas
US6211092B1 (en) Counterbore dielectric plasma etch process particularly useful for dual damascene
US6174451B1 (en) Oxide etch process using hexafluorobutadiene and related unsaturated hydrofluorocarbons
CN1322560C (zh) 用于选择性地蚀刻电介质层的工艺
US7166535B2 (en) Plasma etching of silicon carbide
JPH07161702A (ja) 酸化物のプラズマエッチング方法
US6660644B2 (en) Plasma etching methods
US20020177322A1 (en) Method of plasma etching of silicon carbide
US8609547B2 (en) Plasma etching method and computer-readable storage medium
KR20010080467A (ko) 헥사 플루오르화 부타디엔 또는 관련 플루오르화 탄화수소를 사용하여 산화물을 에칭하고 넓은 프로세스윈도우를 명시하기 위한 프로세스
TW201335991A (zh) 電漿蝕刻方法
KR20080006457A (ko) 플라즈마 에칭 방법 및 컴퓨터 판독 가능한 기억 매체
KR20200130490A (ko) 패터닝 애플리케이션들을 위한 탄소 하드 마스크들 및 이와 관련된 방법들
US6010967A (en) Plasma etching methods
US6787475B2 (en) Flash step preparatory to dielectric etch
US7456111B2 (en) Plasma etching method and plasma etching apparatus
EP0871200A2 (en) Low temperature etch process utilizing power splitting between electrodes in an RF plasma reactor
TWI497586B (zh) Plasma etching method
US20090117745A1 (en) Methods for selectively etching a barrier layer in dual damascene applications
JP4550981B2 (ja) エッチング方法
JP4224422B2 (ja) プラズマエッチング処理方法
KR20040098845A (ko) 유도 결합 플라즈마 장치를 사용하여 높은 포토레지스트선택비를 구현할 수 있는 식각 방법

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid