TW306026B - Method for plasma etching in a process for fabrication of semiconductor device - Google Patents

Method for plasma etching in a process for fabrication of semiconductor device Download PDF

Info

Publication number
TW306026B
TW306026B TW085110602A TW85110602A TW306026B TW 306026 B TW306026 B TW 306026B TW 085110602 A TW085110602 A TW 085110602A TW 85110602 A TW85110602 A TW 85110602A TW 306026 B TW306026 B TW 306026B
Authority
TW
Taiwan
Prior art keywords
gas
plasma
semiconductor device
manufacturing process
item
Prior art date
Application number
TW085110602A
Other languages
English (en)
Inventor
Whi-Kun Yi
Dai-Sik Moon
Sung-Kyeong Kim
Kyung-Hoon Kim
Gyu-Hwan Kwag
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Application granted granted Critical
Publication of TW306026B publication Critical patent/TW306026B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers

Description

經濟部中央標準局員工消費合作社印製 306026 五、發明説明(/) 【技術領域】 本發明係有關一種半導體裝置製造過程之電漿蝕刻( PLASMA ETCHING)方法,更明確的説,它是有關於一種半 導體裝置製造過程之電漿蝕刻方法,為了改進其蝕刻外形 (ETCHING PROFILES),藉由將額外供應之不同氣體混入 至一主要蝕刻氣體中,並且供應此混合氣,以便形成一由 聚合物(POLYMER)組成之薄層,進而保護此晶圓(ffAFER )上將被選擇性蝕刻之部份的側壁。 【先前之技術】 半導體工業現已高度發展,半導體設備要求高容量以 及強大的功能,故,在有限的面積上需要把更多的元件堆 積在其上。而且,為了達到極細緻及高度堆積圖樣之目的 ,晶圓之處理技術係不斷的研究及發展。 在一晶圓之製造過程中,為達到製造一具有極細緻及 高度堆積圖樣之半導體裝置之目的,乾式蝕刻(DRY ETCHING )技術早已廣為使用,而且,電漿蝕刻技術是目 前乾式蝕刻方法中最常被使用的技術。 然而’使用電漿傲刻的方法是一個十分重要、但卻頗 困難的技術,在電榮触刻程序中,需被考慮的最主要細節 包括有:勉刻外形、對下層之選擇性、触刻速率和均勻性 。逗些王要是依㈣設備之特性或供應氣體之特性而定, 特別是,均勻性非常容易被触刻設備之特性所影響,而宜 它三項特性難易受到所供應之氣體龍影響。 、 一4一 本紙張尺度適用中國國家榡 -----rJLIH------ΐτ丨丨.__^_丨4 — (請先閲讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印製 A7 --------B7___ 一 五、發明説明(> ) 最近,有一技術便是藉由加入氣體於供應的氣體中而 形成一聚合物,以便改進其外形,並且,在混合氣體中進 行電漿蝕刻過程,以達到極細緻且高度堆積之圖樣,這樣 的技術已經發展出了。此等用來改進這些外形之技術均已 在 VLSI 科技"一書(作為者 s. M. Sze,MCGrawHill Press於1988年第二版,第200至204頁)及美國第4 , .4 9 0,2 0 9號專利中被敘述了。 亦即’當一载有矽(SILICON-BEARING)之材料層係 以一傳統電漿蝕刻方法蝕刻時,含有氟(F)及氯(C1) 之齒素化合物係依據此薄層之性質而被供應至一主要之蝕 刻氣體中,並且,用來改進此一層之蝕刻外形及一下層之 選擇性,或者,用來做為一運送器,其它氣體係被供應至 一主要的蝕刻氣體以便混合。 混合後所供應之氣體具有預定之角色。因為如氦(
He)及氬(Ar)之惰性氣體具有相對較重之質量,對於一 主要之姓刻氣體而言,這樣的氣體伴演著一種運送器之角 色’而且’也扮演著藉由物理濺射(SPUTTERING)而蝕刻 一層之角色。此刻,氧氣(〇2)與氮氣(N2)在此電漿 放電時是以一激發狀態般之◦和N,或離子狀態般之02+ 和Nz+而呈現,而且,藉由增加或減少在蝕刻部份所產生 之聚合物,使其得以控制蝕刻之外形。 且’當在一電漿中之溴化氫(HBr)被分解後,溴化 物會被姓刻部份之一侧壁上所吸收,而形成一矽一溴( -5- I !..1 - -----·Γ1 1! 1Γ I I:'11·*^..... n * · { 1錆先閣讀背面之注意事項真填寫本寅) 订 ^ 本紙張尺度怍 ®^i^NS)A4^( 210X297^t) 五、發明説明(J ) S1-Br}系之聚合物。藉由中斷此蝕刻層之侧牆表面上與 氯之反應,這樣的矽一溴系聚合物係做為一保護層,以便 形成好的外形。 综上所述,藉由使用混合一典型之氯、溴化氫'氧氣 及氬氣之方法來蝕刻多晶矽層(POLISIUCON LAYER)之 結果將如第1 ( a )圖及1 ( b )圖所示。 在第1圖中,此多晶矽層之蝕刻外形之外觀係大約呈 一倒梯形狀,而且,此被蝕刻之垂直面係成為傾斜狀。 故’因為上述傳統電漿蝕刻方法,而無法在要被姓刻 之侧壁上提供令人滿意之垂直度,即,此傳統之電漿蝕刻 方式無法提供一種可達到極細緻且高度堆積之目標。 【目的及功效】 本發明之目的係提供一種半導體装置製造過程之電漿 蝕刻方法,以便改進對於一需要在被蝕刻之某一特定層上 具有極細緻及高度堆積之蝕刻外形之外觀。 本發明之次一目的係提供一種半導體裝置製造過程之 電漿蝕刻方法,以便增進在晶圃上被蝕刻之外形之外觀, 其係藉由混合一氣體與一主要蝕刻氣體,並供應該混合之 氣體於载有妙(SILIC0N_BEARING)之層以進行姓刻來達 成,其中,該氣體係因在電漿狀態而被分解成具有碳烯( CARBENE)'結構之中_ (intermediate),而該蝕刻輿 體係包括氟或氯。 本發明之另-目的係提供一種半導體裝置製造過程么 -6- 本紙張尺纽财關家297公釐-) 經濟部中央標準局員工消費合作社印製 3υ6〇2β α7 ________Β7 _ 五、發明説明(4) 電漿触刻之方法’以便增進在晶圓上被蝕刻之外形之外觀 ,其藉由混合一額外氣體與一主要蝕刻氣體,並供應該混 合之氣體於载有矽之層以進行蝕刻來達成,其中,該額外 .氣體中之溴及其它鹵素係均鍵合,而該蝕刻氣體係包括氟 或氯。 本發明之又一目的係提供一種半導體裝置過程之電漿 蝕刻之方法,以便增進在晶圓上被蝕刻之外形之外觀,其 係藉由混合一三溴化硼(B〇r〇N TRIBROMIDE)氣體與一含 有氟或蝕刻氣體,並供應該混合之氣體於載有矽之層以進 行蝕刻來達成。 本發明之再一目的係提供一種半導體裝置過程之電漿 蝕刻之方法,以便改善在晶圓上被蝕刻之外形之外觀,其 係藉由混合一氣體與一主要蝕刻氣體,並供應該混合之氣 體於金屬層(METAL LAYER)以進行蝕刻來達成,其中, 該氣體係因在電漿狀態而被分解成具有碳烯結構之中間體 ,而該蝕刻氣體係包括氟或氯。 【技術内容】 為了達成上述之目的,本發明係提供一種半導體裝置製 造過程之電漿蝕刻方法,其中,複數個供應氣體係被轉換 至電漿狀態,根據上面部份被光罩所罩住之圖樣,並藉由 一選擇性的反應及離子撞擊,以便對—晶圖上之多晶矽層 進行蝕刻,其特徵在於該方法係包括:—供應具有氯或氟 之触刻氣體及額外氣體之混合氣之步騍,在電漿放電下, -7- ^^WSiiiTcNS ) ( 210X297^ ) ~~~·-- (請先閱讀背面之注意事項再填寫本頁) '各衣* 、1Τ 經濟部中央橾準局員工消費合作社印製 Α7 Β7 五、發明説明(ζ) 該額外氣體係產生一碳烯結構之中間體;與,在一電衆狀 -態下產生一聚合物之步騍,該聚合物係由中間物質所組合 成,且,此多晶矽層之外形之側壁係被飿刻。 對於此蝕刻氣體,可以使用Cl2、BC1、HC1、SiCl4、 F2、SF6、CF4、CHFS、或其混合物,而此額外氣體係可以 使用CH3Br、CH2Br2、CHBr3、C2H5Br、C2H4Br2、或其混 合物。 另外,如果多晶矽之下層係有氧化矽(Si02)所構成 的話,為了介於這些層之間的蝕刻選擇性而言,可供入更 多的氧氣。此氯氣對氧氣之比例最好是2:1至6:1。 此蝕刻氣體與額外氣體可以產生中間體,以做為電感 耦合電漿(INDUCTIVELY COUPLED PLASMA)或電容精合電 漿(CAPACITIVELY COUPLED PLASMA),以便選擇性的姓 刻此多晶破層。 本發明也提供了一種半導體裝置製造過程之電榮勉刻 方法’其中,複數個供應氣體係被轉換至電漿狀態,根據 上面部份被光罩所罩住之圖樣,並藉由一選擇性的反應及 離子撞擊,以便對一晶圓上之氧化矽層進行蝕刻,其特徵 在於該方法係包括:一供應具有氟之蝕刻氣體及額外氣體 之混合氣之步驟,在電漿放電下,該額外氣體係產生一碳 烯結構之中間體;與,在一電漿狀態下產生一聚合物之步 騍,該聚合物係由中間體所組合成,且,此氧化矽層之外 形之側壁係被蝕刻。 (請先閲讀背面之注意事項再填舄本頁) -裝 --16 一 8-
A7 B7 經濟部中央標準局員工消費合作社印製 五、發明説明(G) 此触刻氣體最好係使m2、SF6、CF4_F3。 同時,本發明係提供—種用以触刻一具有玫材料的晶 圓上之半導體裝置製造過程之電賴刻方法,其中,蛾化 溴(BrI)或溴(Br2)(其中,溴及其它_素原子係均 為鍵合)係被供應至-触刻氣體,以形成一聚合物,以便 保護藉由選擇性勉刻此載有珍之層而產生之姓刻外形的侧 壁。 另外,本發明係提供一種半導體裝置製造過程之電漿 蝕刻方法,其中,複數個供應氣體係被轉換至電漿狀態, 根據上面部份被光罩所罩住之圖樣,並藉由一選擇性的反 應及離子撞擊,以便對-晶圓上載有珍之層進行蚀刻, 中,此触刻氣體及三漠化硼係被供應以做為供應氣體〜b 此,聚合物係在蝕刻外形的侧壁上形成,其中,載有矽之 層係被由電漿放電而產生之溴及溴化硼所蝕刻。 此外’本發明係提供一種半導體裝置製造過程之電 蝕刻方法,其中,.複數個供應氣體係被轉換至電漿狀態’ 根據上面部份被光罩所罩住之圖樣,並藉由一選擇性的反 應及離子撞擊,以便對一晶圓上之金屬層進行蝕刻,其特 徵在於該方法係包括:一供應具有蝕刻氣體及額外氣體之 混合氣之步驟’在電漿放電下,該額外氣體係產生一碳烯 結構之中間體;與,在一電漿狀態下產生一聚合物之夕 ,其係由中間體所組合成,且,此多晶矽層之外形之側 係被蝕刻。 其 藉 漿 驟 壁 (請先聞讀背面之注意事項再填寫本頁) -" 9- 本紙張财賴家網t ( CNS ) Α4· (21()><297公瘦) 3〇6〇2β Α7 ---------Β7 五、發明説明(7 ) ------ 【圖式簡單説明】 妓以較佳實翻並配合圖式詳減财發明於後: f1 ( a )圖及第i ( b )圖係為照片,其係顯示出 、統之半導體裝置製造過程之電賴刻方法,在對一 載有矽之層進行蝕刻後之外形。 、第2 _為~*晶®之剖翅,以便能龍此根據本發 明之種半導H裝㈣造髓之駿方法之實施例。 (a )圖及第3 ( b )圖係為照片,其顯示出本 發明實施例中對-載有轉料之-層進㈣刻之外形。 【實施例之詳細説明】 根據本發明,蝕刻係對一晶圓上所形成之某一特定層 進行,而且該特定層係包括一載有矽材料之層,該層包括 一多晶矽(POLYSILICON )層及一氧化矽(SILICON OXIDE)層。 對於上逑各層之蝕刻,係可藉由不同之實施例,根據 每一層之特性,分別選定適當之主要蝕刻氣體及要混合用 之額外氣體即可完成。 首先’應用在一多晶矽層之第一實施例將配合第2圖 敘述於後。 參閲第2圖,在一晶圓上,依序有一基層1 0、一氧 化妙層1 2、一多晶矽層1 4及一抗光(PHOTORESIST) 層1 6。 此基層1 0係為一由單晶矽成份組成之晶圓,而此氧 -10- 本紙張尺度適用中國國家標準(CNS ) A4规格(210X 297公釐) (請先閱讀背面之注意事項再填寫本頁)
,1T 經濟部中央標準局員工消費合作社印製 A7 A7 經濟部中央標準局員工消費合作社印製 五、發明説明(p) 化矽層12係在基層1〇上形成數百埃(A)之厚度,該 多晶矽層14係再沉積上數千埃之厚度,以形成電晶體用 之閘(GATE),且,此抗光層係被覆蓋上,以便罩住多晶 妙層14上不要被蝕刻之部份。 關於一種具有如第2圖中所示之層狀結構之晶圓,在 其上進行蝕刻過程之設備,可以使用一電感耦合電漿式之 方式做為一電漿源,其中,該電漿係藉由環繞在一石英管 (圖中未示)上之線圈而產生,或者,使用—種呈濃縮狀 態之電容耦合式電漿。當使用電感耦合式電漿時,一具有 數層互相重疊板片之擴散器(DIFFUSER)係装設於一反應 器(圖中未示)之前,以便能均匀的將此蝕刻氣體混合。 而且,施加在電漿產生之電或是直流偏電壓之形成均可以 使用無線電頻率(RADI0 FREQUENCY )。此温度條件係在 一範圍內改變,以適合所使用之氣體特性。 如上所述,在設定完電漿蝕刻設備之環境後,係提供 一主要蝕刻氣體,其係擇自一組包含氯之Cl2、ΒΠ、ΗΠ、 或SiCl4之中,及,介於氣體間之甲烷化溴(Br〇m〇一 此thane),藉由電漿放電,其係產生由碳姆結構所組成 之中間體,亦即,如CH3Br、CH2Br2、啊3、以扣或 C2H4Br2,其係被供應以做為一額外氣體。在會產生這樣的 碳稀結構的氣體間敍甲燒化溴的原因是,使用在室温( 約攝氏2 0度)下為氣態之氣體比較容易控制一些參數, 例如蒸氣壓力。另外,其它的會產生碳燦結構之中間體之 ~11- 本紙張尺度適财CNS ) A4^¥T1"i〇X297^^ ) (請先閱讀背面之注意事項再填寫本頁) -士’衣.
-•IT A7 B7 五、發明説明(^ ) 氣體也可以藉由改變温度情形而被選擇性的使用。 另外,氧氣(或氮氣)係被供應以做為其它額外之氣 體’以便控制其外形,而惰性氣體中(急及氧)之敷係被 供應,以便做為一運送器。雖然姓刻之進行係藉著供應含 有氟之Fg、SFG、CF4或CHF3,以做為一主要之蝕刻氣體 ,對於此多晶矽層1 4而言,在一含有氯之主要蝕刻氣體 被供應的情況下,此蝕刻反應將會被做為第一實施例來描 述。 上述所提之氣體(主要蝕刻氣體、Cl2、CH3Br、02及 氬等)係被供應,藉由無線電頻率,在電漿狀態下,而被 轉換成中間體。其構成係相同,其化學反應如下:
Rf
Cl2 > Cl + ci2 +其它物質 〈平衡式工〉
Rf CH3Br------> Br + CxHyBrz +其它物質 〈平衡式2> (其中,X是0或1,y及Z是分別代表0至2,且Rf 表示無線電波頻率)
Rf °2 〉0 + 〇2— +其它物質 〈平衡式3> 另外,對於<平衡式1 >及<平衡式3 >,惰性氣體係被 分解且被活化》 如上所述,在電漿狀態下被活化之成份將會與未在抗 -12 - 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閱讀背面之注意事項再填寫本頁) •装1 訂 經濟部中央標準局員工消費合作社印製 A7 B7 經濟部中央標準局員工消費合作社印製 五、發明説明(P ) 光層1 6覆蓋下之多晶妙層1 4反應,其平衡式如下: C1 (或Cl2+) +多晶矽層之矽表面——> SiCl 〈平衡式4 > Br +多晶矽層之矽表面----> SiBr 〈平衡式5> CxHyBrz +多晶矽之矽表面----> 聚合物鏈 〈平衡式6 > 在〈平衡式1 >中之被活化的氯,藉由氬做為一運送氣 體而移向多晶矽之表面’而且’會根據<平衡式4 >與多晶 矽1 4之表面所包含之矽化物鍵合,以產生氯化矽(SiCl ),而且,此氯化矽係沉積在此多晶矽層14之表面上。 而且,在〈平衡式2 >中在此電漿狀態下產生之漠係結 合到多晶矽層1 4之表面上所包含之矽的成份上,以便形 成SiBr聚合物’且該SiBr聚合物係如〈平衡式5 >而沉積至 此表面上。 同時,在<平衡式2>中所產生之CxHyBrz係為—具有 H-C-Br結構之碳烯,而且,其係如<平衡式6〉與多晶矽工 4之表面所包含之矽成份鍵合。故,以鏈狀鍵合之聚合物 ,即如CxHyBrz- CxHyBrz鍵,係出現在此表面上,且此外 形之表面及側壁係因蝕刻而成形。 在本案中,碳稀,CxHyBrz,其中,每個構成之成份係 以χ··γ··ζ之比例之形式,其變化的範圍係為χ是〇至1, 本紙張尺度顧巾關家 (請先閲讀背面之注意事項再填寫本頁) X.
'1T 線 -13- A7 A7 經濟部中央榡準局員工消費合作衽印製 五、發明説明(\\ ) 且y和z係0至2。在考慮這樣的比例後,溴化氫碳烯 (:CHBr)及雙氧碳;1% ( :CH2)及雙溴碳歸(:叫)係分 別產生且得以形成聚合物。 亦即,藉由上述提及之過程所產生之SiC1&SiBr,晶 圓之多晶妙層1 4係被蚀刻,如第3圖所示,且,當此多 晶碎層係捕刻時,SiB4CxHyBrz_ Cx%Brz係在要被吸 收’而在要蝕刻的表面上形成一聚合物。 聚合物可以在多晶珍層!4上之外形之表面上形成, 亦即,在侧壁及底部。在侧壁上形成之聚合物丄8,藉由 中斷在多晶妙表面上含有㈣部份與在電紫狀態下之氣之 反應,而能夠使得側壁之外形具有垂直性。且,當此外形 之侧壁之垂直度係由聚合物i 8所保護時,側壁之過度餘 刻或者是飿刻成不正常之外形均可以避免。但是,藉由中 斷在多晶妙層14表面上含有较的部份與在電疑狀態下之 氣的反應,此在底部形成之聚合物係做為一避免被触刻之 元件。然而,因為這些聚合物係藉由物理濺射活化的复而 被除去,所以底部之聚合物不會影響到蝕刻之進行。 如上所述之過程#,在進行蝕刻的過程中,電漿蝕刻 用的氬之物理濺射,不僅用在多晶矽層:4之,而且也用 在光罩用之抗光層1 6之表面上。此刻,碳之成份係被包 含在此抗光層中,且因為氬之物理濺射,使此碳之成份被 擴散(diffused )。 因為碳對氧具有親合性,當多晶妙之較下層部份包含 (請先閲讀背面之注意事項再填寫本頁) 裝- -so -14-
經濟部中央榡準局員工消費合作社印製 A7 B7 五、發明説明(") S ---- 如第2圖之氧化妙層1 2,根據蝕刻的程度,碳則頃向在 位於多晶妙層1 4下面之氧化移^ 2所包含之氧結合。 因此,當氧化妙之氧與碳結合時,在氧化妙層丄2上 會發生蚀刻,且介於這些層之間之蚀刻之選擇性將會被破 壞。根據本發明之實施例,氧氣係被供應,以避免此情形 。然後,氧變成電漿狀態,而且轉換成激發狀態(〇)或 離子狀態((V),而且,這些會於碳結合,而變成一氧化 碳(C0)及二氧化碳(C02)。 故,由於在蝕刻過程中所產生之碳,供應氧氣及氮氣 可以避免將介於這些層間之選擇性破壞。 然而,比起氯氣之量而g ,如果氧氣之量太多的話, 將不會進行蝕刻,故,所供應之氧氣的量必須在一適當之 程度。而所供應之氧氣量對主要蝕刻氯氣量之比例最好是 2 : 1 至 6 : 1。 本發明之上述第一實施例之測試結果係顯示在第3 ( a )圖及第3 ( b )圖》 此測試之條件為,供應氯以做為主要蝕刻氣體,且, CH3Br、02及惰性氣體係藉著混入一額外氣體而被使用。 此蝕刻設備之情形係被定為電力是8 0 0瓦(W )至1 0 00瓦,偏電壓是0 — 300瓦,且總壓力是2— 1 5毫 托(mT)。同時,每一供入之氣體係被提供且被控制而供 入,此氯係為1 0 — 2 0 0 seem (每分鐘之標準立方公分 ,STANDARD CUBIC CENTIMETER PER MINUTE),CH3B]:係 -15- 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) (請先閱讀背面之注意事項再填寫本頁) .裝
,1T V東 B7 五、發明説明(t ) ) ~ 2 1。Osccm ’氧係〇 _ i G Gsccffl,且惰性氣體係為 0 — 2 0 0 seem。 此氣體的單位,sccm,係代表每分鐘所供應之流體在 攝氏〇度及1大氣壓(atm )下之量。而且,用以控_ 行蚀刻之內部温度之電極的溫度係被定在攝氏-2 0至6 〇度之間。 ‘ 第3 (a)及3 (b)圖中之外形係為基於上述條件 驗之結果,鮮1 ( a )及1 ( b ) ®之外形係根據 傳統触刻方法之結果,當將第3(a)及3(b)圖與第 1 ( a )與1 (b )圖做此較時可以發現,在第3 ( a ) —及3 ( b )圖中,介於抗光層1 6與多晶妙層1 4間之邊 界可以維持成-平直線狀,而且整個外形係為一方形的, 這是因為側壁是垂直的。然而,在第1(a)及1(b) 圖,介於抗光層1 6與多晶致層工4間之邊界無法維持成 -平直線狀,而且整㈣形係為—倒的,這是因為側 壁是傾斜的。 同時,在第3(a)及3(b)圖中,可以得知此為 經濟部中央榡準局員工消費合作社印製 壤溝(TRENCH)現象’其係發生在高濃度之電親刻過程 之中,但不見得總是會出現。 因此,根據本發明之第—實施例,它是很容易達成及 .尚度堆積及極細緻之圖樣,這是因為如第3 ( a )及3 ( b )圖所示,表面是平坦且垂直的。 而且’根據本發明’在第二實施例之方法中,係使用 -16- 本紙張尺度適用中國國} - 經濟部中央標準局員工消費合作社印製 A7 B7 五、發明説明(叫) 含有氟之f2、SF6、CF4、$ CHf3氣體,做為主要蚀刻氣 體,而供應進入之額外氣體,係選自—組含有CH3Br、 CH2Br2、CHBr3、C2H5Br或C2H4Br2之-,其係藉由電疑蝕 刻而產生具有破婦結構之中間體,以便做為蚀刻多晶较層 之用其中,該層係為一載有妙之層。 在上述的第二實施例中,其它触刻用之混入氣體係與 第-實施例中-樣,而且,此設備之蚀刻環境幾乎是相同 的,而此位於侧壁上由蝕刻所生成之聚合物,係做為一保 護層,結果,使得此蝕刻外形之外觀得以改進。所以,和 第一實施例相同的是,此第二實施例也適合達成高度堆積 及極細緻之目的。 關於第二實施例而言,本發明係可應用在對氧化矽層 之蝕刻,在第三實施例中,使用一含有氟之p2、SFe、 或CHF3之主要蝕刻氣體,以便對典型之氧化矽進行蝕刻, 並混合選自一組具有CH3Br、CH2Br2、CHBr3、C2H5Br或 ’其係藉由電榮放電而產生一具有碳稀結構之中間 體’而且’其它之氣體也混合入,如此可以姓刻氧化碎層 ,將會有如第一實施例一樣之結果。 同時,關於第四實施例,BrI或Bi:2其中之—係被供應 至一主要蝕刻氣體,其中之溴係與屬於鹵素元素之—的原 子結合,藉此混合,以在此侧壁上形成聚合物,以便能名虫 刻一載有矽之層。在第五個實施例中,BBr3係以—額外氣 體混入一主要蝕刻氣體中,以便能進行蝕刻,結果,可以 -17- 本紙張尺度適用中國國家標準(CNS ) A4规格(21〇><297公釐) ; :^-------訂---------^ (請先閱讀背面之注意事項再填寫本頁) A7 __ _B7 五、發 ) ~~~ 獲得好的外形。 、除了前述提及之第-至第五實施例,對—載有砂材料 之層做乾式餘刻之外,根據本發明之第六實施例,由電據 放電而產生具有碳烯結構之成份,係被做為一額外氣體, 對於金屬而言,以在要被蝕刻的部份形成聚合物,結果, 可以獲得外形之改良,以及保證侧壁之垂直度。 因此,蝕刻外形之改進是在乾式蝕刻中所要考慮之綑 節中取難處理的事,而此係根據本發明所提供之相關氣體 之中間體所形成之聚合物來達成。而根據本發明所達成:t 乾式蝕刻層之外形係提供了一完美的垂直度。 故’根據本發明,鮏刻層之外形得以增進,所以它足 以應用在需要極細緻及高度堆積之半導體裝置,藉此,具 有高容量以及高功能之半導體装置係可達成。 以上所示及所提及之敘述僅是藉由特定實施例詳細説 明本發明,對於精於此藝之人士而言,將該實施例所做的 任何簡單修改與變化,皆不脱離如後所附之申請專利範圍 所定義之本發明之精神與範圍。 广褚先閲请背初年汉意事項於填寫本貫〕 -In I - \__· -έ 經濟部中央標準局員工消費合作杜印聚 本纸張尺度適用中國國家標準(CNS ) Α4規格(210 X 297公嫠)

Claims (1)

  1. 經濟部中央標準局與工消費合作杜印组 3〇6〇2β申請專利範圍 【申請專利範圍】 1 .一種半導體裝置製造過程之電漿蝕刻方法,其中,複 數個供應氣體係被轉換至電漿狀態,根據上面部份被 光罩所罩住之圖樣,並藉由一選擇性的反應及離子撞 擊,以便對一晶圓上之多晶矽層進行蝕刻,其特徵在 於該方法係包括:一供應具有氯之蝕刻氣體及額外氣 體之混合氣之步驟,在電漿放電下,該額外氣體係產 生一碳烯結構之中間體·,與,在一電漿狀態下產生一 聚合物之步驟,該聚合物係由中間體所組合成,且, 此多晶妙層之外形之側壁係被蝕刻。 2·依申請專利範圍第1項所述之半導體裝置製造過程之 電漿飿刻方法,其中,該飩刻氣體係選自一組具有 Cl2、BC1、HC1、SiCl4或其混合物之氣體。 3. 依申請專利範圍第1項所述之半導體裝置製造過程之 電漿蝕刻方法,其中,氦及氬氣(其為惰性氣體)係 被提供以做為一運送氣體’以便能藉由撞擊之方式使 多晶矽之表面蝕刻產生之副產品掉離。 4. 依申請專利範圍第1項所述之半導體裝置製造過程之 電漿蝕刻方法,其中,此額外氣體係選自一組具有 CH3Br、CH2Br2、CHBr3、C2H5Br、或C2H4Br2、或其 混合物之氣體》 5. 依申請專利範圍第1項所述之半導體裝置製造過程之 電漿飿刻方法,其中,此碳稀結構之中間體係為 一 19一 A8 B8 C8 ηβ
    (請先閱讀背面之注意事項再填寫本頁) 裝 、?τ 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) 經濟部中央標準局員工消費合作社印製 A8 B8 C8 D8 六、申請專利範圍 CxHyBrz(x,y,及z係分別代表零或自然數)。 ' 6·依申請專利範圍第5項所述之半導體裝置製造過程之 電漿蝕刻方法,其中,此中間體係為溴化氫碳烯( :CHBr)。 7·依申請專利範圍第5項所述之半導體裝置製造過程之 電漿蝕刻方法,其中,此中間體係為雙氫碳烯(:CH2 )。 8·依申請專利範圍第5項所述之半導體裝置製造過程之 電漿飿刻方法,其中,此中間體係為雙溴碳烯(:CBr2 )° 9·依申請專利範圍第1項所述之半導體裝置製造過程之 電漿蝕刻方法,其中,此蝕刻係在室温下進行,且甲 烷化溴(CH3Br)係被供應做為此額外氣體。 1〇·依申請專利範圍第1項所述之半導體裝置製造過程 之電漿蝕刻方法,其中,若此多晶矽之下層是由氧 化矽(Si02)所構成的話,將更進一步供應氧氣( 〇2),以增加這些層間之蝕刻選擇性。 11·依申請專利範圍第1項所述之半導體裝置製造過程 之電漿蝕刻方法,其中,所供應之氯氣及氧氣之比 例為2 : 1至6 : 1。 .12.依申請專利範圍第1項所述之半導體裝置製造過程 之電漿蝕刻方法,其中,此蝕刻氣體及額外氣體係 被轉換成一中間體,其係藉由電感耦合電漿式之電 -20- (請先閲讀背面之注意事項再填寫本頁) 裝. 本紙張尺度適用中國國家標準(CNS)A4規格(210X 297公釐) 蛵濟部中央標準局員工消費合作社印製 A8 B8 —--_ 申μ專利範圍 漿源,來選擇性的蝕刻此多晶矽層。 13.依申請專利範圍第1項所述之半導體裝置製造過程 之電漿蝕刻方法,其中,此蝕刻氣體及額外氣體係 被轉換成一中間體,其係籍由電容耦合電漿式之電 漿源,來選擇性的蝕刻此多晶矽層。 14·—種半導體裝置製造過程之電漿蝕刻方法,其中, 複數個供應氣體係被轉换至電漿狀態’根據上面部 份被光罩所罩住之圖樣,益藉由一選擇性的反應及 離子撞擊,以便對—晶圓上之多晶矽層進行蝕刻, 其特徵在於該方法係包括:一供應具有氟之蝕刻氣 體及額外氣體之混合氣之步驟,在電漿放電下,該 額外氣體係產生一碳烯結構之中間體;與,在一電 漿狀態下產生一聚合物之步驟,該聚合物係由中間 體所組合成,且,此多晶矽層之外形之側壁係被蝕 刻。 1 5 ·依申請專利範圍第1 4項所述之半導體裝置製造過 程之電漿蝕刻方法,其中,該蝕刻氣體係由選自一 組具有F?、SF6、CF4、或CHF3、或其混合物之氣體 1 6 .依申請專利範圍第1 4項所述之半導體裝置製造過 程之電漿蝕刻方法,其中,氦及氬氣(其為惰性氣 體)係被提供以做為一運送氣體,以便能藉由撞擊 之方式使多晶矽之表面蝕刻所產生之副產品掉離。 -21- 本紙張尺度適用中國國家標導(CNS)A4规格(210x 297公釐) (請先閲讀背面之注意事項再填寫本頁) 裝 %....... 經濟部中央標準局員工消費合作社印製 A8 B8 C8 D8 &、申請專利範圍 1 7 ·依申請專利範圍第1 4項所述之半導體裝置製造過 程之電漿蝕刻方法,其中,此額外氣體係選自一組 具有CH3Br、CH2Br2、CHBr3、C2H5Br、或C2H4Br2 、或其混合物之氣體。 1 8 ·依申請專利範圍第1 4項所述之半導體裝置製造過 程之電漿蝕刻方法,其中,此碳烯結構之中間體係 為CxHyBrz(x,y,及z係分別代表零或自然數)。 19·依申請專利範圍第18項所述之半導體裝置製造過 程之電漿蝕刻方法,其中,此中間體係為溴化氫碳 烯(:CHBr )。 2 0 ·依申請專利範圍第1 8項所述之半導體裝置製造過 程之電漿蝕刻方法,其中,此中間體係為雙氫碳烯 (:CH2)。 21·依申請專利範圍第18項所述之半導體裝置製造過 程之電漿蝕刻方法,其中,此中間體係為雙溴碳烯 (:CBr2)。 2 2 ·依申請專利範圍第1 4項所述之半導體裝置製造過 程之電漿蝕刻方法,其中,若此多晶矽之下層是由 氧化矽(Si02)所構成的話,將更進一步供應氧氣 (〇2),以增加這些層間之蝕刻選擇性。 2 3 ·依申請專利範圍第1 4項所述之半導體裝置製造過 程之電漿蝕刻方法,其中,此蝕刻氣體及額外氣體 係被轉換成一中間體,其係藉由電感耦合電漿式之 -22- (請先閱讀背面之注意事項再填寫本頁) ί *1Τ - 本紙張尺度逋用中國國家標準(CNS ) A4规格(210X297公釐) 經濟部中央標準局負工消費合作社印製 __— 1 六、申請專利範圍 電漿源,來選擇性的蝕刻此多晶矽層。 2 4 .依申請專利範圍第1 4項所述之半導體裝置製造過 程之電漿蝕刻方法,其中,此蝕刻氣體及額外氣體 係被轉换成一中間體,其係藉由電感耦合電漿式之 電漿源,來選擇性的蝕刻此多晶矽層β 2 5 . —種半導體裝置製造過程之電漿蝕刻方法,其中, 複數個供應氣體係被轉換至電漿狀態,根據上面部 份被光罩所罩住之圖樣,放藉由一選擇性的反應及 離子撞擊,以便對—晶圖上之氧化矽層進行蝕刻, 其特徵在於該方法係包栝:/供應具有氟之蝕刻氣 體及額外氣體之混合氣之步騍,在電漿放電下,該 額外氣體係產生一破炼結構之中間體;與,在一電 漿狀態下產生一聚合物之步驟,該聚合物係由中間 體所組合成,且,此氧化矽層之外形之侧壁係被蝕 刻。 2 6 .依申請專利範圍第2 5項所述之半導體裝置製造過 程之電漿蝕刻方法,其中,該蝕刻氣體係由選自一 組具有F2、SF6、CF4或CHF3或其混合物之氣體。 2 7 .依申請專利範圍第2 $項所述之半導體装置製造過 程之電漿蝕刻方法,其中,氦及氬氣(其為惰性氣 體)係被提供以做為一運送氣體,以便能藉由撞擊 之方式使氧化矽之表面勉刻所產生之副產品掉離。 2 8 .依申請專利範圍第2 5項所述之半導體裝置製造過 -23- (請先閲讀背面之注意事項再填寫本頁)
    A8 B8 C8 D8 306026 々、申請專利範圍 程之電漿蝕刻方法,其中,此額外氣體係選自一組 具有CH3Br、CH2Br2、CHBr3、C2H5Br或C2H4Br2、 或其混合物之氣體。 2 9 ·依申請專利範圍第2 5項所述之半導體裝置製造過 程之電漿蝕刻方法,其中,此碳烯結構之中間體係 為CxHyBrz. ( X,y,及z係分別代表零或自然數)。 3 0 ·依申請專利範圍第2 9項所述之半導體裝置製造過 程之電漿蝕刻方法,其中,此中間體係為溴化氫碳 烯(:CHBr )。 3 1 ·依申請專利範圍第2 9項所述之半導體装置製造過 程之電漿蝕刻方法,其中,此中間體係為雙氫碳烯 (:CH2 )。 3 2 ·依申請專利範圍第2 9項所述之半導體裝置製造過 • 程之電漿蝕刻方法,其中,此中間體係為雙溴碳烯 (:CBr2)。 3 3 ·依申請專利範圍第2 5項所述之半導體裝置製造過 程之電漿蝕刻方法,其中,此蝕刻氣體及額外氣體 係被轉换成一中間體,其係藉由電感耦合電漿式之 電漿源,來選擇性的蝕刻此氧化矽層。 3 4 ·依申請專利範圍第2 5項所述之半導體裝置製造過 程之電漿蝕刻方法,其中,此蝕刻氣體及額外氣體 係被轉換成一中間體,其係藉由電感耦合電漿式之 電漿源,來選擇性的蝕刻此氧化矽層。 -24— 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁) 、va 經濟部中央標準局員工消費合作社印製
    經濟部中央標準局員工消費合作社印製 A8 B8 C8 D8 六、申請專利範圍 3 5 · —種用以蝕刻一具有矽材料的晶圓上之半導體裝置 製造過程之電漿蝕刻方法,其中,碘化溴(BrI) 或溴(Br2)(其中,溴及其它鹵素原子係均為鍵 合)係被供應至一蝕刻氣體,以形成一聚合物,以 便保護藉由選擇性蝕刻此載有矽之層而產生之蝕刻 外形的側壁。 1 3 6 · —種半導體裝置製造過程之電漿蝕刻方法,其中, 複數個供應氣體係被轉換至電漿狀態,根據上面部 份被光罩所罩住之圖樣,並藉由一選擇性的反應及 離子撞擊,以便對一晶圖上載有矽之層進行蝕刻, 其中,此蝕刻氣體及三溴化硼(BBr3)係被供應以 做為供應氣體,藉此,聚合物係在蝕刻外形的側壁 上形成,其中,載有矽之層係被由電漿放電而產生 之溴及溴化硼所蝕刻。 3 7 · —種半導體裝置製造過程之電漿蝕刻方法,其中, 複數個供應氣體係被轉换至電漿狀態,根據上面部 份被光罩所罩住之圖樣,並藉由一選擇性的反應及 離子撞擊,以便對一晶圓上之金屬層進行蝕刻,其 特徵在於該方法係包括:一供應具有蝕刻氣體及額 外氣體之混合氣之步驟,在電漿放電下,其係產生 一碳烯結構之中間體來做為此供應氣體;與,在一 電漿狀態下產生一聚合物之步騍,其係由中間物質 所組合成,且,此多晶矽層之外形之侧壁係被蝕刻 -25- 本紙張尺度逋用中國國家標準(CNS ) A4規格(210X297公釐) n I - - m m n n HI ^ ------------- . ------- ! Γ 1 . > . - -. - · { 、\'旮 务一 (請先閱讀背面之注意事項再填寫本頁) A» Bcl ____________-^ 六、申請專利範固 ο 38.依申請專利範圍第37項所述之半導體裝置製造過 程之電漿蝕刻方法,其中,該蝕刻氣體係由選自/ 組具有Cl2、BC1、HC1、或SiCl4,或其混合物之氣 體。 3 9 .依申請專利範圍第3 7頃所述之半導體裝置製造過 程之電漿蝕刻方法,其中,氦及氬氣(其為惰性氣 體)係被提供以做為—速送氣體,以便能藉由撞擊 之方式使多晶矽之表面蝕刻所產生之副產品掉離。 4 0 .依申請專利範圍第3 7項所述之半導體裝置製造過 程之電漿蝕刻方法,其中,此蝕刻氣體係選自一組 具有F2、SF6、CF4、或、CHF3、或其混合物之中。 4 1 .依申請專利範圍第3 7項所述之半導體裝置製造過 程之電漿蝕刻方法,其中,此額外氣體係選自具有 CHBr3、CH2Br2、CHBr3、C2H5Br或、c2H4Br2、或其 混合物之中。 經濟部中夬梂準局貝工消費合作社印裝 C请先聞讀背面之注意事項存填寫本頁) 線( 4 2 ·依申請專利範圍第3 7項所述之半導體裝置製造過 程之電漿蝕刻方法,其中’此碳烯結構之中間體係 為(:}(}1,1'2(乂,7,及z係分別代表零或自然數)。 4 3 .依申請專利範圍第3 7項所述之半導體裝置製造過 程之電漿蝕刻万法,其中,此中間體係為溴化氫碳 嫌(:CHBr )。 4 4 .依申請專利範圍第3 7項所述之半導體裝置製造過 -26- 家標準(CNS ) A4規格(210X297^^1 ' 306026 ττ、申請專利範圍 程之電漿蝕刻方法,其中,此中間體係為雙氫碳稀 (:ch2)。 4 5 ·依申請專利範圍第3 7項所述之半導體裝置製造過 程之電漿蝕刻方法,其中,此中間體係為雙溴碳稀 (:CBr2)。 4 6 ·依申請專利範圍第3 7項所述之半導體裝置製造過 程之電漿蝕刻方法,其中,若此金屬層之下層是由 氧化矽(Si02)所構成的話,將更進一步供應氧氣 (〇2),以增加這些層間之蝕刻選擇性。 (請先閱讀背面之注意事項再填寫本頁) 乂. '1T 經濟部中央標準局員工消費合作社印製 - 27 - 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐)
TW085110602A 1996-05-08 1996-08-30 Method for plasma etching in a process for fabrication of semiconductor device TW306026B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1019960015149A KR100230981B1 (ko) 1996-05-08 1996-05-08 반도체장치 제조공정의 플라즈마 식각 방법

Publications (1)

Publication Number Publication Date
TW306026B true TW306026B (en) 1997-05-21

Family

ID=19458133

Family Applications (1)

Application Number Title Priority Date Filing Date
TW085110602A TW306026B (en) 1996-05-08 1996-08-30 Method for plasma etching in a process for fabrication of semiconductor device

Country Status (7)

Country Link
US (1) US5900163A (zh)
JP (1) JP2807445B2 (zh)
KR (1) KR100230981B1 (zh)
CN (1) CN1132232C (zh)
DE (1) DE19636288B4 (zh)
GB (1) GB2313230B (zh)
TW (1) TW306026B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7226868B2 (en) 2001-10-31 2007-06-05 Tokyo Electron Limited Method of etching high aspect ratio features

Families Citing this family (146)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2956602B2 (ja) * 1996-08-26 1999-10-04 日本電気株式会社 ドライエッチング方法
JP3252780B2 (ja) * 1998-01-16 2002-02-04 日本電気株式会社 シリコン層のエッチング方法
US6083815A (en) * 1998-04-27 2000-07-04 Taiwan Semiconductor Manufacturing Company Method of gate etching with thin gate oxide
US6069086A (en) * 1998-04-30 2000-05-30 Applied Materials, Inc. Non-HBr shallow trench isolation etch process
US6232219B1 (en) * 1998-05-20 2001-05-15 Micron Technology, Inc. Self-limiting method of reducing contamination in a contact opening, method of making contacts and semiconductor devices therewith, and resulting structures
KR100550348B1 (ko) * 1998-07-22 2006-05-17 삼성전자주식회사 반도체소자의 식각방법
US6107206A (en) * 1998-09-14 2000-08-22 Taiwan Semiconductor Manufacturing Company Method for etching shallow trenches in a semiconductor body
US6583063B1 (en) 1998-12-03 2003-06-24 Applied Materials, Inc. Plasma etching of silicon using fluorinated gas mixtures
US6235214B1 (en) * 1998-12-03 2001-05-22 Applied Materials, Inc. Plasma etching of silicon using fluorinated gas mixtures
US6312616B1 (en) 1998-12-03 2001-11-06 Applied Materials, Inc. Plasma etching of polysilicon using fluorinated gas mixtures
KR100511929B1 (ko) * 1998-12-15 2005-10-26 주식회사 하이닉스반도체 반도체 장치의 콘택홀 형성방법_
JP2000208488A (ja) * 1999-01-12 2000-07-28 Kawasaki Steel Corp エッチング方法
KR100881472B1 (ko) * 1999-02-04 2009-02-05 어플라이드 머티어리얼스, 인코포레이티드 소정 기판 상에 놓여져 있는 패턴화된 마스크 표면 위로 적층 구조물을 증착하기 위한 방법
US6583065B1 (en) 1999-08-03 2003-06-24 Applied Materials Inc. Sidewall polymer forming gas additives for etching processes
US6565759B1 (en) * 1999-08-16 2003-05-20 Vanguard International Semiconductor Corporation Etching process
US6306313B1 (en) 2000-02-04 2001-10-23 Agere Systems Guardian Corp. Selective etching of thin films
US6391790B1 (en) 2000-05-22 2002-05-21 Applied Materials, Inc. Method and apparatus for etching photomasks
US7115523B2 (en) * 2000-05-22 2006-10-03 Applied Materials, Inc. Method and apparatus for etching photomasks
JP2002343798A (ja) * 2001-05-18 2002-11-29 Mitsubishi Electric Corp 配線層のドライエッチング方法、半導体装置の製造方法および該方法によって得られた半導体装置
US7183201B2 (en) * 2001-07-23 2007-02-27 Applied Materials, Inc. Selective etching of organosilicate films over silicon oxide stop etch layers
US6872652B2 (en) * 2001-08-28 2005-03-29 Infineon Technologies Ag Method of cleaning an inter-level dielectric interconnect
KR20030071195A (ko) * 2002-02-28 2003-09-03 주식회사 하이닉스반도체 반도체 제조용 플라즈마 식각 공정
KR20040012451A (ko) * 2002-05-14 2004-02-11 어플라이드 머티어리얼스, 인코포레이티드 포토리소그래픽 레티클을 에칭하는 방법
US20060060565A9 (en) * 2002-09-16 2006-03-23 Applied Materials, Inc. Method of etching metals with high selectivity to hafnium-based dielectric materials
US20040224524A1 (en) * 2003-05-09 2004-11-11 Applied Materials, Inc. Maintaining the dimensions of features being etched on a lithographic mask
US7141505B2 (en) * 2003-06-27 2006-11-28 Lam Research Corporation Method for bilayer resist plasma etch
US20050029226A1 (en) * 2003-08-07 2005-02-10 Advanced Power Technology, Inc. Plasma etching using dibromomethane addition
KR100641952B1 (ko) * 2004-02-06 2006-11-02 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
WO2006003962A1 (ja) * 2004-07-02 2006-01-12 Ulvac, Inc. エッチング方法及び装置
US7598176B2 (en) * 2004-09-23 2009-10-06 Taiwan Semiconductor Manufacturing Co. Ltd. Method for photoresist stripping and treatment of low-k dielectric material
KR100623592B1 (ko) * 2004-10-30 2006-09-19 주식회사 하이닉스반도체 반도체 소자의 게이트 전극 형성 방법
KR100562657B1 (ko) * 2004-12-29 2006-03-20 주식회사 하이닉스반도체 리세스게이트 및 그를 구비한 반도체장치의 제조 방법
US8293430B2 (en) * 2005-01-27 2012-10-23 Applied Materials, Inc. Method for etching a molybdenum layer suitable for photomask fabrication
EP1816674A1 (fr) * 2006-02-01 2007-08-08 Alcatel Lucent Procédé de gravure anisotropique
JP4865373B2 (ja) * 2006-03-17 2012-02-01 株式会社日立ハイテクノロジーズ ドライエッチング方法
US20090068844A1 (en) * 2006-04-10 2009-03-12 Solvay Fluor Gmbh Etching Process
TW200917417A (en) * 2007-10-01 2009-04-16 Nanya Technology Corp Interconnection process
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
KR20120000612A (ko) 2010-06-28 2012-01-04 삼성전자주식회사 반도체 장치의 제조 방법
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
CN104124148B (zh) * 2013-04-26 2017-08-22 中微半导体设备(上海)有限公司 硅片刻蚀方法
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
CN104779151B (zh) * 2014-01-13 2018-01-26 北大方正集团有限公司 一种多晶硅刻蚀方法
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
JP6289996B2 (ja) * 2014-05-14 2018-03-07 東京エレクトロン株式会社 被エッチング層をエッチングする方法
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6569578B2 (ja) * 2016-03-30 2019-09-04 日本ゼオン株式会社 プラズマエッチング方法
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
CN107910260A (zh) * 2017-11-14 2018-04-13 扬州扬杰电子科技股份有限公司 一种多晶硅回刻方法
US10468527B2 (en) 2017-11-15 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate structure and methods of fabricating thereof
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN116721915B (zh) * 2023-08-04 2023-10-03 无锡邑文电子科技有限公司 SiC刻蚀方法和设备

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4490209B2 (en) * 1983-12-27 2000-12-19 Texas Instruments Inc Plasma etching using hydrogen bromide addition
US4784720A (en) * 1985-05-03 1988-11-15 Texas Instruments Incorporated Trench etch process for a single-wafer RIE dry etch reactor
US4855017A (en) * 1985-05-03 1989-08-08 Texas Instruments Incorporated Trench etch process for a single-wafer RIE dry etch reactor
US4789426A (en) * 1987-01-06 1988-12-06 Harris Corp. Process for performing variable selectivity polysilicon etch
US4818334A (en) * 1988-03-15 1989-04-04 General Electric Company Method of etching a layer including polysilicon
JPH0467624A (ja) * 1990-07-09 1992-03-03 Fujitsu Ltd エッチング方法
US5169487A (en) * 1990-08-27 1992-12-08 Micron Technology, Inc. Anisotropic etch method
US5296095A (en) * 1990-10-30 1994-03-22 Matsushita Electric Industrial Co., Ltd. Method of dry etching
US5126008A (en) * 1991-05-03 1992-06-30 Applied Materials, Inc. Corrosion-free aluminum etching process for fabricating an integrated circuit structure
JPH05102098A (ja) * 1991-10-11 1993-04-23 Sony Corp 配線形成方法
JP3259295B2 (ja) * 1991-10-22 2002-02-25 ソニー株式会社 ドライエッチング方法及びecrプラズマ装置
JP3179872B2 (ja) * 1991-12-19 2001-06-25 東京エレクトロン株式会社 エッチング方法
JP3318777B2 (ja) * 1992-11-17 2002-08-26 ソニー株式会社 ドライエッチング方法
US5691246A (en) * 1993-05-13 1997-11-25 Micron Technology, Inc. In situ etch process for insulating and conductive materials
US5437765A (en) * 1994-04-29 1995-08-01 Texas Instruments Incorporated Semiconductor processing
JP3353462B2 (ja) * 1994-06-10 2002-12-03 ソニー株式会社 ドライエッチング方法
US5591301A (en) * 1994-12-22 1997-01-07 Siemens Aktiengesellschaft Plasma etching method

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7226868B2 (en) 2001-10-31 2007-06-05 Tokyo Electron Limited Method of etching high aspect ratio features

Also Published As

Publication number Publication date
US5900163A (en) 1999-05-04
KR970077307A (ko) 1997-12-12
DE19636288B4 (de) 2004-02-12
CN1164761A (zh) 1997-11-12
CN1132232C (zh) 2003-12-24
GB2313230A (en) 1997-11-19
DE19636288A1 (de) 1997-11-13
JPH09306895A (ja) 1997-11-28
JP2807445B2 (ja) 1998-10-08
GB9623432D0 (en) 1997-01-08
KR100230981B1 (ko) 1999-11-15
GB2313230B (en) 1998-07-22

Similar Documents

Publication Publication Date Title
TW306026B (en) Method for plasma etching in a process for fabrication of semiconductor device
TWI638064B (zh) 藉由原子層沉積形成氮化矽之方法、相關之半導體結構及形成半導體結構的方法、及用於原子層沉積的矽前驅物
TW451000B (en) Plasma treatment method and apparatus thereof
CN104956476B (zh) 用于垂直nand器件的新型掩模去除方法策略
TWI375991B (en) Method for multi-layer resist plasma etch
JP2553513B2 (ja) 有機マスクを状態調節するための方法
JP4704618B2 (ja) ジルコニウム酸化膜の製造方法
TW451395B (en) Method of achieving top rounding and uniform etch depths while etching shallow trench isolation features
TW322619B (en) The method for forming trench isolation
TWI281688B (en) Post etch photoresist strip with hydrogen for organosilicate glass low-K etch applications
JPS5922374B2 (ja) プラズマエッチングによるデバイスの製造方法
KR102204116B1 (ko) 높은 종횡비 구조의 스트립 프로세스
TW466266B (en) Gas for removing deposit and removal method using same
JPS5937572B2 (ja) アルミニウム物品のプラズマ食刻法
TW561508B (en) Methods and apparatus for etching metal layers on substrates
JP2003224248A (ja) 絶縁層埋め込み型半導体炭化シリコン基板の製造方法及びその製造装置
TWI241652B (en) Method for hard mask CD trim
TWI559403B (zh) 晶圓晶邊上之矽化物形成的減緩
TW478099B (en) Shallow trench isolation manufacture method
CN102054665A (zh) 外延基片处理方法
TW464977B (en) Method for peeling off silicon carbide layer
JPH053178A (ja) 半導体装置の製造方法
JP7417627B2 (ja) ダイヤモンドフィルムのトライボロジー特性の改善
US11776904B2 (en) Semiconductor device with carbon hard mask and method for fabricating the same
JPH1012600A (ja) 半導体デバイス製造工程のプラズマエッチング方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees