JP7417627B2 - ダイヤモンドフィルムのトライボロジー特性の改善 - Google Patents

ダイヤモンドフィルムのトライボロジー特性の改善 Download PDF

Info

Publication number
JP7417627B2
JP7417627B2 JP2021560930A JP2021560930A JP7417627B2 JP 7417627 B2 JP7417627 B2 JP 7417627B2 JP 2021560930 A JP2021560930 A JP 2021560930A JP 2021560930 A JP2021560930 A JP 2021560930A JP 7417627 B2 JP7417627 B2 JP 7417627B2
Authority
JP
Japan
Prior art keywords
nanocrystalline diamond
layer
substrate
diamond layer
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2021560930A
Other languages
English (en)
Other versions
JP2022549044A (ja
JPWO2022046448A5 (ja
Inventor
ビクネシュ サムガナサン,
ジテン グ,
エスワラナンド ベンカタサブラマニアン,
キアン ピン ロー,
アブヒジット バス マリック,
ジョン スディジョノ,
チョンシン チェン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2022549044A publication Critical patent/JP2022549044A/ja
Publication of JPWO2022046448A5 publication Critical patent/JPWO2022046448A5/ja
Priority to JP2023161812A priority Critical patent/JP2024012282A/ja
Application granted granted Critical
Publication of JP7417627B2 publication Critical patent/JP7417627B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • C23C16/27Diamond only
    • C23C16/272Diamond only using DC, AC or RF discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • C23C16/27Diamond only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Description

[0002] 本開示の実施形態は、電子デバイス製造の分野に関し、特に、集積回路(IC)製造に関する。より具体的には、本開示の実施形態は、ナノ結晶ダイヤモンドフィルムを堆積させる方法を提供する。
[0003] 半導体産業がより高い性能とより優れた機能性とを有する新世代の集積回路(IC)を導入するにつれて、このようなICを形成する素子の密度は増大し、その一方で、個々の部品又は素子の寸法、サイズ、及び間隔は減少している。過去においては、このような低減は、フォトリソグラフィを使用して構造を画定する能力によってのみ制限されていたが、μm又はnmで測定される寸法を有するデバイス形状は、金属素子の伝導性、素子間に使用される絶縁材料(複数可)の誘電率、又は3D NAND若しくはDRAMプロセスにおける課題などの新しい制限要因を生み出した。これらの制限は、より耐久性があり且つより高硬度のハードマスクによって利益を得ることができる。
[0004] ビット当たりのコストを削減し、3D NANDにおけるチップ密度を増加させる直接的な方法は、より多くの層を追加することによる。3D NAND技術における重要なステップの1つは、金属接触部堆積のための窒化ケイ素(SiN)リセス(recess)に先立つスリットエッチングである。各技術ノードの層の数が増加するにつれて、スリットエッチングプロファイル(上から下への均一なエッチング)を制御するために、ハードマスクの厚さは、高いアスペクトエッチングプロファイルに耐えるために比例的に増加する必要がある。伝統的には、エッチング選択性が高く、比類ない硬度、及び高密度を有する、非常に高品質のハードマスクフィルムが使用されている。現在のハードマスクフィルムは、高硬度及び高弾性率(modulus)、フィルム透明性、並びにスリットエッチング後の除去の容易さのために、純粋な又はドープされたプラズマ化学気相堆積(PECVD)アモルファスカーボン(aC:H)ベースのフィルムを含む。しかし、PECVDアモルファスカーボンハードマスクフィルムは、斜面(bevel)での層間剥離/剥がれ(下流のエッチングプロセスにおける主要な問題)、より厚いフィルムではより不透明になること(光配向問題)、及び不十分な形態の問題を有し、ピラー溝付け(pillar striation)、片側弓形(one sided bow)、及びピラーねじれ(pillar twisting)につながる。
[0005] ナノ結晶ダイヤモンドは、半導体デバイス処理におけるハードマスクとして使用できる高硬度材料として知られている。ナノ結晶ダイヤモンドハードマスクフィルムは、高い硬度と弾性率とを有する一方で、高い表面粗さを有する。したがって、高い硬度及び弾性率を有するが、表面粗さが低いハードマスクが必要とされている。
[0006] 集積回路を製造するための装置及び方法が説明される。1以上の実施形態では、処理方法が説明される。一実施形態では、処理方法が、基板上に、第1の厚さ、第1の粗さ、第1の硬度、及び第1の弾性率を有する第1のナノ結晶ダイヤモンド層を堆積させること、並びに、第1のナノ結晶ダイヤモンド層上に、第2の厚さ及び第2の粗さを有する第2のナノ結晶ダイヤモンド層を堆積させることを含み、第1の厚さは第2の厚さよりも大きく、第2の粗さは第1の粗さ未満である。
[0007] 他の実施形態では、処理方法が、シード層上に、第1の厚さ、第1の粗さ、第1の硬度、及び第1の弾性率を有する第1のナノ結晶ダイヤモンド層を堆積させること、並びに、平滑なナノ結晶ダイヤモンド層を形成するために、第1のナノ結晶ダイヤモンド層を不活性ガスプラズマに曝露することを含む。
[0008] 1以上の実施形態では、電子デバイスが説明される。メモリデバイスが、基板上に第1の材料と第2の材料との複数の交互層を含むメモリスタック、メモリスタック上のナノ結晶ダイヤモンド層であって、約15nm未満の粗さを有するナノ結晶ダイヤモンド層、及び、メモリスタックの上面から基板まで延在するメモリチャネルを含む。
[0009] 本開示の上記の特徴を詳細に理解することができるように、上記で簡単に要約した本開示のより具体的な説明を、実施形態を参照することによって行うことができ、その幾つかを添付の図面に示す。しかし、添付の図面は、本開示の典型的な実施形態のみを示し、したがって、本開示は、他の等しく有効な実施形態を認めることができるので、本開示の範囲を限定すると見なされるべきではないことに留意されたい。本明細書に記載の実施形態では、限定ではなく例示のために添付図面を用いて記載されており、図面においては同様の要素は類似の参照符号で示されている。
[0010] 1以上の実施形態による基板の断面図を示す。 [0011] 1以上の実施形態による基板の断面図を示す。 [0012] 1以上の実施形態による基板の断面図を示す。 [0013] 1以上の実施形態による基板の断面図を示す。 [0014] 1以上の実施形態による基板の断面図を示す。 [0015] 1以上の実施形態による基板の断面図を示す。 [0016] 1以上の実施形態による基板の断面図を示す。 [0017] 1以上の実施形態による基板の断面図を示す。 [0018] 1以上の実施形態による基板の断面図を示す。 [0019] 1以上の実施形態による基板の断面図を示す。 [0020] 1以上の実施形態による基板の断面図を示す。 [0021] 1以上の実施形態による基板の断面図を示す。 [0022] 1以上の実施形態による基板の断面図を示す。 [0023] 1以上の実施形態による基板の断面図を示す。 [0024] 1以上の実施形態による基板の断面図を示す。 [0025] 1以上の実施形態による方法の流れ図を示す。 [0026] 1以上の実施形態による方法の流れ図を示す。
[0027] 本開示の幾つかの例示的な実施形態を説明する前に、本開示が以下の説明で提示される構成又は処理ステップの詳細に限定されないことを理解されたい。本開示は、他の実施形態も可能であり、様々な方法で実施又は実行することができる。
[0028] 本明細書で使用する「基板」とは、製造プロセス中にフィルム処理が実行される任意の基板又は基板上に形成された材料表面のことを指す。例えば、処理実施され得る基板表面には用途に応じて、シリコン、酸化シリコン、ストレインドシリコン、シリコン・オン・インシュレータ(SOI:silicon on insulator)、炭素がドープされた酸化シリコン、アモルファスシリコン、ドープされたシリコン、ゲルマニウム、ヒ化ガリウム、ガラス、サファイアといった材料、並びに、金属、金属窒化物、金属合金、及びその他の導電材料といった任意の他の材料が含まれる。基板は、半導体ウエハを含むが、それに限定されない。基板表面を、研磨、エッチング、還元、酸化、ヒドロキシル化、アニール、及び/又はベークするために、基板を前処理プロセスに曝露してよい。基板自体の表面上で直接フィルム処理することに加えて、本開示では、開示される任意のフィルム処理ステップが、以下でより詳細に開示されるように基板上に形成された下層上で実行されてもよい。「基板表面」という用語は、文脈が示すように、そのような下層を含むことが意図されている。したがって、例えば、フィルム/層又は部分的なフィルム/層が、基板表面上に堆積された場合、新しく堆積されたフィルム/層の露出面が、基板表面となる。
[0029] 本明細書及び添付の特許請求の範囲で使用される際に、「前駆体」、「反応物質」、「反応ガス」などの用語は、基板表面と反応することができる任意のガス種を指すために、相互交換可能に使用される。
[0030] 本明細書で使用される「ナノ結晶ダイヤモンド」という用語は、典型的にはシリコンなどの基板上に成長される、ダイヤモンドの固体フィルムを指す。ナノ結晶化度は、ダイヤモンド成長における強化された再核生成反応の結果であり、ダイヤモンド結晶の成長は、ラジカル種の量、温度、及び圧力などの周囲環境の変動のために中断される。ダイヤモンド核の形成は、外部炭素原子の吸着を促進し、非ダイヤモンド相をエッチング除去するのに重要な役割を果たす水素原子によって決まり、ダイヤモンド成長とエッチングとの競争であると考えられている。ナノ結晶ダイヤモンドは主にナノスフェア又はナノ柱形状の小さなダイヤモンド結晶から構成され、アモルファスカーボンは、通常、周囲の結晶間の位置に分布するか又は粒界内に蓄積する。ナノ結晶ダイヤモンドは、その化学的不活性性、光透過性、及び良好な機械的特性のために、半導体用途におけるハードマスク材料として使用されている。
[0031] 1以上の実施形態では、費用効率及びフィルム特性の多用途性のために、プラズマ化学気相堆積(PECVD)が、ナノ結晶ダイヤモンドフィルムを堆積させるために広く使用されている。PECVDプロセスでは、例えば、キャリアガス内に同伴された気相炭化水素や液相炭化水素の蒸気などの炭化水素源が、PECVDチャンバの中に導入される。次いで、プラズマが、チャンバ内で開始されて、励起されたCHラジカルを生成する。励起されたCHラジカルは、チャンバ内に配置された基板の表面に化学的に結合し、その上に所望のナノ結晶ダイヤモンドフィルムを形成する。PECVDプロセスを参照しながら本明細書で説明される実施形態は、任意の適切な薄膜堆積システムを使用して実行されてよい。適切なシステムの例には、DXZ(登録商標)処理チャンバ、PRECISION 5000(登録商標)システム、PRODUCER(登録商標)システム、PRODUCER(登録商標)GTTMシステム、PRODUCER(登録商標)XP Precision(商標)システム、PRODUCER(登録商標)SETMシステム、Sym3(登録商標)処理チャンバ、及びMesa(商標)処理チャンバを使用することができるCENTURA(登録商標)システムが含まれ、これらは全て、カリフォルニア州サンタクララのカリフにあるアプライドマテリアルズ社から購入可能である。PECVDプロセスを実行することができる他のツールも、本明細書で説明される実施形態から利益を得ることができるだろう。更に、本明細書で説明されるPECVD処理を可能にする任意のシステムを有利に使用することができる。本明細書で説明される任意の装置は、例示的なものであって、本明細書に記載された実施形態の範囲を限定するものとして理解又は解釈するべきではない。
[0032] 炭素ベースのハードマスク層を使用するデバイスメーカーは、(1)下地材料のドライエッチング中のハードマスクの高い選択性、(2)低いフィルムの粗さ、(3)低いフィルム応力、及び(4)フィルムのストリップ能力、という重要な要件を満たす必要がある。本明細書で使用される「ドライエッチング」という用語は、一般に、材料が化学溶液中に浸漬することによって溶解されないエッチングプロセスを指し、プラズマエッチング、反応性イオンエッチング、スパッタエッチング、及び気相エッチングなどの方法を含む。
[0033] 1以上の実施形態では、ナノ結晶ダイヤモンド層が基板上に形成される。1以上の実施形態のプロセスは、有利なことに、高密度、高硬度、高エッチング選択性、低応力、及び優れた熱伝導性を有する、ナノ結晶ダイヤモンド層を生成する。
[0034] ハードマスクは、半導体処理においてエッチング停止層として使用される。灰化可能なハードマスクは、それらがそれらの目的を果たすと、灰化(ashing:アッシング)と呼ばれる技法によって除去されることを可能にする化学組成を有する。灰化可能なハードマスクは、一般に、微量の1以上のドーパント(例えば、窒素、フッ素、ホウ素、シリコン)を有する炭素及び水素から構成される。典型的な用途では、エッチング後に、ハードマスクはその目的を果たし、下層から除去される。これは、一般に、少なくとも部分的には、「プラズマアッシング」又は「ドライストリッピング」とも呼ばれる灰化によって実現される。灰化される硬質マスクを有する基板、一般に部分的に製造された半導体ウエハは、減圧下のチャンバ内に配置され、酸素が導入され、酸素ラジカル(プラズマ)を生成する高周波電力に曝露される。ラジカルはハードマスクと反応して、ハードマスクを水、一酸化炭素、及び二酸化炭素に酸化する。ある事例では、ハードマスクの完全な除去は、例えば、灰化可能なハードマスクが灰化だけでは除去できない任意の残留物を残すときに、追加の湿式又は乾式エッチングプロセスを用いて灰化後に続くことによって実現されてもよい。
[0035] ハードマスク層は、しばしば、狭い及び/又は深いコンタクトエッチング用途で使用され、そこでは、フォトレジストが、下層をマスクするのに十分な厚さではない場合がある。これは、限界寸法が収縮するときに特にあてはまる。
[0036] V-NAND又は3D-NAND構造は、フラッシュメモリ用途で使用される。V-NANDデバイスは、垂直に積み重ねられたNAND構造で、多数のセルがブロック状に配置されている。本明細書で使用されるときに、用語「3D NAND」は、メモリセルが複数の層に積層されるタイプの、電子(ソリッドステート)不揮発性コンピュータ記憶メモリを指す。3D NANDメモリは、一般に、フローティングゲートトランジスタを含む複数のメモリセルを含む。従来、3D NANDメモリセルは、ビット線の周りに三次元で配置された複数のNANDメモリ構造を含む。
[0037] 3D NAND技術における重要なステップは、スリットエッチングである。各技術ノードの層の数が増加するにつれて、スリットエッチングプロファイルを制御するために、ハードマスクフィルムの厚さは、高アスペクトエッチングプロファイルに耐えるために比例して増加する必要がある。現在、アモルファスカーボン(aC:H)フィルムは、硬度が高く、スリットエッチング後の剥がしが容易であるために使用されている。しかし、アモルファスカーボンハードマスクフィルムは、斜面での層間剥離及び不十分な形態を有し、ピラー溝付けをもたらす。
[0038] トライボロジーは、相対運動において相互作用する表面の科学と工学である。トライボロジーには、摩擦、潤滑、及び摩耗の原理の研究と応用が含まれる。1以上の実施形態では、ナノ結晶ダイヤモンドが、有利なことに、アモルファスカーボンの代わりにハードマスクとして使用される。ナノ結晶ダイヤモンドハードマスクフィルムは、高硬度及び高弾性率を提供するが、高レベルの表面粗さをもたらし得る。したがって、1以上の実施形態では、ナノ結晶ダイヤモンドがハードマスクとして使用される、基板を処理する方法が提供される。該処理方法は、平滑な表面をもたらす。1以上の実施形態の方法は、2つの処理部分を含む。一実施形態では、2つの個別のナノ結晶ダイヤモンドレシピが組み合わされる。第1のレシピは高硬度及び高弾性率を提供し、第2のレシピは平滑な表面を提供する。第1及び第2のレシピを循環して、高硬度、高弾性率、及び平滑な表面を有するナノ結晶ダイヤモンドハードマスクを実現する。他の実施形態では、第1のレシピの後に、不活性ガスプラズマ平滑化プロセスが続き、次いで、第1のレシピを循環させて、高硬度、高弾性率、及び平滑な表面を実現する。
[0039] 1以上の実施形態の処理方法は、有利なことに、表面粗さを低く保ちながら、ナノ結晶ダイヤモンドハードマスクフィルムの硬度及び弾性率を維持する。ナノ結晶ダイヤモンドハードマスクフィルムの高硬度、高弾性率、改善された表面粗さにより、このフィルムは、アモルファスカーボンベースのフィルムで直面する課題を克服するためのハードマスクとして使用することができる。
[0040] 1以上の実施形態では、より大きなエッチング選択性を実現するために、ナノ結晶ダイヤモンド層108、208の密度、及びより重要なことにはヤング率(Young’s modulus)が改善される。エッチング選択性の向上及びヤング率の改善を実現する上での主な課題の1つは、かかるフィルムの圧縮応力が高く、それによってもたらされるウエハバウ(wafer bow)が大きくなることにより、用途に適さなくなることである。したがって、低応力(例えば、<-500MPa)と共に高いエッチング選択性を有する高密度及び高弾性率(例えば、より高いsp3含有量)のナノ結晶ダイヤモンドフィルムが必要である。
[0041] 本明細書で説明される実施形態は、高密度(例えば、>1.8g/cc)、高ヤング弾性率(例えば、>150GPa)、及び低応力(例えば、<-500MPa)を有するナノ結晶ダイヤモンドハードマスクフィルムを製造する改良方法を含む。1以上の実施形態では、ヤング率が、室温、若しくは周囲温度、又は約22℃から約25℃の範囲の温度で測定される。1以上の実施形態では、ナノ結晶ダイヤモンドフィルムのヤング率が、250GPaより大きくてもよい。他の実施形態では、ナノ結晶ダイヤモンドフィルムのヤング率が、300GPaより大きく、又は350GPaより大きくてもよい。
[0042] 1以上の実施形態では、ナノ結晶ダイヤモンドフィルムの密度が、約3.0g/ccより大きい。
[0043] 図1A~図1Dは、ハードマスクとしてナノ結晶ダイヤモンド層を組み込む、集積回路製造シーケンス、第1のレシピの異なる段階における基板100の概略断面図を示している。図1A~図1Dでは、堆積されるナノ結晶ダイヤモンド層108が、厚さT1、高弾性率(E>250GPa)、及び高い表面粗さ(Ra>25nm)を有する。1以上の実施形態では、第1のナノ結晶ダイヤモンド層108が、約250nmから約650nmの範囲の厚さT1を有する。1以上の実施形態では、原子間力顕微鏡(AFM)によって測定されるナノ結晶ダイヤモンド層108の粗さが、25nmを超える。
[0044] 図2A~図2Dは、ハードマスクとしてナノ結晶ダイヤモンド層を組み込む、集積回路製造シーケンス、第2のレシピの異なる段階における基板200の概略断面図を示している。図2A~図2Dでは、堆積されるナノ結晶ダイヤモンド層208が、厚さT2、高い弾性率(E>250GPa)、及び低い表面粗さ(Ra<15nm)を有する。1以上の実施形態では、第2のナノ結晶ダイヤモンド層208が、約5nmから約200nmの範囲の厚さT2を有する。1以上の実施形態では、原子間力顕微鏡(AFM)によって測定されるナノ結晶ダイヤモンド層208の粗さが、約15nm未満である。
[0045] 図1Aは、デバイス100の断面図を示している。1以上の実施形態では、デバイス100がNANDデバイスであってよい。デバイス100は、基板102と、複数のデバイス層104、106と、複数のデバイス層104、106上に形成されたナノ結晶ダイヤモンドマスク層108とを含む。
[0046] 図2Aは、デバイス200の断面図を示している。1以上の実施形態では、デバイス200がNANDデバイスであってよい。デバイス200は、基板202と、複数のデバイス層204、206と、複数のデバイス層204、206上に形成されたナノ結晶ダイヤモンドマスク層208とを含む。
[0047] 1以上の実施形態では、基板102、202が、単結晶シリコン、シリコンゲルマニウム(Si-Ge)、若しくはシリコンゲルマニウムカーボン(Si-Ge-C)などのIV-IV化合物、III-V化合物、II-VI化合物、そのような基板の上のエピタキシャル層、又は酸化ケイ素、ガラス、プラスチック、金属、若しくはセラミック基板などの任意の他の半導体若しくは非半導体材料などのような、当技術分野で知られている任意の半導体基板であってよい。1以上の実施形態では、基板102、202が、メモリデバイス(図示せず)のための駆動回路などの、その上に製造された集積回路を含んでよい。
[0048] 1以上の実施形態では、複数のデバイス層104、106、204、206を基板102、202の表面の上に形成することができる。複数のデバイス層104、106、204、206は、3D垂直NAND構造の構成要素を形成する堆積層であってよい。構成要素は、複数のデバイス層(例えば、誘電体、若しくは個別の電荷蓄積セグメント)の全部又は一部によって形成されてもよい。誘電体部分は、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、又は他のhigh-k絶縁材料などの、任意の1以上の同じ又は異なる電気絶縁材料から独立して選択することができる。一実施形態では、構造が、交互様式で堆積された酸化ケイ素/窒化ケイ素対を含んでよい。この対は、全高さが100と600Åとの間であってよい。対の数は、32対、64対、又はそれ以上など、10対より多くてもよい。
[0049] 1以上の実施形態では、ナノ結晶ダイヤモンド層108、208が、高いsp3含有量及び小さい結晶サイズを有する結晶性炭素層である。アモルファスカーボン及びナノ結晶性炭素における最も一般的な化学結合は、3倍(sp2結合)及び4倍(sp3)結合配位である。Sp3構成では、炭素原子が、4つのsp3軌道を形成し、隣接する原子に強いシグマ結合を形成する。高いsp3含有量を有する炭素フィルムでは、sp3含有量は80%を超え、例えば約90%を超え、又は約95%を超える。ナノ結晶ダイヤモンド層108、208は、高いsp3含有量(例えば、ナノ結晶ダイヤモンド粒)を有し、sp2マトリクス(例えば、グラファイト)によって支持される。本明細書で使用されるときに、小さい結晶サイズは、6nm未満、例えば2nmと5nmとの間の結晶サイズである。
[0050] 1以上の実施形態では、第1のレシピによって生成されるナノ結晶ダイヤモンド層108が、25nmを超える高さ平均二乗偏差(root mean square of height deviation)を有する表面粗さを有する。1以上の実施形態では、第1のレシピが、100%の総流量を生成する流量範囲のメタン(CH4)/二酸化炭素(CO2)/水素(H2)のガス流を含んでよい。ナノ結晶ダイヤモンドフィルムは、2から12kWのマイクロ波電力、0.1から1Torrの圧力、及び500℃から650℃の温度の範囲を使用して堆積される。
[0051] 1以上の実施形態では、第2のレシピによって生成されるナノ結晶ダイヤモンド層208が、約15nm未満の高さ平均二乗偏差を有する表面粗さを有する。1以上の実施形態では、第2のレシピが、100%の総流量を生成する流量範囲のメタン(CH4)/二酸化炭素(CO2)/水素(H2)/アルゴン(Ar)のガス流を含んでよい。ナノ結晶ダイヤモンドフィルムは、10~90%でパルス化された2から12kWのマイクロ波電力、0.1から1Torrの圧力、及び500℃から650℃の温度の範囲を使用して堆積される。
[0052] 1以上の実施形態では、ナノ結晶ダイヤモンド層108、208は、3g/cm3の密度などの、2.5g/cm3と3.5g/cm3との間の密度を有する。1以上の実施形態では、ナノ結晶ダイヤモンド層108、208が、-50MPaと-150MPaとの間の応力、例えば、-80MPaと-120MPaとの間の応力を有する。ナノ結晶ダイヤモンド層108、208は、2と4との間のブランケットエッチング選択性を有する。
[0053] 幾つかの実施形態では、反射防止コーティング110、210が、ナノ結晶ダイヤモンド層108、208上にあり、フォトレジスト112、212が、反射防止コーティング110、210上にある。幾つかの実施形態では、反射防止コーティング110、210は、誘電体反射防止コーティング(DARC)である。図1B及び図2Bを参照すると、ナノ結晶ダイヤモンド層108、208の上面の部分を露出させる開口部113、213を形成するために、反射防止コーティング110、210がパターニングされる。
[0054] 図1C及び図1D並びに図2C及び図2Dを参照すると、デバイス100、200は、チャネル114、214を含む。チャネル114、214は、ナノ結晶ダイヤモンド層108、208、並びに、複数のデバイス層104、106、204、及び206を通して形成される。チャネル114、214は、基板102、202の上面に対して実質的に垂直であり得る。例えば、チャネル114、214は、ピラー形状を有してもよい。チャネル114、214は、基板102、202の上面に対して実質的に垂直に延在してよい。幾つかの実施形態では、チャネル114、214が、充填された特徴であってもよい。幾つかの他の実施形態では、チャネル114、214が中空であってもよい。このような実施形態では、絶縁充填材料(図示せず)が、チャネル114、214によって取り囲まれる中空部分を充填するように形成されてもよい。絶縁充填材料は、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、又は他のhigh-k絶縁材料などの任意の電気絶縁材料を含んでよい。
[0055] 図1D及び図2Dを参照すると、1以上の実施形態では、チャネル114、214が形成された後で、反射防止コーティング110、210が除去され得る。
[0056] チャネル114、214には、任意の適切な半導体材料、例えば、シリコン、ゲルマニウム、シリコンゲルマニウム、若しくはIII-V、II-VIなどの他の化合物半導体材料、又は導電性若しくは半導体酸化物、或いは他の材料を使用することができる。半導体材料は、アモルファス、多結晶、又は単結晶であってもよい。半導体チャネル材料は、任意の適切な堆積方法によって形成され得る。例えば、一実施形態では、半導体チャネル材料が、低圧化学気相堆積(LPCVD)によって堆積される。他の実施形態では、半導体チャネル材料が、最初に堆積されたアモルファス半導体材料を再結晶化させることによって形成された再結晶化された多結晶半導体材料であってもよい。
[0057] 1以上の実施形態では、第1のレシピに従って生成されたナノ結晶ダイヤモンド層108が、厚さT1を有する。1以上の実施形態では、ナノ結晶ダイヤモンド層108が、約500Åから約10,000Åの範囲の厚さT1を有する。製造シーケンスで使用されるエネルギー感受性レジスト材料112のエッチング化学に応じて、任意選択的なキャッピング層(図示せず)が、エネルギー感受性レジスト材料112の形成前に、ナノ結晶ダイヤモンド層108上に形成されてもよい。任意選択的なキャッピング層は、パターンがその中で転写されるときに、ナノ結晶ダイヤモンド層108向けのマスクとして機能し、エネルギー感受性レジスト材料112からナノ結晶ダイヤモンド層108を保護する。
[0058] 1以上の実施形態では、第2のレシピに従って生成されたナノ結晶ダイヤモンド層208が、厚さT2を有する。1以上の実施形態では、ナノ結晶ダイヤモンド層208が、約100Åから約500Åの範囲の厚さT2を有する。
[0059] 図1A及び図2Aで描かれているように、エネルギー感受性レジスト材料112、212は、ナノ結晶ダイヤモンド層108、208上に形成されてもよい。エネルギー感受性レジスト材料112、212の層は、約2000Åから約6000Åの範囲内の厚さまで、基板上にスピンコーティングすることができる。ほとんどのエネルギー感受性レジスト材料は、約450nm未満の波長を有する紫外線(UV)放射、幾つかの用途では、245nm又は193nmの波長を有する紫外線(UV)放射に感受性である。パターンが、エネルギー感受性レジスト材料112、212の層の中に導入される。エネルギー感受性レジスト材料112、212が現像された後で、図1A及び図2Aで示されているように、アパーチャ/開口部111、211から成る所望のパターンが、エネルギー感受性レジスト材料112、212内に存在する。その後、図1B及び図2Bを参照すると、エネルギー感受性レジスト材料112、212内で画定されたパターンは、エネルギー感受性レジスト材料112、212をマスクとして使用し、反射防止コーティング110、210を通して転写され、開口部/アパーチャ113、213を形成する。
[0060] 図1C及び図2Cを参照すると、反射防止コーティング110、210内で画定されたパターンは、ナノ結晶ダイヤモンド層108、208を通して転写される。開口部113、213を基板102、202まで延長してチャネル114、214を形成するよう、反射防止コーティング110、210に重なるナノ結晶ダイヤモンド層108、208と、複数の材料層104、106、204、206とを、選択的にエッチングするのに適切な化学エッチャントが使用される。適切な化学エッチャントには、オゾン、酸素、又はアンモニアプラズマが含まれる。
[0061] 現在のカーボンハードマスクフィルムは、非常に高い温度で堆積され、低い水素(H)含有量を有するが、フィルムは主にsp2であり、その結果、密度及び弾性率が低くなり、エッチング選択性及びパターン完全性が低下する。弾性率は、フィルムの機械的強度の測定値である。低い弾性率を有するフィルム、特に厚いフィルムは、ラインウィグリング(line wiggling)及び他の問題を有する。
[0062] 図3A~図3Cは、1以上の実施形態の方法に従って処理されているデバイス300の断面図を示している。図5は、1以上の実施形態による、方法500のプロセスフロー図を示している。幾つかの実施形態では、基板が、動作502の前の処理のために提供される。これに関連して使用する「提供される(provided)」という用語は、更なる処理のために、基板がある位置又は環境に置かれることを意味する。1以上の実施形態では、基板が、約500℃から約650℃の範囲を含む、約200℃から約1000℃の範囲の温度に維持される。
[0063] 1以上の実施形態では、使用されるプロセスチャンバが、上述のプロセスチャンバのうちの1つなどの、プラズマ源(例えば、遠隔、マイクロ波、容量結合プラズマ(CCP)、又は誘導結合プラズマ(ICP))を有する任意のCVDプロセスチャンバであってよい。幾つかの実施形態では、以下で説明される流量及び他の処理パラメータが、300mmの基板向けのものである。これらのパラメータは、本明細書で開示される実施形態から逸脱せずに処理される基板のサイズ及び使用されるチャンバの種類に基づき調整することができると理解すべきである。
[0064] 本明細書で使用される「基板表面」は、その上でフィルム処理を行うことができる任意の基板又は基板上に形成された材料表面を指す。例えば、処理を実行することができる基板表面には、用途に応じて、シリコン、酸化ケイ素、窒化ケイ素、ドープされたケイ素、ゲルマニウム、ヒ化ガリウム、ガラス、サファイア、並びに金属、窒化金属、金属合金、及び他の導電性材料などの任意の他の材料などのような材料が含まれる。基板表面はまた、二酸化ケイ素や、炭素がドープされた酸化ケイ素などのような、誘電体材料も含み得る。基板は、長方形又は正方形のペイン(pane)だけではなく、200mm、300mm、又は他の直径のウエハなどの、様々な寸法を有し得る。
[0065] 次いで、デバイス層を処理表面上に堆積させることができる。デバイス層は、図1A~図1D及び図2A~図2Dを参照しながら説明したようなデバイス層であってよい。更に、デバイス層は、複数のデバイス層のうちの1つであってもよい。複数のデバイス層は、3D NANDデバイスの構成要素などの1以上の特徴又は構成要素を形成するために連携して作用することができる。
[0066] 動作502では、第1のナノ結晶ダイヤモンド層が、基板上に、又は幾つかの実施形態では、デバイス層上に堆積される。第1のナノ結晶ダイヤモンド層は、6nm未満の平均結晶粒度を有してよい。一実施例では、第1のナノ結晶ダイヤモンド層が、2nmと5nmとの間の平均結晶粒度を有する。6nm未満のような小さな結晶粒度は、ナノ結晶ダイヤモンド層などのようなハードマスク層と下層との間の接着のより良い制御を可能にし、ハードマスク層用のより小さなサイズを可能にする。堆積中のより大きな結晶粒度のランダムな配置は、ハードマスク層と下層との間の非接触空間の数を増加させることになる。非接触空間は、ハードマスク層の結晶粒の形状及びサイズのために、並びに下層自体の粗さのために、ハードマスク層が下層と直接接触しない、ハードマスク層と下層との間の空間である。より大きな非接触空間は、層の接着性を低下させ、ハードマスクと下層との間の熱転写を減少させる。非接触空間のサイズは、より小さな結晶粒によって減少する。なぜなら、より小さな結晶粒は、層の一部として堆積されるときに、より大きな結晶粒よりも密に充填され得るからである。更に、結晶粒度が小さいために、下層との良好な接触を維持しながら、層をより大きな結晶粒度の層よりも薄くすることができる。
[0067] 動作502における第1のナノ結晶ダイヤモンド層の堆積は、第1の圧力で、プラズマ源(例えば、遠隔、マイクロ波、CCP、又はICP)を有するCVDプロセスチャンバに堆積ガスを供給することによって開始し得る。堆積ガスは、炭素含有前駆体及び水素含有ガスを含む。1以上の実施形態では、炭素含有前駆体はアルカン前駆体である。アルカン前駆体は、飽和非分枝炭化水素、例えば、メタン、エタン、プロパン、及びそれらの組み合わせなどであってよい。他のアルカン前駆体は、n-ブタン、n-ペンタン、n-ヘキサン、n-ヘプタン、n-オクタン、及びそれらの組み合わせを含む。水素含有ガスは、水素(H2)、水(H2O)、アンモニア(NH3)、又は他の水素含有分子を含んでよい。
[0068] 次いで、堆積ガスは、CVDプロセスチャンバに供給される。堆積ガスは、チャンバ内で混合されるか、又はチャンバに入る前に混合されるかのいずれかであってよい。堆積ガスは、5Torrを超えるような比較的高い圧力で供給される。一実施形態では、堆積ガスは、約10Torrから100Torr、例えば約50Torrで供給される。
[0069] 次いで、堆積ガスを活性化して、活性化された堆積ガスを生成することができる。堆積ガスは、電源を使用してプラズマを生成することによって活性化することができる。ガスを反応性核種に活性化させ、反応性核種のプラズマを維持することができる任意の電源が使用されてもよい。例えば、高周波(RF)、直流(DC)、又はマイクロ波(MW)ベースの電力放電技法が使用されてもよい。電源は、プラズマ源(例えば、遠隔、マイクロ波、CCP、又はICP)を有するCVDプロセスチャンバに印加されて、堆積ガスのプラズマを生成し、維持するソースプラズマ電力を生成する。ソースプラズマ電力にRF電力を使用する実施形態では、ソースプラズマ電力は、約2MHzから約170MHzの周波数、及び500Wと12,000Wとの間の電力レベルで供給され得る。他の実施形態は、約2000Wから約12,000Wでソースプラズマ電力を供給することを含む。印加される電力は、処理される基板のサイズに応じて調整することができる。1以上の実施形態では、マイクロ波プラズマが、約2から約12キロワット(kW)の範囲の電力で連続波として印加される。
[0070] CVDチャンバ内の高圧、ならびに他の要因に基づいて、ラジカル生成が最大化される一方で、イオン化種の生成が最小化される。理論に束縛されることを意図するものではないが、ナノ結晶ダイヤモンド層は、sp2結合ではなく主にsp3結合であるべきであると考えられている。更に、層の堆積中にイオン化種よりもラジカル種の数を増加させることによって、より多くのsp3結合を実現することができると考えられている。イオン化種は、高エネルギーであり、ラジカルよりも多くの移動のための余地を必要とし得る。圧力を増加させることによって、電子エネルギーは減少するが、他の分子との衝突の可能性は増加する。電子エネルギーの減少及び衝突数の増加は、イオン生成よりもラジカル生成に有利である。
[0071] 活性化されると、活性化された堆積ガスは、次いで、第2の圧力を有する第2の空間を通して供給される。第2の空間は、第2のチャンバ、又はプロセス空間とプラズマ源を有するCVDチャンバとの間の別の密閉エリアであってよい。一実施例では、第2の空間が、プラズマ源を有するCVDチャンバとプロセス空間との間の連結部である。
[0072] 第2の圧力は、第1の圧力未満である。流量、全体容積の変化、又はそれらの組み合わせのいずれかに基づいて、遠隔プラズマチャンバから第2空間への移動は、第2の空間内の活性化された堆積ガスの減圧をもたらす。圧力は、堆積層とのイオン化種の衝突を低減しながら、ラジカル種からのより良好な堆積を可能にするために低減される。一実施形態では、第2の圧力が、約0.1Torrと約5Torrとの間である。1以上の実施形態では、第2の圧力が、約0.1Torrから約1Torrの範囲である。
[0073] 1以上の実施形態では、次いで、約2sccmから約10sccmの流量範囲でメタン(CH4)/二酸化炭素(CO2)を含む活性化された堆積ガスが、プロセスチャンバのプロセス空間内の基板に供給される。基板は、結晶シリコン基板などの任意の組成物であってよい。基板は、ビア又は相互連結(interconnect)などの1以上のフィーチャを含んでもよい。基板は、基板支持体上で支持することができる。基板支持体は、特定の温度範囲に維持することができる。一実施形態では、基板支持体が、約500℃と約650℃との間の温度範囲に維持される。
[0074] 図3Aで示されているように、基板302は、ナノ結晶層の堆積のために前もってシード(preseed)することができる。一実施形態では、基板302が、基板302上に懸濁ナノダイヤモンド304を形成するために、シーディング(seeding)溶液中に浸漬されるか、又はさもなければコーティングされる。シーディング溶液はエタノールをベースとしたナノダイヤモンドの懸濁であってよい。基板302は、超音波処理中に懸濁液内に浸漬されてよく、それは、懸濁されたナノダイヤモンド304の一部を基板302の表面に付着させる。本明細書で説明される実施形態から逸脱することなく、他のプレシーディング(preseeding)技法を使用することができる。
[0075] 図3Bを参照すると、次いで、第1のナノ結晶ダイヤモンド層306が、基板302の表面上に堆積される。先に生成された活性化された堆積ガスからのラジカルは、基板表面に衝突して、第1のナノ結晶ダイヤモンド層306を形成する。低圧は、遠隔で生成されたラジカルから第1のナノ結晶ダイヤモンド層306内にsp3結合を形成するのに有益であると考えられている。CVDプラズマチャンバ内のより高い圧力は、優先的なラジカル生成を可能にし、一方、プロセス空間内のより低い圧力は、以前に生成されたラジカルからのより均一な堆積を可能にする。
[0076] 第1のナノ結晶ダイヤモンド層306が堆積されると、水素含有ガスがCVDプラズマチャンバに供給される。水素含有ガスは、個別の時間に供給することができ、又は前の工程からのガス流を維持することができる。この部分にはアルカン前駆体は存在しない。水素含有ガスは、不活性ガスと共に、又は複数の水素含有ガスの組み合わせの部分として供給することができる。1以上の実施形態では、水素(H2)ガスは、約90sccmから約96sccmの流量範囲で供給される。
[0077] 次いで、水素含有ガスを活性化して、活性化された水素含有ガスを生成する。水素含有ガスは、活性化された堆積ガスを生成することに関して説明されたプラズマの生成のための同じ圧力、温度、電力タイプ、電力範囲、及び他のパラメータを使用して、プラズマに変換することができる。
[0078] 活性化された水素含有ガスが生成されると、それは、プロセス空間内の基板に供給することができる。プロセス空間及び基板は、上述されたように、同じ圧力、温度、及び他のパラメータで維持されてもよい。堆積プロセス中に、ポリマーが、堆積されたナノ結晶ダイヤモンド層の表面上に生成され得ると考えられる。ポリマーは、更なる堆積に影響を及ぼし、さもなければ堆積層の性能を低下させる可能性がある。活性化された水素含有ガスを堆積された層に供給することによって、ポリマーは揮発し、次いで、チャンバから除去され、その結果、ポリマーは後続の堆積プロセスに影響を及ぼさない。
[0079] 次いで、上記の構成部分を繰り返して、第1のナノ結晶ダイヤモンド層306よりも低い粗さを有する第2のナノ結晶ダイヤモンド層308を堆積させることができる。動作504では、第2のナノ結晶ダイヤモンド層308が、第1のナノ結晶ダイヤモンド層上に堆積される。第2のナノ結晶ダイヤモンド層は、6nm未満の平均結晶粒度を有し得る。一実施例では、第2のナノ結晶ダイヤモンド層が、2nmと5nmと間の平均結晶粒度を有する。6mm未満のような小さな結晶粒度は、第2のナノ結晶ダイヤモンド層のようなハードマスク層と下層との間の接着のより良い制御を可能にし、ハードマスク層用のより小さなサイズを可能にする。堆積中のより大きな結晶粒度のランダムな配置は、ハードマスク層と下層との間の非接触空間の数を増加させることになる。非接触空間は、ハードマスク層の結晶粒の形状及びサイズのために、並びに下層自体の粗さのために、ハードマスク層が下層と直接接触しない、ハードマスク層と下層との間の空間である。より大きな非接触空間は、層の接着性を低下させ、ハードマスクと下層との間の熱転写を減少させる。非接触空間のサイズは、より小さな結晶粒によって減少する。なぜなら、より小さな結晶粒は、層の部分として堆積されるときに、より大きな結晶粒よりも密に充填され得るからである。更に、結晶粒度がより小さいため、下層との良好な接触を維持しながら、層をより大きな結晶粒度の層よりも薄くすることができる。
[0080] 動作504における第2のナノ結晶ダイヤモンド層の堆積は、堆積ガスを第1の圧力でCVDプラズマチャンバに供給することによって開始し得る。堆積ガスは、炭素含有前駆体及び水素含有ガスを含む。1以上の実施形態では、炭素含有前駆体がアルカン前駆体である。アルカン前駆体は、飽和非分枝炭化水素、例えば、メタン、エタン、プロパン、及びそれらの組み合わせなどであってよい。他のアルカン前駆体は、n-ブタン、n-ペンタン、n-ヘキサン、n-ヘプタン、n-オクタン、及びそれらの組み合わせを含む。水素含有ガスは、水素(H2)、水(H2O)、アンモニア(NH3)、又は他の水素含有分子を含むことができる。堆積ガスは、不活性ガスを更に含むことができる。不活性ガスは、アルゴン(Ar)などの希ガスであってよい。
[0081] 次いで、堆積ガスは、CVDプラズマチャンバに供給される。堆積ガスは、チャンバ内で混合されるか、又はチャンバに入る前に混合されるかのいずれかであってよい。堆積ガスは、5Torrを超えるような比較的高い圧力で供給される。一実施形態では、堆積ガスが、約10Torrから100Torr、例えば約50Torrで供給される。
[0082] 次いで、堆積ガスを活性化して活性化された堆積ガスを生成することができる。堆積ガスは、電源を使用してプラズマを生成することによって活性化され得る。ガスを反応性核種に活性化させ、反応性核種のプラズマを維持することができる任意の電源が使用されてもよい。例えば、高周波(RF)、直流(DC)、又はマイクロ波(MW)ベースの電力放電技法が使用されてもよい。電源は、CVDプラズマチャンバに印加されるソースプラズマ電力を生成して、堆積ガスのプラズマを生成し、維持する。ソースプラズマ電力にRF電力を使用する実施形態では、ソースプラズマ電力は、約2MHzから約170MHzの周波数、及び500Wと12,000Wとの間の電力レベルで供給され得る。他の実施形態は、約2000Wから約12,000Wでソースプラズマ電力を供給することを含む。印加される電力は、処理される基板のサイズに応じて調整することができる。
[0083] 遠隔プラズマチャンバ内の高圧、ならびに他の要因に基づいて、イオン化種の生成は最小化され、ラジカルの生成は最大化される。理論に束縛されることを意図するものではないが、ナノ結晶ダイヤモンド層は、sp2結合ではなく主にsp3結合であるべきであると考えられている。更に、層の堆積中にイオン化種よりもラジカル種の数を増加させることによって、より多くのsp3結合を実現することができると考えられている。イオン化種は、高エネルギーであり、ラジカルよりも多くの移動のための余地を必要とし得る。圧力を増加させることによって、電子エネルギーは減少するが、他の分子との衝突の可能性は増加する。電子エネルギーの減少及び衝突数の増加は、イオン生成よりもラジカル生成に有利である。
[0084] 一旦活性化されると、2~10/2~10/2~90sccmの流量範囲でメタン(CH4)/二酸化炭素(CO2)/アルゴン(Ar)を含む活性化された堆積ガスは、次いで、第2の圧力を有する第2の空間を通して供給される。第2の空間は、第2のチャンバ、又はプロセス空間とCVDプラズマチャンバとの間の別の密閉エリアであってよい。一実施例では、第2の空間が、CVDプラズマチャンバとプロセス空間との間の連結部である。
[0085] 第2の圧力は、第1の圧力未満である。流量、全体容積の変化、又はそれらの組み合わせに基づいて、CVDプラズマチャンバから第2の空間への移動は、第2の空間内の活性化された堆積ガスの減圧をもたらす。圧力は、堆積層とのイオン化種の衝突を低減しながら、ラジカル種からのより良好な堆積を可能にするために低減される。一実施形態では、第2の圧力が、約0.1Torrと約5Torrとの間である。1以上の実施形態では、第2の圧力が、約0.1Torrから約1Torrの範囲である。
[0086] 次いで、活性化された堆積ガス、メタン(CH4)/二酸化炭素(CO2)/アルゴン(Ar)の混合物が、それぞれ約2~10/2~10/2~90sccmの流量範囲を有するプロセスチャンバのプロセス空間内の基板に供給される。一実施形態では、基板支持体は、約500℃と約650℃との間の温度範囲に維持される。
[0087] 次いで、第2のナノ結晶ダイヤモンド層308が、第1のナノ結晶ダイヤモンド層306の表面上に堆積される。先に生成された活性化された堆積ガスからのラジカルは、表面に衝突して、第2のナノ結晶ダイヤモンド層308を形成する。低圧は、遠隔で生成されたラジカルから第2のナノ結晶ダイヤモンド層308内にsp3結合を形成するのに有益であると考えられている。遠隔プラズマ源内のより高い圧力は、優先的なラジカル生成を可能にし、一方、プロセス空間内のより低い圧力は、以前に生成されたラジカルからのより均一な堆積を可能にする。第2のナノ結晶ダイヤモンド層308は、約15nm未満の粗さ(AFM)を有する平滑な表面を有する。
[0088] ナノ結晶ダイヤモンド層が堆積されると、水素含有ガスが遠隔プラズマチャンバに供給される。水素含有ガスは、個別の時間に供給することができ、又は前の工程からのガス流を維持することができる。この部分にはアルカン前駆体は存在しない。水素含有ガスは、不活性ガスと共に、又は複数の水素含有ガスの組み合わせの部分として供給され得る。1以上の実施形態では、水素(H2)ガスは、約15sccmから約45sccmの範囲を含む、約1sccmから約94sccmの流量で供給される。
[0089] 次いで、水素含有ガスを活性化して、活性化された水素含有ガスを生成する。水素含有ガスは、活性化された堆積ガスを生成することに関して説明されたプラズマの生成のための同じ圧力、温度、電力タイプ、電力範囲、及び他のパラメータを使用して、プラズマに変換することができる。
[0090] 活性化された水素含有ガスが生成されると、それは、プロセス空間内の基板に供給され得る。プロセス空間及び基板は、上述したように、同じ圧力、温度、及び他のパラメータで維持されてもよい。堆積プロセス中に、ポリマーが、堆積されたナノ結晶ダイヤモンド層の表面上に生成され得ると考えられる。ポリマーは、更なる堆積に影響を及ぼし、さもなければ堆積層の性能を低下させる可能性がある。活性化された水素含有ガスを堆積された層に供給することによって、ポリマーは揮発し、次いで、チャンバから除去されて、その結果、ポリマーは後続の堆積プロセスに影響を及ぼさない。
[0091] 決定点506では、ナノ結晶ハードマスク308が所定の粗さ及び厚さを実現したかどうかが判定される。各堆積サイクルは、約20Åと約200Åとの間、例えば約100Åの厚さを生成する。上記のステップを繰り返すことによって、前の層は、次の堆積のためのシード層として作用し、所望の厚さ全体を堆積させることができる。一実施形態では、ナノ結晶ダイヤモンド積層体は、1μmの厚さまで堆積される。
[0092] 決定点506において、ナノ結晶ダイヤモンド積層体が粗すぎるか、又は十分な厚さでない場合、サイクルは更なる堆積ステップのために動作502及び504に戻る。
[0093] 決定点506において、ナノ結晶ダイヤモンド積層体が所望の粗さ及び厚さを得た場合、処理は継続する。動作508において、ナノ結晶ダイヤモンド層は、任意選択的に、次いで、パターニング及びエッチングされ得る。パターニングは、ナノ結晶ダイヤモンド層の上へのフォトレジスト112、212の堆積を含み得る。次いで、フォトレジスト112、212を適切な波長の放射線に曝露して、開口部/アパーチャ111、211のパターンを形成する。次いで、パターンは、フォトレジスト112、212と、次いでナノ結晶ダイヤモンド層との両方にエッチングされる。
[0094] 動作510では、次いで、デバイスをエッチングして、特徴又はチャネルを形成することができる。次いで、ナノ結晶ダイヤモンド層に形成されたパターンにより、デバイスをエッチングすることができる。デバイスは、ナノ結晶ダイヤモンド層108、208に重なるデバイス層104、106、204、206に対して選択的なエッチャントによってエッチングされる。デバイス層は、当技術分野で周知の化学及び技法を使用してエッチングされる。一実施形態では、エッチャントは塩素含有エッチャントである。
[0095] 動作512では、次いで、ナノ結晶ダイヤモンド層が、デバイスの表面から除去され得る。ナノ結晶ダイヤモンド層は、例えば、プラズマアッシングプロセスを用いてデバイス層の表面から灰化することができる。プラズマアッシングプロセスは、O2などの酸素含有ガスを活性化することを含み得る。O2使用時の灰化速度は約900Å/分以上である。ナノ結晶ダイヤモンド層は、高アスペクト比エッチングシステムを用いて灰化されてもよい。
[0096] 図4A~図4Dは、1以上の実施形態の方法に従って処理されるデバイス400の断面図を示している。図6は、1以上の実施形態による方法600のプロセスフロー図を示している。幾つかの実施形態では、基板が、動作602の前の処理のために提供される。これに関連して使用する「提供される(provided)」という用語は、更なる処理のために、基板がある位置又は環境に置かれることを意味する。1つ以上の実施形態では、基板は、約500℃から約650℃の範囲の温度に維持される。
[0097] 次に、デバイス層を処理表面上に堆積させることができる。デバイス層は、図1A~図1D及び図2A~図2Dを参照しながら説明されたようなデバイス層であってよい。更に、デバイス層は、複数のデバイス層のうちの1つであってもよい。デバイス層は、3D NANDデバイスの構成要素などの1以上の特徴又は構成要素を形成するために連携して作用することができる。
[0098] 動作602では、ナノ結晶ダイヤモンド層が、基板上に、又は幾つかの実施形態ではデバイス層上に堆積される。ナノ結晶ダイヤモンド層は、6nm未満の平均結晶粒度を有し得る。一実施例では、ナノ結晶ダイヤモンド層が、2nmと5nmとの間の平均結晶粒度を有する。6nm未満のような小さな結晶粒度は、ナノ結晶ダイヤモンド層のようなハードマスク層と下層との間の接着のより良い制御を可能にし、ハードマスク層用のより小さなサイズを可能にする。堆積中のより大きな結晶粒度のランダムな配置は、ハードマスク層と下層との間の非接触空間の数を増加させることになる。非接触空間は、ハードマスク層の結晶粒の形状及びサイズのために、並びに下層自体の粗さのために、ハードマスク層が下層と直接接触しない、ハードマスク層と下層との間の空間である。より大きな非接触空間は、層の接着性を低下させ、ハードマスクと下層との間の熱転写を減少させる。非接触空間のサイズは、より小さな結晶粒によって減少する。なぜなら、より小さな結晶粒は、層の一部として堆積されるとき、より大きな結晶粒よりも密に充填され得るからである。更に、結晶粒度が小さいため、下層との良好な接触を維持しながら、層をより大きな粒度の層よりも薄くすることができる。
[0099] 動作602におけるナノ結晶ダイヤモンド層の堆積は、第1の圧力で、堆積ガスをCVDチャンバ(プラズマ源を有する)に供給することによって開始し得る。堆積ガスは、炭素含有前駆体及び水素含有ガスを含む。1以上の実施形態では、炭素含有前駆体はアルカン前駆体である。アルカン前駆体は、飽和非分枝炭化水素、例えば、メタン、エタン、プロパン、及びそれらの組み合わせなどであってよい。他のアルカン前駆体は、n-ブタン、n-ペンタン、n-ヘキサン、n-ヘプタン、n-オクタン、及びそれらの組み合わせを含む。水素含有ガスは、水素(H2)、水(H2O)、アンモニア(NH3)、又は他の水素含有分子を含んでよい。
[00100] 次いで、堆積ガスは、CVDチャンバ(プラズマ源を有する)に供給される。堆積ガスは、チャンバ内で混合されるか、又はチャンバに入る前に混合されるかのいずれかであってよい。堆積ガスは、5Torrを超えるような比較的高い圧力で供給される。一実施形態では、堆積ガスは、約10Torrから100Torr、例えば約50Torrで供給される。
[00101] 次いで、堆積ガスを活性化して活性化された堆積ガスを生成することができる。堆積ガスは、電源を使用してプラズマを生成することによって活性化することができる。ガスを反応性核種に活性化させ、反応性核種のプラズマを維持することができる任意の電源が使用されてもよい。例えば、高周波(RF)、直流(DC)、又はマイクロ波(MW)ベースの電力放電技法が使用されてもよい。電源は、CVDチャンバ(プラズマ源を有する)に印加されるソースプラズマ電力を生成して、堆積ガスのプラズマを生成し、維持する。ソースプラズマ電力にRF電力を使用する実施形態では、ソースプラズマ電力は、約2MHzから約170MHzの周波数、及び500Wと12,000Wとの間の電力レベルで供給され得る。他の実施形態は、約2000Wから約12,000Wでソースプラズマ電力を供給することを含む。印加される電力は、処理される基板のサイズに応じて調整することができる。1以上の実施形態では、マイクロ波プラズマは、約2キロワット(kW)から約12キロワット(kW)の範囲の電力で連続波として印加される。
[00102] CVDチャンバ(プラズマ源を有する)内の高圧、ならびに他の要因に基づいて、イオン化種の生成は最小化され、ラジカルの生成は最大化される。理論に束縛されることを意図するものではないが、ナノ結晶ダイヤモンド層は、sp2結合ではなく主にsp3結合であるべきであると考えられている。更に、層の堆積中にイオン化種よりもラジカル種の数を増加させることによって、より多くのsp3結合を実現することができると考えられている。イオン化種は、高エネルギーであり、ラジカルよりも多くの移動のための余地を必要とし得る。圧力を増加させることによって、電子エネルギーは減少するが、他の分子との衝突の可能性は増加する。電子エネルギーの減少及び衝突数の増加は、イオン生成よりもラジカル生成に有利である。
[00103] 活性化されると、活性化された堆積ガスは、次いで、第2の圧力を有する第2の空間を通して供給される。第2の空間は、第2のチャンバ、又はプロセス空間と遠隔プラズマチャンバとの間の別の密閉エリアであってよい。一実施例では、第2の空間が、遠隔プラズマチャンバとプロセス空間との間の連結部である。
[00104] 第2の圧力は、第1の圧力未満である。流量、全体容積の変化、又はそれらの組み合わせに基づいて、遠隔プラズマチャンバから第2の空間への移動は、第2の空間内の活性化された堆積ガスの減圧をもたらす。圧力は、堆積層とのイオン化種の衝突を低減しながら、ラジカル種からのより良好な堆積を可能にするために低減される。一実施形態では、第2の圧力は、約0.1Torrと約5Torrとの間である。1以上の実施形態では、第2の圧力が、約0.1Torrから約1Torrの範囲である。
[00105] 次いで、2sccmから10sccmの流量範囲のメタン(CH4)/二酸化炭素(CO2)を含む活性化された堆積ガスが、処理チャンバの処理空間内の基板に供給される。基板は、結晶シリコン基板などの任意の組成物であってよい。基板は、ビア又は相互連結などの1以上のフィーチャを含んでよい。基板は、基板支持体上で支持することができる。基板支持体は、特定の温度範囲に維持することができる。一実施形態では、基板支持体は、約500℃と約650℃との間の温度範囲に維持される。
[00106] 図4Aで示されているように、基板402は、ナノ結晶層の堆積のために前もってシードすることができる。一実施形態では、基板402は、基板402上に懸濁ナノダイヤモンド404を形成するために、シーディング溶液中に浸漬されるか、さもなければコーティングされる。シーディング溶液はエタノールをベースとしたナノダイヤモンドの懸濁であってよい。基板402は、超音波処理中に懸濁液内に浸漬され、それは、懸濁されたナノダイヤモンド404の一部を基板402の表面に付着させる。本明細書に記載の実施形態から逸脱することなく、他のプレシーディング技法を使用することができる。
[00107] 図4Bを参照すると、次いで、ナノ結晶ダイヤモンド層406が、基板402の表面上に堆積される。先に生成された活性化された堆積ガスからのラジカルは、基板表面に衝突してナノ結晶ダイヤモンド層406を形成する。低圧は、遠隔で生成されたラジカルから第1のナノ結晶ダイヤモンド層406中にsp3結合を形成するのに有益であると考えられている。遠隔プラズマ源内のより高い圧力は、優先的なラジカル生成を可能にし、一方、プロセス空間内のより低い圧力は、以前に生成されたラジカルからのより均一な堆積を可能にする。
[00108] ナノ結晶ダイヤモンド層406が堆積されると、水素含有ガスがCVDチャンバ(プラズマ源を有する)に供給される。水素含有ガスは、個別の時間に供給することができ、又は前の工程からのガス流を維持することができる。この部分にはアルカン前駆体は存在しない。水素含有ガスは、不活性ガスと共に、又は複数の水素含有ガスの組み合わせの部分として供給することができる。1以上の実施形態では、水素(H2)ガスは、90sccmから96sccmの流量範囲で供給される。
[00109] 次いで、水素含有ガスを活性化して、活性化された水素含有ガスを生成する。水素含有ガスは、活性化された堆積ガスを生成することに関して説明されたプラズマの生成のための同じ圧力、温度、電力タイプ、電力範囲、及び他のパラメータを使用して、プラズマに変換することができる。
[00110] 活性化された水素含有ガスが生成されると、それは、プロセス空間内の基板に供給することができる。プロセス空間及び基板は、上述したように、同じ圧力、温度、及び他のパラメータで維持されてもよい。堆積プロセス中に、ポリマーが、堆積されたナノ結晶ダイヤモンド層の表面上に生成され得ると考えられる。ポリマーは、更なる堆積に影響を及ぼし、さもなければ堆積層の性能を低下させる可能性がある。活性化された水素含有ガスを堆積された層に供給することによって、ポリマーは揮発し、次いで、チャンバから除去されて、その結果、ポリマーは後続の堆積プロセスに影響を及ぼさない。
[00111] 図4C及び図6を参照すると、動作604では、ナノ結晶ダイヤモンド層406が、不活性ガスプラズマに曝露され、平滑な表面ナノ結晶ダイヤモンド層408を形成する。1以上の実施形態では、ガス流は、ヘリウム(He)、ネオン(Ne)、及びアルゴン(Ar)のうちの1以上から選択される不活性ガスを含む。1以上の特定の実施形態では、不活性ガスプラズマが、アルゴン(Ar)であって、約50sccmから約200sccmの流量範囲で供給され、約2kWから約12kWの範囲のマイクロ波電力で10~90%だけパルス化され、約0.1Torrから約1Torrの範囲の圧力の、及び約500℃から約650℃の範囲の温度のアルゴン(Ar)を含む。
[00112] 次いで、不活性ガスは、遠隔プラズマチャンバに供給される。不活性ガスは、5Torrを超えるような比較的高い圧力で供給される。一実施形態では、不活性ガスが、約10Torrと100Torrとの間、例えば約50Torrで供給される。
[00113] 次いで、不活性ガスを活性化して、活性化された不活性ガスを生成することができる。不活性ガスは、電源を使用してプラズマを生成することによって活性化することができる。ガスを反応性核種に活性化させ、反応性核種のプラズマを維持することができる任意の電源が使用されてもよい。例えば、高周波(RF)、直流(DC)、又はマイクロ波(MW)ベースの電力放電技法が使用されてもよい。電源は、CVDチャンバ(プラズマ源を有する)に印加されるソースプラズマ電力を生成し、不活性ガスのプラズマを生成し、維持する。ソースプラズマ電力にRF電力を使用する実施形態では、ソースプラズマ電力は、約2MHzから約170MHzの周波数、及び500Wと12,000Wとの間の電力レベルで供給され得る。他の実施形態は、約2000Wから約12,000Wでソースプラズマ電力を供給することを含む。印加される電力は、処理される基板のサイズに応じて調整することができる。
[00114] CVDチャンバ(プラズマ源を有する)内の高圧、ならびに他の要因に基づいて、イオン化された種の生成は最小化され、一方、ラジカルの生成は最大化され、ナノ結晶ダイヤモンド層406の表面の平滑化をもたらし、平滑化されたナノ結晶ダイヤモンド層408を形成する。
[00115] 一旦活性化されると、約50sccmから約200sccmの流量範囲のアルゴン(Ar)を含む活性化された不活性ガスは、次いで、第2の圧力を有する第2の空間を通して供給される。第2の空間は、第2のチャンバ、又はプロセス空間と遠隔プラズマチャンバとの間の別の密閉エリアであってよい。一実施例では、第2の空間が、遠隔プラズマチャンバとプロセス空間との間の連結部である。
[00116] 第2の圧力は、第1の圧力未満である。流量、全体容積の変化、又はそれらの組み合わせに基づいて、遠隔プラズマチャンバから第2の空間への移動は、第2の空間内の活性化された堆積ガスの減圧をもたらす。圧力は、堆積層とのイオン化種の衝突を低減しながら、ラジカル種からのより良好な堆積を可能にするために低減される。一実施形態では、第2の圧力は、約0.1Torrと約5Torrとの間である。1以上の実施形態では、第2の圧力が、約0.1Torrから約1Torrの範囲である。
[00117] 次いで、活性化された不活性ガスは、約50sccmから約200sccmの流量範囲で、プロセスチャンバのプロセス空間内のナノ結晶ダイヤモンド層406に供給される。一実施形態では、基板支持体は、約500℃と約650℃との間の温度範囲に維持される。
[00118] 平滑なナノ結晶ダイヤモンド層408は、約15nm未満の粗さ(AFM)を有する平滑な表面を有する。
[00119] 決定点606において、平滑なナノ結晶ハードマスク408が所定の粗さ及び厚さを実現したかどうかが判定される。各堆積サイクルは、約20Åと約200Åとの間、例えば約100Åの厚さを生成する。上記のステップを繰り返すことによって、前の層は、次の堆積のためのシード層として作用し、所望の厚さ全体を堆積させることができる。一実施形態では、ナノ結晶ダイヤモンド積層体は、1μmの厚さまで堆積される。
[00120] 決定点606において、ナノ結晶ダイヤモンド積層体が粗すぎるか、又は十分に厚くない場合、サイクルは、更なる堆積及び平滑化ステップのために、動作602及び604に戻り、厚くて平滑なナノ結晶ダイヤモンド積層体410をもたらす(図4D参照)。
[00121] 決定点606において、ナノ結晶ダイヤモンド積層体が所望の粗さ及び厚さを得た場合、処理は継続する。動作608において、ナノ結晶ダイヤモンド層は、任意選択的に、次いで、パターニング及びエッチングされ得る。パターニングは、ナノ結晶ダイヤモンド層の上へのフォトレジスト112、212の堆積を含み得る。次に、フォトレジスト112、212を適切な波長の放射線に曝露して、開口部/アパーチャ111、211のパターンを形成する。次いで、パターンは、フォトレジスト112、212と、次いで、ナノ結晶ダイヤモンド層との両方にエッチングされる。
[00122] 動作610では、次いで、デバイスをエッチングして、特徴又はチャネルを形成することができる。次いで、ナノ結晶ダイヤモンド層内に形成されたパターンにより、デバイスをエッチングすることができる。デバイスは、ナノ結晶ダイヤモンド層108、208に重なるデバイス層104、106、204、206に対して選択的なエッチャントによってエッチングされる。デバイス層は、当技術分野で周知の化学及び技法を使用してエッチングされる。一実施形態では、エッチャントは塩素含有エッチャントである。
[00123] 動作612では、次いで、ナノ結晶ダイヤモンド層が、デバイスの表面から除去され得る。ナノ結晶ダイヤモンド層は、例えば、プラズマアッシングプロセスを用いてデバイス層の表面から灰化することができる。プラズマアッシングプロセスは、O2などの酸素含有ガスを活性化することを含んでよい。O2使用時の灰化速度は約900Å/分以上である。ナノ結晶ダイヤモンド層は、高アスペクト比エッチングシステムを用いて灰化されてもよい。
[00124]上述の明細書では、本発明の特定の例示の実施形態を参照しながら本発明の実施形態を説明してきた。以下の特許請求の範囲に記載されるように、本発明の実施形態のより広い主旨及び範囲から逸脱しない限り、本発明に様々な修正を加えることができることが明らかになろう。従って、本明細書及び図面を限定的と捉えるのではなく、例として見なすべきである。
[00125] この明細書全体を通じて、「一実施形態(one embodiment)」、「特定の実施形態(certain embodiments)」、「1以上の実施形態(one or more embodiments)」、又は「実施形態(an embodiment)」に対する言及は、実施形態に関連して説明されている特定の特徴、構造、材料、又は特性が、本開示の少なくとも1つの実施形態に含まれることを意味する。故に、この明細書全体の様々な箇所での「1以上の実施形態で」、「特定の実施形態で」、「一実施形態で」、又は「実施形態で」などの表現は、必ずしも、本開示の同一の実施形態に言及するものではない。更に、特定の特徴、構造、材料、又は特質は、1以上の実施形態において、任意の適切なやり方で組み合わされ得る。
[00126] 本明細書の開示は特定の実施形態を参照して説明されているが、これらの実施形態は、本開示の原理及び用途の例示にすぎないことを理解されたい。本開示の精神及び範囲から逸脱することなく、本開示の方法及び装置に対して様々な改変及び変形を行い得ることが、当業者には明らかになろう。したがって、本発明は、添付の特許請求の範囲及びその均等物の範囲内にある修正及び変形を含むことが意図されている。

Claims (8)

  1. 2から12kWの範囲のマイクロ波電力、0.1から1Torrの範囲の圧力、500℃から650℃の範囲の温度、及びメタン(CH4)/二酸化炭素(CO2)/水素(H2)のガス流を使用して、基板上に、第1の厚さ、第1の粗さ、第1の硬度、及び第1の弾性率を有する第1のナノ結晶ダイヤモンド層を堆積させること、並びに
    10~90%でパルス化された2から12kWの範囲のマイクロ波電力、0.1から1Torrの範囲の圧力、500℃から650℃の範囲の温度、及びメタン(CH4)/二酸化炭素(CO2)/水素(H2)/アルゴン(Ar)のガス流を使用して、前記第1のナノ結晶ダイヤモンド層上に、第2の厚さ及び第2の粗さを有する第2のナノ結晶ダイヤモンド層を堆積させることを含み、
    前記第1の厚さは前記第2の厚さよりも大きく、前記第2の粗さは前記第1の粗さ未満である、処理方法。
  2. 前記第1のナノ結晶ダイヤモンド層を堆積させることは、プラズマを生成するために、炭素含有ガス及び二酸化炭素を含む堆積ガスを生成し、前記堆積ガスを活性化することを含む、請求項1に記載の処理方法。
  3. 前記第1のナノ結晶ダイヤモンド層を堆積させる前に、前記基板上にシード層を堆積させることを更に含む、請求項1に記載の処理方法。
  4. 前記シード層が、ナノ結晶ダイヤモンドを含む、請求項3に記載の処理方法。
  5. 前記第2のナノ結晶ダイヤモンド層を堆積させることは、プラズマを生成するために、炭素含有ガス、二酸化炭素、及び不活性ガスを含む堆積ガスを生成し、前記堆積ガスを活性化することを含む、請求項1に記載の処理方法。
  6. 前記第2のナノ結晶ダイヤモンド層を形成するために、前記第1のナノ結晶ダイヤモンド層を水素プラズマに曝露することを更に含む、請求項に記載の処理方法。
  7. 前記第1の厚さは、250nmから650nmの範囲である、請求項1に記載の処理方法。
  8. 前記第2の厚さは、5nmから200nmの範囲である、請求項1に記載の処理方法。
JP2021560930A 2020-08-31 2021-08-16 ダイヤモンドフィルムのトライボロジー特性の改善 Active JP7417627B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2023161812A JP2024012282A (ja) 2020-08-31 2023-09-26 ダイヤモンドフィルムのトライボロジー特性の改善

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/007,441 US11594416B2 (en) 2020-08-31 2020-08-31 Tribological properties of diamond films
US17/007,441 2020-08-31
PCT/US2021/046095 WO2022046448A1 (en) 2020-08-31 2021-08-16 Improving tribological properties of diamond films

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2023161812A Division JP2024012282A (ja) 2020-08-31 2023-09-26 ダイヤモンドフィルムのトライボロジー特性の改善

Publications (3)

Publication Number Publication Date
JP2022549044A JP2022549044A (ja) 2022-11-24
JPWO2022046448A5 JPWO2022046448A5 (ja) 2023-04-14
JP7417627B2 true JP7417627B2 (ja) 2024-01-18

Family

ID=80353808

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2021560930A Active JP7417627B2 (ja) 2020-08-31 2021-08-16 ダイヤモンドフィルムのトライボロジー特性の改善
JP2023161812A Pending JP2024012282A (ja) 2020-08-31 2023-09-26 ダイヤモンドフィルムのトライボロジー特性の改善

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2023161812A Pending JP2024012282A (ja) 2020-08-31 2023-09-26 ダイヤモンドフィルムのトライボロジー特性の改善

Country Status (4)

Country Link
US (2) US11594416B2 (ja)
JP (2) JP7417627B2 (ja)
CN (1) CN114503241A (ja)
WO (1) WO2022046448A1 (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20230138978A1 (en) * 2021-11-01 2023-05-04 International Business Machines Corporation Structure and method to pattern pitch lines

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170269263A1 (en) 2016-03-16 2017-09-21 Adam Khan Diamond coated antireflective window system and method
JP2017533580A (ja) 2014-09-03 2017-11-09 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 3d nandハードマスク用途向けナノ結晶ダイヤモンド炭素膜
JP2017534750A (ja) 2014-08-22 2017-11-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高密度高Sp3含有層を実現するための高電力インパルスマグネトロンスパッタリング処理
JP2017226593A (ja) 2016-03-08 2017-12-28 トゥー‐シックス・インコーポレイテッド シリコン層、及び、光学的に仕上げられた(又は密集した)シリコン‐ダイヤモンド界面を有するダイヤモンド層を含む基板

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2554100B2 (ja) * 1987-09-10 1996-11-13 三菱重工業株式会社 ダイヤモンド皮膜の研磨方法
US7079740B2 (en) 2004-03-12 2006-07-18 Applied Materials, Inc. Use of amorphous carbon film as a hardmask in the fabrication of optical waveguides
US20090017258A1 (en) 2007-07-10 2009-01-15 Carlisle John A Diamond film deposition
US8007910B2 (en) 2007-07-19 2011-08-30 City University Of Hong Kong Ultrahard multilayer coating comprising nanocrystalline diamond and nanocrystalline cubic boron nitride
US8460464B2 (en) * 2009-03-31 2013-06-11 Rajneesh Bhandari Method for producing single crystalline diamonds
US9449982B2 (en) * 2013-03-12 2016-09-20 Sandisk Technologies Llc Method of making a vertical NAND device using a sacrificial layer with air gap and sequential etching of multilayer stacks
EP2832899A1 (fr) 2013-08-02 2015-02-04 The Swatch Group Research and Development Ltd. Revêtement de diamant et procédé de dépôt d'un tel revêtement
US9382625B2 (en) * 2014-05-01 2016-07-05 Applied Materials, Inc. Remote plasma source based cyclic CVD process for nanocrystalline diamond deposition

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017534750A (ja) 2014-08-22 2017-11-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高密度高Sp3含有層を実現するための高電力インパルスマグネトロンスパッタリング処理
JP2017533580A (ja) 2014-09-03 2017-11-09 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 3d nandハードマスク用途向けナノ結晶ダイヤモンド炭素膜
JP2017226593A (ja) 2016-03-08 2017-12-28 トゥー‐シックス・インコーポレイテッド シリコン層、及び、光学的に仕上げられた(又は密集した)シリコン‐ダイヤモンド界面を有するダイヤモンド層を含む基板
US20170269263A1 (en) 2016-03-16 2017-09-21 Adam Khan Diamond coated antireflective window system and method

Also Published As

Publication number Publication date
US20230170217A1 (en) 2023-06-01
US11594416B2 (en) 2023-02-28
US20220068643A1 (en) 2022-03-03
WO2022046448A1 (en) 2022-03-03
US11894230B2 (en) 2024-02-06
JP2024012282A (ja) 2024-01-30
JP2022549044A (ja) 2022-11-24
CN114503241A (zh) 2022-05-13

Similar Documents

Publication Publication Date Title
US10954129B2 (en) Diamond-like carbon as mandrel
KR101821800B1 (ko) 3d nand 하드마스크 애플리케이션을 위한 나노결정질 다이아몬드 탄소 필름
CN108475640B (zh) 用于侧向硬模凹槽减小的混合碳硬模
US7919416B2 (en) Method of forming conformal dielectric film having Si-N bonds by PECVD
JP7229929B2 (ja) ハードマスク応用向けのホウ素がドープされた炭化タングステン
KR20180029925A (ko) 스페이서 및 하드마스크 애플리케이션을 위한 실란 및 알킬실란 종으로부터의 보란 매개 탈수소화 프로세스
TW201007832A (en) Method for critical dimension shrink using conformal PECVD films
KR20050034566A (ko) 실리콘 탄화물막을 제조하는 방법
JP2024012282A (ja) ダイヤモンドフィルムのトライボロジー特性の改善
JP2004363558A (ja) 半導体装置の製造方法およびプラズマエッチング装置のクリーニング方法
JP7441244B2 (ja) 低い粗さのダイヤモンドフィルムの堆積
US11495454B2 (en) Deposition of low-stress boron-containing layers
TW202422701A (zh) 高深寬比接點(harc)蝕刻
TW202336256A (zh) 用於鑽石膜沉積之氣相前驅物種晶
TW202212256A (zh) 低應力含碳層的沉積
CN117242547A (zh) 含碳材料的循环等离子体刻蚀

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220715

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20211210

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230117

A524 Written submission of copy of amendment under article 19 pct

Free format text: JAPANESE INTERMEDIATE CODE: A524

Effective date: 20230405

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230627

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230926

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20231219

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240105

R150 Certificate of patent or registration of utility model

Ref document number: 7417627

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150