JPS5922374B2 - プラズマエッチングによるデバイスの製造方法 - Google Patents

プラズマエッチングによるデバイスの製造方法

Info

Publication number
JPS5922374B2
JPS5922374B2 JP54096877A JP9687779A JPS5922374B2 JP S5922374 B2 JPS5922374 B2 JP S5922374B2 JP 54096877 A JP54096877 A JP 54096877A JP 9687779 A JP9687779 A JP 9687779A JP S5922374 B2 JPS5922374 B2 JP S5922374B2
Authority
JP
Japan
Prior art keywords
species
etching
etched
effective
gas mixture
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired
Application number
JP54096877A
Other languages
English (en)
Other versions
JPS5521198A (en
Inventor
ウイリアム・レイド・ハ−シユバ−ガ−
ハイマン・ジヨセフ・レヴインステイン
シリル・ジヨセフ・モガブ
ロイ・ア−リ−・ポ−タ−
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
AT&T Corp
Original Assignee
Western Electric Co Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Western Electric Co Inc filed Critical Western Electric Co Inc
Publication of JPS5521198A publication Critical patent/JPS5521198A/ja
Publication of JPS5922374B2 publication Critical patent/JPS5922374B2/ja
Expired legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)

Description

【発明の詳細な説明】 〔発明の背景〕A技術分野 下出願に記載の発明による製造方虫は高密度高解像度集
積回路や個別素子に適用可能である。
この場合最も重要な技術はシリコンに基づくものである
。他の重要な領域としては、その他の半導体の技術や磁
気焦集積光学技術などに基づくものがある。B歴史 シリコン技術?基礎とする大規模集積回路(LSI)は
リトグラフ法で製造した高素子密度回路の例である。
集積回路や個別素子}己製造方法の観点からすれば他の
半導体技術に基づいている。問題とする他の分野には、
たとえばバブルメモリなどの磁気回路、集積光学技術等
が含まれる。シリコンLSI製造技術は本発明思想の一
サ1であるので、これについて説明する。この場合、個
別マスクの上にパターン形成を行ない、このようなマス
クは製造する構体の上にある・感放射7V(レジスト層
)にパターンを複製する機能を有する。
増々微小化しようとする傾向(現在広く行なわれている
設計寸法としての約4μmからサブミクロンまで)は製
造技術に変化をもたらしている。たとえば、主として整
合の問題のために、直接処理として知られる無マスク法
に関心がちたえている。直接処理ではウエハ上のレジス
ト層自体に1次パターンを描き、その後配置可能なマス
ク層として機能する。マスク層は1次パターンまたは2
次パターンによつて製造されたかによらず、広範囲の一
連の処理工程によつて下の層にパターンを描く役目をす
る。
とくに重要な工程には、たとえぱシリコン(単結晶や多
結晶)、酸化シリコン、窒素シリコン(プラズマ成長の
場合や熱分解成長の場合)などの材料のパターン層を形
成するエツチングがあるo現在の設計法はたとえぱ化学
エツチングなどの湿式処理を使用して適切な素子解像度
を得るものである。
素子の間隔をできるだけ狭くする必要があるので、エツ
チプロフアイル(マスクレジストクエツジの下にあ2!
l)了ンターカツトのzい竺体として直状の垂直ニツチ
壁)を厳密に制御する必要性が伴う。
たとえビイオンミル法、スパツタ法などの高二ネルギ一
乾式処理に二つて異方性二ツチングの必要性が充足され
た。
しかしこのような方法は多くの目的にかなうものである
が、放射損傷、急速なレジスト腐食}よびイオン衝撃に
よる他の影響によつて製造工程が複雑になる。プラズマ
ニツチング処理は乾式処理の利点を呈するが、常に制御
可能な異方性エツチングになるとは限らか〜プラズマ条
件(低圧の使用}よび他の方向性導入条(ニ)を適切に
選択することによつて垂直壁を形成できる場合もあるガ
く放射損傷はここでも問題となる。〔発明の概要〕デバ
イスふ・よび回路の製造方法はエツチプロフアイルに融
通性があるプラズマニツチング法の利点をとつている。
この方法は十分に融通性があり垂直壁や他の所望のプロ
フアイルが得られる。好ましい実施例のブラズマ電力対
圧力の条件を変えて、高エネルギー電子に伴う2次X線
放出に起因する損傷を最小にする反応条件を選定するこ
とができる。垂直エツチ壁の通常の生成についてはアン
ダカットが制御される。
レジスト層の下にある側壁のエツチングは、そのような
壁の付近に活性エツチヤント種の再結合領域を与えるこ
とによつて制御する。本発明によるエツチングは、適当
な気体組成物をプラズマ中に導入することによつて生ず
る種から始まる。
この気体組成物は、プラズマ中で(a)優勢有効エツチ
ヤント種ふ・よび(b)再結合子を生ずる別異の種を含
むものと考えてもよい。便宜上このように区別したが、
これら2つのブラズマ種のいずれも大まかなものであり
、したがつてそれらの機能については表面状態または他
の反応に依存する。本発明思想の主要部分は、別異なも
のとして区別できる各反応物によつてこのような反応物
の相対的な量が必然的に変化することである。
反応物の比を変えるとエツチヤント・再結合子の比が変
わるが、これによつてエツチヤントのプロフアイルを変
化させることができる。好ましい実施例では、この比を
変えることによつて広範囲な処理条件で直状の垂直壁(
または他の所望のプロフアイル)を形成することができ
る。〔詳細な説明〕 1.概論 本発明の主題は、プラズマエツチング工程を1以上含む
たとえば集積回路や個別素子などの物品の製造に関する
必要なリトグラフ前工程は個別マスクによる複製または
無マスク法すなわち直接処理によつてもよい。いずれに
せよ処理を受ける物品には、相当する過程で付着レジス
タ層を設け、これに(1)溶解度を変える化学線によつ
て、および(2)溶媒中における現像によつてパターン
を描き、レジストがポジ型かネガ型かに応じて露光領域
または非露光領域を選択的に除去する。ある変形工程で
は、「レジスト」自体に化学線でパターン焼付けするの
ではなく、その上にあつてパターンを描いたある感放射
材から直接または間接的に複製によつてパターンを形成
してもよへ本発明によれば関連する処理は、必ずパター
ンレジストの下にある裸基板の選択的プラズマエツチン
グを意味する。
対象となる材料すなわち被エツチング材料は処理を受け
る物品によつて変わる。市場性の高いLSIの場合この
対象となる材料には、ソリコン(単結晶または多結晶)
、酸化シリコン(ドーブまたは非ドープ)、窒化シリコ
ン(プラズマまたは熱分解成長)およびレジスト自体(
この除去はその上にある材料の「リフトオフ」によつて
もよい)等が含まれる。半導体光学、磁気学等の技術に
応じて他の材料を用いてもよい。実施例としては、窒化
ホウ素、パーマロイ、置換イツトリウム鉄ガーネツト、
リチウムニオベート、リチウムタンタレート等がある。
本発明による処理は細密画(高解像度)デバイスまたは
集積回路の製造に関するものとしてとくに重要である。
この場合、シリコン大規模集積回路(LSI)技術の現
状では約4μmの設計寸法まで到達している。プラズマ
エツチングの使用はこのようなLSIの製造において普
及している。ミクロン卦よびサブミクロンまで設計寸法
が付.随的に減少して増々小型化するので、プラズマエ
ツチングは増々使用されるであろう。設計寸法が減少す
るとエツチプロフアイルにさらに要求を課することにな
り、全体としては垂直壁を最少のアンダカツトで形成す
る方向にある。上述の要求は、成分生成構成要素を2つ
以上混合したものと考えられる気体ニツチヤントを導入
することによるエツチング方式を使用することによつて
実現される。
このような反応物をプラズマ中に導入すると2つ種、す
なわち(1)優勢有効エツチヤント種および(2)再結
合子が発生する。この再結合子は、エツチング壁におい
てまたはその近傍で優勢エツチヤント種を再結合させ、
壁面のエツチングの進行度を制御する媒介手段として機
能する性質を有する。本発明による処理の重要な特徴は
エツチヤントのみならず再結合子の量が有限であるとい
う観察に基づいている。
一方に対して他方の量を調節することによつて制御の程
度を変え、垂直で平坦なエツチ壁を生じ、または詳しく
言えばかなり制御可能な程度のアンダカツトを呈するエ
ツチ壁を生ずる。エツチヤントより再結合子が好ましい
条件下では、「負のアンダカツト1(エツチ壁がマスク
エツジを越えて非マスク領域に突出した状態)を呈する
エツチ壁を形成すること・tら可能である。2.定義 −般に使用するものも、本明細書に特有のものも含めて
用語を定義しておくことが説明上部合がよいoプラズマ
エツチングリ ブラズマ内で生ずる状態に主に起因して進行するエツチ
ング。
この定義は、特定の用語、たとえば反応性イオンエツチ
ング、スパツタエツチング等で通常定義される多数の方
法を包含する。本発明の観点からは、関係する方法は十
分に低い電力でかつ十分に高い圧力で行なわれ、エツチ
ングはエツチングする表面における化学反応に主に依存
する。プラズマエツチングなる用語は、支配的な除去メ
カニズムが運動量交換に関係している諸方法を除外する
ものとする。すなわち本発明はとりわけイオンミル法と
は関係ない。換言すれば、どんなプラズマエツチングで
あれ、要件が充足される限リ、つまりエツチングがエツ
チングすべき材料との化学反応に主に起因する限り、本
願発明に含まれる。
もし支配的な除去メカニズムが化学反応に起因せず、例
えばイオンミリングのような運動量交換による除去を伴
なう場合は、本願発明の方法には含まれない。即へ反応
性エツチングによる除去が主に化学反応に起因する場合
は本願の発明に含まれ、他の運動量父換のようなメカニ
ズムに起因する場合は本願発明に含まれないっレジスタ
: この用語にその暗示的な意味、すなわち反応物(この場
合はニツチヤント)によつて下にある材料が侵?れるの
をレジストによつて制限する被エツチング基板の上にあ
る材料を定義することに使用される。
通常使用されていると}り、レジスト―その原形であろ
ラがパターンを形成した形であろうが放射感応性である
必要はない。したがつてこの用語ぱ、対応する照射P・
よびこれに続く現像(てよつてパターンをそれ自体に描
く被覆材料や、これまで2よび現在も放射感応性でなく
他の手段:(よつてパターンを描くことのできるヨ覆材
料をも含む。本明細書で使用されているように一般に、
レジストはニツチング中残すべき材料と除去すべき材料
との間の境界を画成するニツジ領域が最も重要であるの
でレジストにパターンを形成する。反応物とはプラズマ
中に導入する材料をいう。この材料ヒ通常気体であるが
、少なくとも2つの反応物成分、すなわち(1)反応物
エッチャント成分ふ・よび(2)反応物再結合子成分を
含む温合気体と考えられる。このような反応物;仕通常
中性(帯電していない)であるが、元素状種、二原子状
種ふ・よび(またぱ)化学結合種を含んでもよい。本発
明の観点から2つの上述の反応物成分が重要であるが、
他の材料を導入してもよい。他の材料は担体、希釈剤等
として機能することがある。活性成分: これはプラズマ中に存在し本発明にとつて最も重要な次
の2つの機能を生ずる成分である。
ニツチヤント種:被エツチング表面との化学反応を介し
て材料除去の主な原因となる種(スピーシーズ)。
多くの場合「優勢二ツチヤント種」として記載される。
再結合子:優勢エツチヤント種の実効寿命を決める再結
合中氾bニツチ壁にふ・いてまたはその近傍で再結合子
によつて優勢エツチヤント種を不活性化するまたは少な
くともその活性をかなり減少させる)ように本発明によ
つて条件を選定する。
エツチプロフアイル: 被エツチング材料に生ずる壁の餉Z一般にこの用語:喧
ニツチングに}けるまたはその後に}けるそのようなプ
ロフJャCルをいう。
生ずるプ。フアイルには次のものがある。垂直: 境界を画定するための被覆レジストのニツジ面と共通で
かつ基板面シて垂直な面にある冥質的に平坦なニツチ?
あるレジストエツジをエツチングする場合その平面がニ
ツチング前のレジストェッジの位置とほぼ一致する位置
になるようにこれを最小にすることが望ましへアンダカ
ツトリ ニツチ壁のある部分(一般にはその上部)がレジストの
下まで「アンダカツト」している、すなわちその下にく
い込んでいるエツチ墜負のアンダカツト: 連続的なエツチングによつてエツチング領域の底面が対
応するレジスト開口で百成された素子形状よりも小さ〈
なるようなエツチング中に生じたニツチング形状と定義
される。
等方性エツチングリ エツチングが全方向(垂直二・よび横方向)に均一な速
度で進行するランダムエツチング処理をいう。
したがつて等方性エツチングによつてアンダカツトが生
ずるが、レジストに最も近いニツチング領賊が垂直方向
のエツチング寸法にほぼ等しい寸法1でアダンカツトし
ている特定のアダンカツトを生ずる。等方性エツチング
はオーパエツチング(異万性エツチング中に生ずること
がある)に起因するアンダータツトとは独立である。異
方性エツチング: 等方性エツチングからのずれを生ずるある方向性によつ
て生ずるエツチング。
この意味でアンダカツトは正負にかかわらず、エツチン
グが2つの方向、たとえば垂直および横方向に不均等に
進行するかぎり異方性である。「理想的異方性エツチン
グ」とは、エツチング前の最初のレジストエツジとほぼ
一致する平面上に基本的に平坦な垂直エツチ壁を生ずる
エツチングをいう。3.反応物組成 反応物組成の一般的性質は前節冒頭に記載したが、実施
例の系は一般にハロゲン誘導体の活性エツチヤント種に
基づいている。
これは排出物の質量分析を基礎としている。たとえば塩
素または臭素の原子状・・ロゲンなどの種を反応物内に
結合形で導入する。原子状塩素の場合優勢種の導入はC
t,,cF3ctなどの形であつてもよい。反応物は通
常気体状であり、凝縮の訃それをなくすためにすべての
導入物は常温でまたは常温付近で気体であることが望ま
しい。再結合反応物はシリコンエツチングの場合フルオ
ロカーボンであろう。実施例ではC,F6卦よびCF,
Ctである。効果的なエツチング速度を生ずる方法およ
び条件について一般的な要件は、再結合子が処理を受け
る物品中の材料に対してエツチヤント種としては比較的
不活性であることである。被覆レジストばかりでなくシ
リコンについてもフルオロカーポンラノカルは十分不活
性であるが、他のある材料、たとえばアルミニウムに対
しては一般に適当でない。アルミニウムエツチングにつ
いて有効な再結合子は再結合反応物Bct3から導出す
る。2つの動作成分の相対的な量は所望のエツチプロフ
アイルが得られるように決定する。
以下の節で詳述する重要な条件はレジストエツジ表面積
}よび再結合子の量を決める他の条件ならびに優勢エツ
チヤントである。後者は主に優勢エツチヤント種自体の
性質に基づいて決まるが、電力、圧力等を含む他のパラ
メータによつても影響される。多くの条件によつて理想
的異方性エツチングの場合導入された再結合子成分はエ
ツチヤント成分の2倍以上になる(ここでは一般にモル
比である)0cF3ctは再結合反応物の1:1モル混
合物として扱つてよいので、したがつてこのエツチヤン
ト反応物は少なくとも本発明による理想的異方性エツチ
ングには適切でない。この1:1混合物から生ずる優勢
エツチヤント種は好ましいエツチング条件下で目的にか
なつた使用をするには一般に過剰のアンダカツトを生ず
る。本発明の思想によれば成分比を特定することによつ
て70フアイルの設定に融通性が生ずる。
再結合反応物C,F6とともにCF,Ctを導入して、
全体的に製造に適したエツチプロフアイルを生ずるよう
にしてもよい。実施例の系ではCt,5ないし14体積
パーセントのC,F6−Ct,によつて適切なエツチン
グが行なわれ、他の指定の条件では理想的に異方性のプ
ロフアイルまたはそうでなくとも多くの目的にかなつた
プロフアイルを生ずる。有効反応物種はさらに複雑であ
るが、これは擬似優勢エツチヤント種Ctおよび再結合
ノ子CF,と考えると都合がよい。
これらの条件でかつ比例的にプラズマ活性化すると仮定
して、2ないし14%の組成単位比で効果的なエツチン
グが行なわれ、理想的な異方性またはそうでなくとも多
くの場合所望の異方性を得ることができる。Ct,の導
入を増すにつれ選択性(たとえばSiO,に対するシリ
コンの)が増すという事実のために、使用できる比は1
4%以上となり、生じたアンダカットが許容できる程度
の十分な間隔がある場合は90%もの高レペルが得られ
、それ以上にすらなることがある。実施例で使用した他
の系は結合臭素の導入に応じて関連するハロゲン系であ
る。
この系の比の範囲は類似の塩素系で説明したものと同様
である。これらの実施例の他の系はBCl3−Cl,の
導入に関するものである0ここで優勢エツチヤント種は
原子状塩素と、再結合子種はBCI,(BCt2でも可
)から誘導されると考えるのが都合がよZct:Bct
2の比の範囲は0.1ないし5%であり、理想的な異方
性エツチングまたは多くの意図した条件では他の所望の
異方性エツチングが得られる。な卦本発明の多くの実施
例は区別可能な再結合反応物およびエツチヤント反応物
の導入によるものである。
一般に反応物は混合気体であり、したがつて2つの反応
材料の比を変えることができる。この融通性は、様々な
処理条件に訃いてプロフアイルを理想的な異方性または
他の所望の傾斜に設定することができる点で非常に重要
である。最も重要な処理条件は、運動量輸送に起因する
と思われる影響を最小にして操作を行なうことのできる
ほど十分に高い圧力と低い温度を含むものであることが
示された。しかし比較的まれな状況では、(1Hヒ学的
に結合して1つの化合物となつた反応物一再結合子が一
定の比で生じ、(2)単一の前駆反応物に起因すると考
えられるエツチヤント種一再結合子種すら生ずることが
あるのは事実である。
前者はCF,Ctが主な例である力ζ一般に多くの目的
には合致しないが素子間隔が大きい場合には許容し得る
程度のアンダカツトを生ずる。ある組成物反応物では、
より理想に近い異方性を生ずる比が本質的に生ずる。後
者は、通常の好ましいエツチング条件でエツチング速度
が比較的低い場合のみ有用なプロフアイルを生ずること
がわかつbこの最後の見方:リ本質的であると考えられ
る力ζ これは有用なプコフアイルが本発明の思想すな
わちエツチングと再結合との平衡の結果に依存するから
である。4.有効再結合子種 さらに一連の実験を行なうと、プロフアイル制御は次の
3つの要因の組合せによることがわかつた0すなわちこ
れらは(a)レジストエツジ面の(またはより一般的に
はエツチングの進行につれ材料が露出する全エツジ面の
)物理的状態、(b)エツジ面の化学的性質、および(
c)エツチ壁付近に導入されたブラズマによつて生ずる
}それのある種の性質である。
有効再結合子群の数は有限であることがわかる。すなわ
ち結論は、壁面のレジスト部分の上にある利用可能な反
応場所が飽和ないしは飽和に近い状態になるためと説明
される0表面の滑らかさを変えると優勢エツチヤント種
を対応して変化させる必要が生ずることが考えられ、飽
和説が裏付けられる。再結合場所を生ずる役目をするも
のとして選定した様々なレジストは化学的および物理的
性質が大きく異なるために、レジストとしても使用に適
したどんな有機組成物も機能することができるという結
果を生じ、これは従来の説とも一致する(どんな炭化水
素を基礎とした重合体も再結合のための表面を与えるが
プラズマ環境中で適切に安定でありレジストとして機能
しなければならな%−b)。
行なつた実験では様々な分子量}よび組成で様々な架橋
度のノポラツクを含む。非常に多くの他のレジスト(ポ
ジ型のみならずネガ型動作の)も広く使用されているノ
ポラツクポジテイプとして有効であることがわかつた。
とりわけエツチング前のベーク条件やレジストにパター
ンを描くエツチヤントを変えることによつて表面の滑ら
かさが変化した。他の実験結果によればエツチ壁付近で
再結合一エツチング反応が競合していることが裏付けら
れている。
たとえば、かなりのレジストエツチング動作を呈する再
結合子種が含まれていてたとえ固有のエツチヤント種寿
命が短くなつたとしてもほとんどプロフアイルは制御で
きか〜最終再結合子がプラズマのバルク中に存在すると
考えることは適切であるが、エツチ壁における動作はそ
の位置で生成した再結合子種と密接に結びついている。
少なくとも最初レジスト表面で再結合子がエツチング中
の(非レジスト)材料のエツチプロフアイルに影響を与
えることは、実験結果から明らかであり、事実、本発明
が依拠するところのテーゼである。発明的成果を必らず
生じさせる作用ルールは、再結合子がエツチ壁上の他の
表面材料によつて消尽されないかぎリレジスト−再結合
子対によつて説明できる。したがつてプロフアイル制御
はシリコンのエツチング深さとは無関係に続けられる(
名目上のCF3再結合子の場合)が、SiO2の中間層
がプロフアイル制御を阻害する。これはSiO2および
CF3の既知の反応と一致する05.有効エツチヤント
種 簡単にいえば本発明はエツチングと再結合との平衡に依
存している。
エツチヤントは再結合子に対する量、すなわち前に示し
たようにレジスト表面などの多数の要因に密接に関係す
るパラメータについてのみ適切に考えられる。レジスト
壁面が全体的に再結合子に対してほぼ飽和レペルにある
との仮定に立てば、再結合子レペルが一定であると考え
、エツチヤントの実効濃度を変えることが妥当である。
理想的異方性エツチングによつて高回路密度に最も望ま
しいその平衡が決まる、エツチヤントの増大方向がそれ
るとアンダカツトを生ムエツチヤントの減少方向がそれ
ると負のアンダカツトが(再結合子または他の存在する
種のエツチヤントの活性に応じて)生ずることがある〇
被エツチング材料の下にある材料に対してかなりの選択
性を示すエツチヤントの場合、好ましくない程度の負の
アンダカツトでも洗浄後十分な時間エツチングすること
「修正する」ことができる。6.処理条件 当業者にとつて本発明の思想は、エツチヤント対再結合
子の比を変えることによつてプロフアィル制御ができる
という観点に立つていることを十分に説明した。
本発明の要点は合理的なエツチング速度と他の所望のエ
ツチング条件と両立させて異方性を増すことにある。生
産に移すための詳細な条件は様々なバラメータを変えて
実験を行なうことによつて決定できる。説明の便宜上、
現用の反応物のタイプで通常実験できるプラズマエツチ
ング条件を簡単に説明する。
様々な理由から平行板反応物が工業的に優勢を占めてき
ている。進歩した設計によればフローパターンによつて
確実にウエハ間のエツチングの適度な均一性が得られる
。たとえば、A.R.ラインパーク(A.R.Rein
berg)による「パターン画成のためのエツチング」
(EtchingfOrPatternDefinit
iOn)(H.G.ヒユージス(H.G.Hmghes
)およびM.J.ランド(M.J.Rand)編)エレ
クトロメカニカル・ソサイテイ社(TheElectr
5rechanicalSOcietyInc●)米国
ニユージャージイ州プリンストン、1976年刊、およ
びR.G.ポールセン(R,G.POulsen)によ
るジヤーナル・オブ・バキウム・サイエンス・アンド・
テクノロジー(J.Vac.Sci.TecllnOI
.)第14巻第266頁(1977年)を参照のこと。
平行板システムとは、適当な真空容器中に平板対を設け
たものである。電源は通常無線周波(8′)領域(たと
えば13.56KHz)であるが、駆動平板に印加され
平板間の放電を起動して維持する。駆動されない方の平
板は通常地電位に保持する。ここで考察されている「プ
ラズマエツチング」は、もう前に記したことだが(明細
書第16〜17頁参照)、通常は別な呼び方をされる様
々な方法を含んでもよい。本願発明にとつて、こうした
方法に対する唯一の条件は、ブラズマ誘導活性エツチヤ
ント種による運動量交換ではなく化学反応によつて被エ
ツチング面の材料を大部分除去することである。たとえ
ば電極の相対的大きさやウエハの場所(駆動電極上か非
駆動電極上か)によつて命名法に相違が生ずる場合があ
る。反応イオンエツチングとして一般に知られている方
法では、駆動電極が対向電極よりかなり小さく、被エツ
チング材料を駆動電極上に置いている。通常、プラズマ
エツチングと称する工程の場合、電極はこれより対称形
に近く、被エツチング材料は非駆動電極上に置く。この
ような装置側の差や、電力、圧力等の諸条件の変化は、
基本的条件(化学反応による大部分の除去云々といつた
)を満足していれば本発明の思想に従つて許容できるも
のである。これらの反応物内で制御を受けるパラメータ
はエツチングガスの組成、圧力、流入速度、電力、電極
間間隔および基板温度である。これらのパラ一Sメータ
の標準的な範囲は、圧力では10〜2.0T0rr1流
速は10〜500SCCM(標準の毎分当り立方センチ
メートル:S詠NdardCu.Cm.フ/Min.)
、電力は100〜3000ワツト、電極間隔は5〜50
U1直径は17インチ(40.2CIL)、電極基板温
度は25〜250℃である。
好まし〈使用できると考えられる所望のプラズマエツチ
ング条件を説明する。一般に現時点で入手できる装置に
基づいて説明する。装置設計を改良すればその所期の効
果が得られることはきわめて考えられることである。し
たがつて以下の説明は現在の実用の点から意味深いもの
であるが、将来の実用に従つてとくに限定するものでも
ない。反応物の設計に}いて本発明の処理によつて0.
1T0rrのオーダのプラズマ圧力で所望のプロフアイ
ルを与えることができること(吐重要である。これは、
理想的な異方性エツチングを低圧のみで行なつた多くの
従来技術の処理に対比するものである。この圧力値は、
粘性流(高圧について)と低圧に卦ける非粘性の原子流
、イオン流または分子流との間の大まかな境界である。
粘性流では、プラズマと固体表面との間よりもプラズマ
内部で衝突が多く起る条件が規定される。したがつてこ
れは標準的なプラズマ電力密度の場合閾値条件を規定し
、これより下ではかなりの放射損傷が生ずることがある
。数ワツト/dのプラズマ電力が得られている。
1ワツト/dより実質的に上の電力では不均一性の問題
が生じ、プラズマの安定が困難で1.0T0rr以上の
程度の圧力に維持する。
第3のパラメータは、最初の2つ(プラズマ圧力卦よび
電力)とも相互に関係する八エツチング速度である。
工業的見地からすればこれは時によつてスループツトを
決める重要な要因である。これは、エツチングをゆつく
り行なえば必ずレジストの露光時間が長くなる点でも重
要である。被エツチング層の厚さに応じて、レジストの
腐食は通常ある程度のレペルで限界となることがある。
多くのリトグラフ法処理の場合、実際のレジスト厚が画
成すべき寸法の平均値を大きく上回ることはない。エツ
チング速度の最低値を300ス/分または好ましくは5
00X/分に設定することは妥当である。エツチングす
べき通常の材料とポリマレジストなどの耐久性材料とで
エツチヤントを区別することは、一般にレジストの有効
部分をそのような速度に保持するのに十分である。被エ
ツチング層が比較的厚い(ミクロンのオーダ)の場合、
上述の考察によれば好ましいエツチング速度:i実質的
に500ス/分以上になる。7.実施例 菓施例を畏形式で示す。
;発明の思想は、優勢有効ニツチヤント種対有効再結合
子種の比の変化について最も良ぐ表わ名れ、したがつて
表に掲載するために選んだ実施例は、動作比だけを変え
て冥質的に同一の条件下で同−の装置で行なつた。表1
:リハコゲン基体系の例であるが、いわゆる「ポリシリ
=ン1(多結晶シリリン この場合5X10Ω−コの固
有抵抗レベルまでリンがドーブされている)のニツチン
グに関する。各例のレジストは同一の市販のキノン・ジ
アジドで増惑したポジ型動作ノボラツクである。結果は
、示したように多ぐの種類の他のレジスト系を含む多ぐ
の他の系でも確認された。表1に記載した実施例のそれ
ぞれで使用されている系はC2F,−Ct,の導入を基
礎としている。
これはと〈に、反応物が一方の成分で有効再結合子種を
生じ他方の成分で主有効エツチヤント種を生ずる簡単な
2成分混合気体である点で有用である。同様な結果はC
F3Ct÷C2F,の例でも得られるが、反応物と有効
種との関係はより間接的である。各実施例の反応物条件
は、400ワツトのプラズマ電力、0.35T0rrの
圧力、30uの電極間隔、25℃の平板温度(被エツチ
ング物の支持として機能する低い方の接地した電極の温
度)、175SCCM(7)流速(標準の毎分当り立方
センチメートル 「標準」とは25℃)である。辰に示
した実施例の他に、同一条件でさちに塩素の包有を多く
した実験を行なつ島この傾向が続き、90%のCιで:
ツチングが等方性であり、エツチング速度および選択性
の両方が増加し島様々な実験によつて他の系でも同様の
効果を示している。
そこでたとえピBCt3− Ct,の相対的量を変える
とプリフアイルが同一の全体的傾向を示した,特定の比
ではアルミニウムの多い合金の理想的異方性ニツチング
が生じたが、Ct2を増すとその有様は等方性に近づい
た。本発明を要約すると次のとおりである゜ (1)製造する物品が選択的領域内にエツチングすべき
材料の表面を含み、かつ該領域に対応する開口を有する
被覆処理層を含む少な〈ともIつの操作からなる物品の
製造方法であつて、該物品を装置内に含まれたプラズマ
環境中に保持し、該プラズマ!l−!.2つの電極間の
混合気体に電界を印加することによつて生じ、かつ電力
および全圧力によつて規定され、エツチングはミにエツ
チングすべき前記材料との化学反応に起因し、前記物品
の表面下の所望の厚さを除去するほど十分に選択的であ
り、処理層の開口のない部分の下にある表面が実質的に
影響を受けるのを妨げるほど十分な厚さの処理層を保持
する方法において、前記混合気体ヒ王エツチャント種と
称する第1の有効種および有効再結合子種と称する第2
の種を生じ、該再結合子種は開口における処理材料の付
近で前記主有効エッチヤント種と結合レ これによつて
エツチングされる表面の垂直エツチング速度に対する横
方向エツチング速度を減らし、前記圧力ぱ該物品表面に
対するほとんどの放射損傷を防ぐほど十分であることを
特徴とする。
(2)前記第(1)項記載の方法において、前記圧力は
少な〈とも約0.1T0rrであり、前記物品表面に垂
直な方向におけるエツチング速度は少なくとも300λ
/である。
(3)前記第(2)項記載の方法において、前記エツチ
ング速度は少な〈とも500ス/分である、(4)前記
第(1)項記載の方法において、前記主有効エツチヤン
ト種}よび前記再結合子種は化学的に異なつた区別し得
る種である。
(5)前記第(4)項記載の方法において、前記2つの
区別し得る種は混合気体から誘導される。
(6)前記第(6)項記載の方法において、前記混合気
体は前記有効種に対応する2つの気体反応物を含む。
(7)前記第(1)(2X3×05)または(6頭に記
載の方法に}いて、前記電力は約3ワツト/dを超えな
い。
(8)前記第(7)項記載の方法において、前記電力は
最大1ワツト/dである。(9)前記第8項記載の方法
において、前記物品表面は支持された層の表面であり、
エツチングは該層を突き貫けるほど十分な時間続ける。
QO前記第(9)項記載の方法に}いて、前記処理層は
有機重合体を含む。
α!)前記第0a項記載の方法において、前記有機重合
体は開口、パターンを描いた光化学感放射レジストであ
る。
(1Z前記第00項記載の方法において、前記物品表面
は元素状シリコンを含む。
(自)前記第U′!項記載の方法に訃いて、前記物品表
面は多結晶性である。
(14)前記第Q2)項記載の方法において、前記有効
再結合子種はハロカーポンを含Ba5)前記第(14)
項記載の方法にシいて、前記ハロカーボンはフルオロカ
ーボンである。
伯 前記第1項記載の方法において、前記主有効エツチ
ヤント種はハロゲンから誘導される。
(17)前記第(代)項記載の方法において、前記ハロ
ゲンは塩素である。止 前記E7)項記載の方法に卦い
て、前記反応物はフルオロカーボンのハロゲン化物を含
む。
A9前記第正項記載の方法において、前記ハロゲン化物
はCF3ctである。ω 前記網m項記載の方法におい
て、前記反応物はハロゲン含有反応物および化学的に別
異のフルオロカーボンを含b(21)前記第ω項記載の
方法に督いて、前記ハロゲン含有反応物は2原子状塩素
である。
○前記第ω項記載の方法において、前記7ルオロカーボ
ンはC,F,である。
の前言d犯n項記載の方法において、原子状塩素として
記載した塩素の体積パーセントはCtおよびCの全原子
体積に基づく5ないし14であるO(24)前記第1項
記載の方法に卦いて、前記物品表面はアルミニウムの多
い材料の層であり、前記反応物は・・ロゲン含有反応物
}よび化学的に別異のハロゲン化ホウ素を含む。
6前記第α項記載の方法にふ・いて、前記・・ロゲン含
有反応物は2原子状塩素であり、ハロゲン化ホウ素はB
Ct,である。
(25i前記第(1)項記載の方法に訃いて、前記反応
物は別異の化学種を含み、少なくともその1つは主有効
エツチヤント種としてのハロゲン化物を生―少なくとも
他の1つは有効再結合子種を生じ、その比はエツチプロ
フアイルの等方性からのずれが少なくとも前記物品表面
に平行なエツチング速度が該物品表面に垂直な速度とは
10%だけ異なる程度になるようにする。
6前記第C2顛記載の方法において、前記比は工ツチン
グが実質的に理想的な異方性になるようにする。
?前記第除項記載の方法において、前記プラズマ内の全
圧力は少なくとも約0.1T0rrである。
凶 前記第?項記載の方法において、前記最大電力は約
3ワツト/dである。C3I前記第5項記載の方法に卦
いて、前記物品表面に垂直なエツチング速度は少くとも
約500λ/分である。

Claims (1)

  1. 【特許請求の範囲】 1 すくなくとも1回の操作を含み、該操作中に製造さ
    れる物品が選択領域内にエッチングすべき材料の表面を
    含むとともに該領域に対応する開口を有する被覆処理層
    を含むデバイスの製造方法であつて、該物品を装置内に
    含まれたプラズマ環境中に保持し、該プラズマは2つの
    電極間の混合気体に電界を印加することによつて生じ、
    かつ電力および全圧力によつて規定され、エッチングは
    、主にエッチングすべき前記材料との化学反応に起因す
    るとともにエッチングすべき前記材料の表面下を所望の
    厚さに除去する程度に十分選択的であり、他方、処理層
    の開口のない部分の下にある表面が実質的にアタックさ
    れるのを防止するよう該処理層を十分な厚さに保持する
    物品の製造方法において、前記混合気体に該混合気体か
    ら誘導される化学的に別異の区別し得る種を生ずるよう
    にあらかじめ選択し、該混合気体は主エッチャント種と
    称する第1の有効種および有効再結合子種と称する第2
    の種に対応する2つの気体反応物を含み、該再結合子種
    は処理を行う材料の開口部付近で前記主有効エッチャン
    ト種と結合してエッチングされる表面の垂直エッチング
    速度に対する横方向エッチング速度を減らし、前記圧力
    はエッチングすべき前記材料の表面に対する顕著な放射
    損傷を防ぐに十分であり、かつ、前記2つの気体反応物
    の混合割合は、前記除去される厚さの実質的な部分に対
    してほぼ理想的な異方性エッチングを生ずるように設定
    され、それにより、実質的に直状の垂直壁を形成できる
    よう該エッチング方向を制御することを特徴とする、プ
    ラズマエッチングによるデバイスの製造方法。 2 特許請求の範囲第1項記載の方法において、前記圧
    力はすくなくとも約0.1Torrであり、エッチング
    すべき前記材料の表面に垂直な方向におけるエッチング
    速度はすくなくとも300Å/分、好ましくは最低50
    0Å/分であることを特徴とする方法。 3 特許請求の範囲第1項または第2項に記載の方法に
    おいて、前記電力は約3ワット/cm^3を超えず、好
    ましくは最大1ワット/cm^3であることを特徴とす
    る方法。 4 特許請求の範囲第1項ないし第3項のいずれかに記
    載の方法において、エッチングすべき前記材料の表面は
    支持された層の表面であり、エッチングは該層を突き貫
    けるほど十分な時間続けることを特徴とする方法。 5 特許請求の範囲第1項ないし第4項のいずれかの記
    載の方法において、前記処理層は有機重合体を含むこと
    を特徴とする方法。 6 特許請求の範囲第5項に記載の方法において、前記
    有機重合体は開口、パターンを描いた化学線感放射レジ
    ストであることを特徴とする方法。 7 特許請求の範囲第1項ないし第6項のいずれかに記
    載の方法において、エッチングすべき前記材料の表面は
    元素状シリコンを含むことを特徴とする方法。 8 特許請求の範囲第7項に記載の方法において、エッ
    チングすべき前記材料の表面は多結晶性であることを特
    徴とする方法。 9 特許請求の範囲第1項ないし第8項のいずれかに記
    載の方法において、前記有効再結合子種はハロカーボン
    を含むことを特徴とする方法。 10 特許請求の範囲第9項に記載の方法において、前
    記ハロカーボンはフルオロカーボンであることを特徴と
    する方法。 11 特許請求の範囲第1項ないし第10項のいずれか
    に記載の方法において、前記主有効エッチャント種はハ
    ロゲンから誘導されることを特徴とする方法。 12 特許請求の範囲第11項に記載の方法において、
    前記ハロゲンは塩素であることを特徴とする方法。 13 特許請求の範囲第1項ないし第12項のいずれか
    に記載の方法において、前記混合気体はフルオロカーボ
    ンのハロゲン化物を含むことを特徴とする方法。 14 特許請求の範囲第13項に記載の方法において、
    前記ハロゲン化物はCF_3Clであることを特徴とす
    る方法。 15 特許請求の範囲第1項ないし第12項のいずれか
    に記載の方法において、前記混合気体はハロゲン含有反
    応物および化学的に別異のフルオロカーボンを含むこと
    を特徴とする方法。 16 特許請求の範囲第15項に記載の方法において、
    前記ハロゲン含有反応物は2原子状塩素であることを特
    徴とする方法。 17 特許請求の範囲第15項に記載の方法において、
    前記フルオロカーボンはC_2F_6であることを特徴
    とする方法。 18 特許請求の範囲第12項ないし第17項のいずれ
    かに記載の方法において、原子状塩素として記載した塩
    素の体積パーセントはClおよびCの全原子体積に基づ
    く5ないし14であることを特徴とする方法。 19 特許請求の範囲第1項ないし第6項のいずれかに
    記載の方法において、エッチングすべき前記材料の表面
    はアルミニウムの多い材料の層であり、前記混合気体は
    ハロゲン含有反応物および化学的に別異のハロゲン化ホ
    ウ素を含むことを特徴とする方法。 20 特許請求の範囲第19項に記載の方法において、
    前記ハロゲン含有反応物は2原子状塩素であり、ハロゲ
    ン化ホウ素はBCl_3であることを特徴とする方法。 21 特許請求の範囲第1項ないし第6項のいずれかに
    記載の方法において、前記混合気体は別異の化学種を含
    み、すくなくともその1つは主有効エッチャント種とし
    てのハロゲン化物を生じ、すくなくとも他の1つは有効
    再結合子種を生じ、その比はエッチプロファイルにおい
    て等方性からのずれがすくなくともエッチングすべき前
    記材料の表面に対して平行なエッチング速度がエッチン
    グすべき該材料の表面に対して垂直な速度から10パー
    セントだけ異なる程度になるような値をとることを特徴
    とする方法。 22 特許請求の範囲第21項に記載の方法におい前記
    比はエツチング速度が実質的に理想的な異方性になるよ
    うな値をとることを特徴とする方法。
JP54096877A 1978-07-31 1979-07-31 プラズマエッチングによるデバイスの製造方法 Expired JPS5922374B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US05/929,549 US4208241A (en) 1978-07-31 1978-07-31 Device fabrication by plasma etching
US000000929549 1978-07-31
KR1019790002599A KR830000595B1 (ko) 1978-07-31 1979-07-31 반도체 장치의 제조법

Publications (2)

Publication Number Publication Date
JPS5521198A JPS5521198A (en) 1980-02-15
JPS5922374B2 true JPS5922374B2 (ja) 1984-05-26

Family

ID=26626587

Family Applications (1)

Application Number Title Priority Date Filing Date
JP54096877A Expired JPS5922374B2 (ja) 1978-07-31 1979-07-31 プラズマエッチングによるデバイスの製造方法

Country Status (15)

Country Link
US (1) US4208241A (ja)
JP (1) JPS5922374B2 (ja)
KR (1) KR830000595B1 (ja)
AU (1) AU526064B2 (ja)
BE (1) BE877892A (ja)
CA (1) CA1121305A (ja)
CH (1) CH644405A5 (ja)
DE (1) DE2930290A1 (ja)
ES (1) ES482958A1 (ja)
FR (1) FR2466857B1 (ja)
GB (1) GB2026394B (ja)
IE (1) IE48674B1 (ja)
IT (1) IT1205225B (ja)
NL (1) NL189325C (ja)
SE (1) SE441878B (ja)

Families Citing this family (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4253907A (en) * 1979-03-28 1981-03-03 Western Electric Company, Inc. Anisotropic plasma etching
DE2923710A1 (de) * 1979-06-12 1980-12-18 Licentia Gmbh Verfahren zur metallisierung von kunststoffoberflaechen
EP0023429B1 (en) * 1979-07-31 1985-12-18 Fujitsu Limited Dry etching of metal film
US4255230A (en) * 1980-02-22 1981-03-10 Eaton Corporation Plasma etching process
US4334951A (en) * 1980-03-12 1982-06-15 Bell Telephone Laboratories, Incorporated Fabrication technique for the production of devices which depend on magnetic bubbles
US4310380A (en) * 1980-04-07 1982-01-12 Bell Telephone Laboratories, Incorporated Plasma etching of silicon
US4324611A (en) * 1980-06-26 1982-04-13 Branson International Plasma Corporation Process and gas mixture for etching silicon dioxide and silicon nitride
US4403241A (en) * 1980-08-22 1983-09-06 Bell Telephone Laboratories, Incorporated Method for etching III-V semiconductors and devices made by this method
GB2087315B (en) * 1980-10-14 1984-07-18 Branson Int Plasma Plasma etching of aluminum
DE3103177A1 (de) * 1981-01-30 1982-08-26 Siemens AG, 1000 Berlin und 8000 München Verfahren zum herstellen von polysiliziumstrukturen bis in den 1 (my)m-bereich auf integrierte halbleiterschaltungen enthaltenden substraten durch plasmaaetzen
JPS57157523A (en) * 1981-03-25 1982-09-29 Hitachi Ltd Forming method for pattern
US4551417A (en) * 1982-06-08 1985-11-05 Nec Corporation Method of forming patterns in manufacturing microelectronic devices
DE3275447D1 (en) * 1982-07-03 1987-03-19 Ibm Deutschland Process for the formation of grooves having essentially vertical lateral silicium walls by reactive ion etching
US4450042A (en) * 1982-07-06 1984-05-22 Texas Instruments Incorporated Plasma etch chemistry for anisotropic etching of silicon
US4426246A (en) * 1982-07-26 1984-01-17 Bell Telephone Laboratories, Incorporated Plasma pretreatment with BCl3 to remove passivation formed by fluorine-etch
NL8204437A (nl) * 1982-11-16 1984-06-18 Philips Nv Werkwijze voor het vervaardigen van een halfgeleiderinrichting met behulp van plasma-etsen.
US4414057A (en) * 1982-12-03 1983-11-08 Inmos Corporation Anisotropic silicide etching process
US4444617A (en) * 1983-01-06 1984-04-24 Rockwell International Corporation Reactive ion etching of molybdenum silicide and N+ polysilicon
EP0117258B1 (de) * 1983-02-23 1987-05-20 Ibm Deutschland Gmbh Verfahren zur Herstellung von haftfesten Metallschichten auf Kunststoffsubstraten
US4496419A (en) * 1983-02-28 1985-01-29 Cornell Research Foundation, Inc. Fine line patterning method for submicron devices
JPH0622212B2 (ja) * 1983-05-31 1994-03-23 株式会社東芝 ドライエッチング方法
US4472238A (en) * 1983-12-05 1984-09-18 E. I. Du Pont De Nemours And Company Process using plasma for forming conductive through-holes through a dielectric layer
US4561907A (en) * 1984-07-12 1985-12-31 Bruha Raicu Process for forming low sheet resistance polysilicon having anisotropic etch characteristics
US4778562A (en) * 1984-08-13 1988-10-18 General Motors Corporation Reactive ion etching of tin oxide films using neutral reactant gas containing hydrogen
US4544444A (en) * 1984-08-15 1985-10-01 General Motors Corporation Reactive ion etching of tin oxide films using silicon tetrachloride reactant gas
KR930006526B1 (ko) * 1985-06-28 1993-07-16 아메리칸 텔리폰 앤드 텔레그라프 캄파니 반도체 장치 제조 공정
US4784719A (en) * 1985-06-28 1988-11-15 American Telephone And Telegraph Company, At&T Bell Laboratories Dry etching procedure
US4734157A (en) * 1985-08-27 1988-03-29 International Business Machines Corporation Selective and anisotropic dry etching
US5171525A (en) * 1987-02-25 1992-12-15 Adir Jacob Process and apparatus for dry sterilization of medical devices and materials
US4818488A (en) * 1987-02-25 1989-04-04 Adir Jacob Process and apparatus for dry sterilization of medical devices and materials
US4801427A (en) * 1987-02-25 1989-01-31 Adir Jacob Process and apparatus for dry sterilization of medical devices and materials
US4917586A (en) * 1987-02-25 1990-04-17 Adir Jacob Process for dry sterilization of medical devices and materials
US4943417A (en) * 1987-02-25 1990-07-24 Adir Jacob Apparatus for dry sterilization of medical devices and materials
US5087418A (en) * 1987-02-25 1992-02-11 Adir Jacob Process for dry sterilization of medical devices and materials
US4931261A (en) * 1987-02-25 1990-06-05 Adir Jacob Apparatus for dry sterilization of medical devices and materials
US4976920A (en) * 1987-07-14 1990-12-11 Adir Jacob Process for dry sterilization of medical devices and materials
US5200158A (en) * 1987-02-25 1993-04-06 Adir Jacob Process and apparatus for dry sterilization of medical devices and materials
US5217570A (en) * 1991-01-31 1993-06-08 Sony Corporation Dry etching method
JP3191407B2 (ja) * 1991-08-29 2001-07-23 ソニー株式会社 配線形成方法
JP2884970B2 (ja) * 1992-11-18 1999-04-19 株式会社デンソー 半導体のドライエッチング方法
JP2734915B2 (ja) * 1992-11-18 1998-04-02 株式会社デンソー 半導体のドライエッチング方法
US5662768A (en) * 1995-09-21 1997-09-02 Lsi Logic Corporation High surface area trenches for an integrated ciruit device
US6046116A (en) 1997-11-19 2000-04-04 Tegal Corporation Method for minimizing the critical dimension growth of a feature on a semiconductor wafer
US6051346A (en) * 1998-04-29 2000-04-18 Lucent Technologies Inc. Process for fabricating a lithographic mask
US6232219B1 (en) 1998-05-20 2001-05-15 Micron Technology, Inc. Self-limiting method of reducing contamination in a contact opening, method of making contacts and semiconductor devices therewith, and resulting structures
US6197388B1 (en) 1999-03-31 2001-03-06 Lam Research Corporation Methods of preventing post-etch corrosion of an aluminum neodymium-containing layer
US6399507B1 (en) * 1999-09-22 2002-06-04 Applied Materials, Inc. Stable plasma process for etching of films
US6656847B1 (en) * 1999-11-01 2003-12-02 Taiwan Semiconductor Manufacturing Company Method for etching silicon nitride selective to titanium silicide
JP5054874B2 (ja) * 1999-12-02 2012-10-24 ティーガル コーポレイション リアクタ内でプラチナエッチングを行う方法
JP2002123907A (ja) * 2000-10-13 2002-04-26 Tdk Corp 薄膜磁気ヘッドの製造方法
KR100379976B1 (ko) * 2000-11-27 2003-04-16 삼성전자주식회사 실리콘 산화물 식각용 가스 조성물 및 이를 사용한 실리콘산화물의 식각 방법
US6989108B2 (en) * 2001-08-30 2006-01-24 Micron Technology, Inc. Etchant gas composition
US20040013386A1 (en) * 2002-07-19 2004-01-22 Agere Systems, Inc. Optical device and method of manufacture thereof
AU2003297861A1 (en) * 2002-12-23 2004-07-29 Tokyo Electron Limited Method and apparatus for bilayer photoresist dry development
US20050236366A1 (en) * 2004-04-27 2005-10-27 Taiwan Semiconductor Manufacturing Co. Use of C2F6 gas to gain vertical profile in high dosage implanted poly film
US7645707B2 (en) * 2005-03-30 2010-01-12 Lam Research Corporation Etch profile control
CN101809723B (zh) * 2007-09-27 2012-04-04 朗姆研究公司 蚀刻蚀刻层的方法和装置
WO2009042453A2 (en) * 2007-09-27 2009-04-02 Lam Research Corporation Profile control in dielectric etch

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5322836A (en) * 1976-08-16 1978-03-02 Northern Telecom Ltd Method of etching aluminum and aluminum oxide with gas

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1417085A (en) * 1973-05-17 1975-12-10 Standard Telephones Cables Ltd Plasma etching
US4069096A (en) * 1975-11-03 1978-01-17 Texas Instruments Incorporated Silicon etching process
GB1523267A (en) * 1976-04-15 1978-08-31 Hitachi Ltd Plasma etching apparatus
US4030967A (en) * 1976-08-16 1977-06-21 Northern Telecom Limited Gaseous plasma etching of aluminum and aluminum oxide

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5322836A (en) * 1976-08-16 1978-03-02 Northern Telecom Ltd Method of etching aluminum and aluminum oxide with gas

Also Published As

Publication number Publication date
NL7905866A (nl) 1980-02-04
FR2466857B1 (fr) 1985-08-23
GB2026394A (en) 1980-02-06
SE7906297L (sv) 1980-02-01
IE791450L (en) 1980-01-31
FR2466857A1 (fr) 1981-04-10
GB2026394B (en) 1982-07-21
NL189325C (nl) 1993-03-01
NL189325B (nl) 1992-10-01
KR830000595B1 (ko) 1983-03-15
US4208241A (en) 1980-06-17
AU4923479A (en) 1980-02-07
DE2930290A1 (de) 1980-02-28
ES482958A1 (es) 1980-03-01
BE877892A (fr) 1979-11-16
IT1205225B (it) 1989-03-15
CA1121305A (en) 1982-04-06
SE441878B (sv) 1985-11-11
DE2930290C2 (ja) 1987-07-09
IE48674B1 (en) 1985-04-17
AU526064B2 (en) 1982-12-16
JPS5521198A (en) 1980-02-15
IT7924773A0 (it) 1979-07-30
CH644405A5 (de) 1984-07-31

Similar Documents

Publication Publication Date Title
JPS5922374B2 (ja) プラズマエッチングによるデバイスの製造方法
US4211601A (en) Device fabrication by plasma etching
US4256534A (en) Device fabrication by plasma etching
US7049244B2 (en) Method for enhancing silicon dioxide to silicon nitride selectivity
CN1524287B (zh) 用于蚀刻有机低k材料的特殊化学工艺
TWI375991B (en) Method for multi-layer resist plasma etch
EP0439101B1 (en) Dry etching method
JPH08306672A (ja) 垂直側壁を形成する方法
US5354421A (en) Dry etching method
JPS58204538A (ja) 集積回路を含む基板上に金属ケイ化物・ポリシリコン二重層の構造を作る方法
EP0473344B1 (en) Process for etching a conductive bi-layer structure
JPH0484414A (ja) ドライエッチング方法
US5268070A (en) Dry etching method
US4407850A (en) Profile control photoresist
TW200401946A (en) Process for etching photomasks
JP2003332312A (ja) 半導体装置の製造方法
US4608118A (en) Reactive sputter etching of metal silicide structures
JP3883144B2 (ja) 半導体装置の製造方法
JPS629673B2 (ja)
JP2000216148A (ja) ドライエッチングを含むデバイスの製作プロセス
JP3318777B2 (ja) ドライエッチング方法
JPH08115900A (ja) シリコン系材料層のパターニング方法
JP3303375B2 (ja) ドライエッチング方法
JP3445886B2 (ja) 半導体装置の製造方法及び半導体装置の製造装置
JP2722768B2 (ja) 多層レジスト層のエッチング方法