TW200401946A - Process for etching photomasks - Google Patents

Process for etching photomasks Download PDF

Info

Publication number
TW200401946A
TW200401946A TW092109149A TW92109149A TW200401946A TW 200401946 A TW200401946 A TW 200401946A TW 092109149 A TW092109149 A TW 092109149A TW 92109149 A TW92109149 A TW 92109149A TW 200401946 A TW200401946 A TW 200401946A
Authority
TW
Taiwan
Prior art keywords
sccm
gas
item
processing chamber
scope
Prior art date
Application number
TW092109149A
Other languages
Chinese (zh)
Inventor
Mark Mueller
Serguei Komarov
Ki-Ho Baik
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200401946A publication Critical patent/TW200401946A/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma

Abstract

Method and apparatus for etching a metal layer disposed on a substrate, such as a photolithographic reticle, are provided. In one aspect, a method is provided for processing a substrate including positioning a substrate having a metal photomask layer disposed on a silicon-based material in a processing chamber, introducing a processing gas at a flow rate of greater than about 350 sccm with the processing gas comprising an oxygen containing gas, a halogen containing gas, and optionally, an inert gas, into the processing chamber, generating a plasma of the processing gas in the processing chamber, generating a bias of about 50 watts or less, and etching exposed portions of the metal layer disposed on the substrate.

Description

200401946 玖、發明:說明: 【發明所屬之技術領域】 本發明係關於積體電路之製造’並與積體電路製造 業之微影光罩板的製造有關。另本案主張美國臨時專利申 請案第60/374,239 (於20 02年4月19曰所申請)之所有 優惠,並合併於此作為參考。200401946 发明, Invention: Description: [Technical Field to which the Invention belongs] The present invention relates to the manufacture of integrated circuits' and is related to the manufacture of lithographic photomasks for integrated circuit manufacturing industries. In addition, this case claims all the benefits of U.S. Provisional Patent Application No. 60 / 374,239 (filed on April 19, 2002), which is incorporated herein by reference.

【先前技術】 半導體裝置中的幾何圖形自十幾年前第一次創製至 今’在尺寸縮小上已有令人矚目的成績。其後,積體電路 便大致依循著每兩年尺寸減半~… ......[Prior art] Since the first creation of geometric patterns in semiconductor devices more than a decade ago, there have been remarkable achievements in size reduction. Since then, the integrated circuit has roughly halved in size every two years ~ .........

Moore’s Law),其係指一晶片上電晶體元件的數目每兩 便隨之倍增。現今的製造設備係例行的生產〇.丨5 μπι或 至〇·13μιη特徵尺寸的裝置,而未來的製造設備很快地 會再生產尺寸更微小的幾何圖形。Moore ’s Law), which means that the number of transistor components on a wafer doubles every two. Today's manufacturing equipment routinely produces devices with a characteristic size of 0.15 μm to 0.13 μm, and future manufacturing equipment will soon reproduce even smaller geometries.

增加電路密度在製程上已成為製造半導體裝置的 外扃求。例如當電路密度增加時,通孔、接觸窗、其他 徵之見度以及其間之介電材料等會降低至次微米尺寸, ^電層之厚度會維持—^常數,因此該等特徵之高深 效形:即?除以高之比)會增加。該等高深寬比特徵的 密“及個別基材的”而一 斷“電 的〇口質而言亦十分重要。Increasing circuit density has become an external requirement in the manufacture of semiconductor devices. For example, when the circuit density increases, the visibility of through holes, contact windows, other characteristics, and the dielectric materials therebetween will be reduced to the sub-micron size, and the thickness of the electrical layer will be maintained-a constant, so these features are highly effective. Shape: ie? (Divided by a high ratio) will increase. It is also very important for these high aspect ratio features to be “close to individual substrates” and to be “off”.

按慣例該等;^;、、築玄L 表面 同/木寬比特徵之形成係藉圖案化一美 疋義該等特徵 土 政之尺寸,並接著蝕刻該基材以移除 200401946 料及 寬比 定義 因此 有效 術, 或特 程之 確圖 層, 接線 光於 所欲 線光 該被 基材 材表 該未 石英 或光 透光 般而 定義該等特徵。為形成一欲求之高/寬比之該等高深 特徵,該等特徵之尺寸須於某種程度之參數内(一般 為該等特徵之關鍵尺寸(critical dimensions))形成。 ,若欲使具該些所欲關鍵尺寸之該等高深寬比特徵能 形成,便需精確地圖案化及其後基材之餘刻。 微影係用以於基材表面上形成精確圖案之一種技 且該經圖案化之基材表面會被钱刻以形成所欲之裝置 徵。微影技術係使用光模板以及光阻材料,於钱刻製 前先沉積於一基材表面’以於該基材表面顯影該等精 案。於傳統之微影製程中’係將一光阻施於欲蝕刻之 且該層中欲被蝕刻之該等特徵諸如接觸窗、通孔或連 等,係藉一光罩層置於其上之微影光罩板使該光阻曝 一光模板下的方式來定義之’且該光罩層與該等特徵 之配置相對應。一光源發散紫外光(Uv)或低X射 ,可用於曝光該光阻以改變該光阻之成分。一般而古, 曝光光阻材料係以一化學製程作移除以暴露出該下方 材料。該經曝光之下方基材材料係接著作蝕刻以於美 面形成該等特徵,同時該殘留之光阻材料續存以作= 經曝光之下方基材材料的保護性塗層。 … 微影光罩板一般包括一由光學可穿透之矽基材料( (即二氧化矽,Sit))所製成之基材,並有一 罩 >(典型為鉻)之不透光層置於該基材表面上。^ 層係對應該等欲轉印至該基材之特徵而作圖案化。 言,傳統微影光罩板首先係於一基材上沉積一 7兔According to the convention; ^; ,, the formation of the surface of the Xuanxuan L / wood width ratio features are patterned by the United States Yiyi the size of these features, and then the substrate is etched to remove the 200401946 material and the aspect ratio definition Therefore, the effective technique, or the special layer of the special process, the wiring light to the desired line of light should be the substrate material surface is not quartz or light-transmissive and define these characteristics. In order to form a desired height / width ratio of these height and depth features, the dimensions of the features must be formed within a certain number of parameters (generally the critical dimensions of the features). If high-aspect-ratio features with the desired key dimensions can be formed, it is necessary to accurately pattern and the subsequent substrate. Lithography is a technique used to form precise patterns on the surface of a substrate, and the patterned surface of the substrate is engraved with money to form the desired device feature. Lithography technology uses a light template and a photoresist material, which are deposited on the surface of a substrate 'before being carved to develop the solutions on the surface of the substrate. In the traditional lithography process, a photoresist is applied to the features to be etched and the features to be etched in the layer, such as contact windows, through holes or connections, etc., are placed on top of it by a photomask layer. The lithographic mask plate defines the manner in which the photoresist is exposed to a light template, and the mask layer corresponds to the configuration of the features. A light source emits ultraviolet (Uv) or low X-rays, which can be used to expose the photoresist to change the composition of the photoresist. Generally, the exposed photoresist material is removed by a chemical process to expose the underlying material. The exposed lower substrate material is etched to form the features on the United States, and the remaining photoresist material continues to serve as a protective coating for the exposed lower substrate material. … Lithographic masks generally include a substrate made of an optically transmissive silicon-based material (ie, silicon dioxide, Sit), and an opaque layer of a mask (typically chromium) On the surface of the substrate. ^ The layers are patterned corresponding to the features to be transferred to the substrate. In other words, the traditional lithographic mask is firstly deposited on a substrate.

4 200401946 層,該基材至少 並將一光阻層沉 知雷射或電子束 層之關鍵尺寸。 所保護之該金屬 經圖案化之光罩 落於該基材表面 習知蝕刻| 其會導致該經 (undercut )現: 特徵,而該些特 直特徵,故會使 徵的等向性蝕刻 $}] (overetch) 3 該金屬層内若未 穿透,且於隨後 電漿蝕刻$ 所需而提供濕蝕 非等向性蝕刻的 象,並可提昇該 現較筆直之側壁 蝕刻氣體之電漿 氣體(如氦氣) 包含一光學可穿读^ 芽透之矽基材料(如石英), 積於該薄金屬層卜 _ k λ 層上。該先阻並接著使用習 等圖案化設備以定#哕笙 心我这#欲轉印至該金屬 該金屬層接著作餘岁| 孩 蚀刻以移除未受圖案光阻 材料1¾下方石夕基材料因而曝光並#彡$ _ 層。該等光革層允許光通過-精確圖案而 上。 :程(如濕蝕刻)有等向性蝕刻的傾向, 圖案化光阻下方之金屬層發生底+刀· I。底切現象會在該光罩上產生若干圖案 徵並非均勻地間隔也不具有該些所欲之筆 該等特徵之關鍵尺寸失敗。此外,該等特 可能會使該深寬比處特徵之側壁出現過蝕 見象’而導致該等特徵之關鍵尺寸失敗。 形成該等所欲之關鍵尺寸特徵將不利於光 之微影製程中將無法以光罩形成所欲之圖 I程已知者如乾蝕刻處理或乾蝕刻,可依 刻外之另一選擇及一較濕蝕刻製程為佳之 選擇。乾蝕刻製程已證明可降低底切現 等光罩特徵之關鍵尺寸的維持,俾使其呈 與較優之底部。於習知乾蝕刻處理中,一 諸如氯氣、氧化氣體(如氧氣)以及惰性 等係用以蝕刻基材上形成之該等金屬層。4 200401946 layer, the substrate has at least one photoresist layer and the critical dimensions of the laser or electron beam layer. The patterned photomask of the protected metal falls on the surface of the substrate. Known etching | It will cause the undercut to appear: features, and these special features will make the isotropic etching. }] (overetch) 3 If the metal layer is not penetrated, and it is required for subsequent plasma etching, it will provide the image of wet etching anisotropic etching, and it can enhance the plasma of the now straighter side wall etching gas. The gas (such as helium) includes an optically penetrable silicon-based material (such as quartz), which is deposited on the thin metal layer and the k λ layer. The first blocking and then using Xi and other patterning equipment to determine The material is thus exposed and # 彡 $ _ layers. These light leather layers allow light to pass through-with a precise pattern. : Process (such as wet etching) tends to be isotropic etching, and the metal layer under the patterned photoresist has a bottom + knife · I. The undercut phenomenon produces a number of patterns on the reticle that are not evenly spaced and do not have the desired strokes. The critical dimensions of these features fail. In addition, these features may cause over-etching of the sidewalls of the feature at that aspect ratio and cause critical dimensions of these features to fail. Forming these desired key size features will be detrimental to the photolithography process. It will not be possible to form the desired pattern with a photomask. Known processes such as dry etching or dry etching can be performed in accordance with another option and A wet etching process is a better choice. The dry etch process has been proven to reduce the maintenance of critical dimensions of mask features such as undercuts, and to bring them to a better bottom. In the conventional dry etching process, a metal gas such as chlorine gas, oxidizing gas (such as oxygen), and inert is used to etch the metal layers formed on the substrate.

5 200401946 該蝕刻氣體係以低於300seem之流率引入該處理系統中 以蝕刻習知光罩之光罩板。 氧化氣體(如氧氣)會促使過蝕刻或使形成於光阻 材料上之開口側壁出現不精確的蝕刻,而該開口處係用以 定義該金屬層之該等關鍵尺寸。該光阻材料過量的側壁移 除會導致該等圖案化化特徵關鍵尺寸的損失,其與該等特 徵關鍵尺寸的損失相當,而該等特徵係形成於由該圖案化5 200401946 The etching gas system is introduced into the processing system at a flow rate of less than 300 seem to etch the mask plate of the conventional mask. An oxidizing gas (such as oxygen) will cause over-etching or inaccurate etching of the sidewall of the opening formed on the photoresist material, and the opening is used to define the critical dimensions of the metal layer. Excessive sidewall removal of the photoresist material will result in the loss of critical dimensions of the patterned features, which is comparable to the loss of the critical dimensions of the features, and the features are formed by the patterning

化光阻層所定義之該金屬層上。現已觀察到蝕刻氣體流率 的增加會使光阻材料及基材兩者發生過量的蝕刻。 一種解決過量#刻之方法係減低該些餘刻氣體的量 或是降低形成以作乾蝕刻處理之電漿内蝕刻氣體的濃度。 然而,此等組合物已發現並不足以蝕刻該等特徵來提供該 些必需之關鍵尺寸。此處將未能充分對該等關鍵尺寸餘刻 該等特徵稱作該等關鍵尺寸的一種「增加」。該金屬層内 該等關鍵尺寸之增加或損失的程度係稱作「餘刻偏離」或 「關鍵尺寸偏離」。於光罩圖案上該蝕刻偏離可如 般大(多)以於基材表面上形成0.14 μιπ之特徵。On the metal layer defined by the photoresist layer. It has been observed that an increase in the flow rate of the etching gas causes excessive etching of both the photoresist material and the substrate. A method for solving the excessive #etching is to reduce the amount of the remaining gas or reduce the concentration of the etching gas in the plasma formed for dry etching. However, these compositions have been found to be insufficient to etch the features to provide these necessary critical dimensions. The failure to adequately leave these key dimensions here is referred to as an "increase" in these key dimensions. The extent to which these critical dimensions are increased or lost within the metal layer is referred to as "residual deviation" or "critical dimension deviation". The etching deviation on the mask pattern can be as large (many) as possible to form a feature of 0.14 μm on the surface of the substrate.

形成於金屬層上該圖案之關鍵尺寸的招 巧相失或增加並 不利於光通過,並會於以微影光罩板所圖衆 累化之該基材上 產生很多的圖案缺陷並造成其後的钱刻缺。 、曰。孩光罩之關 鍵尺寸的損失或增加會導致蝕刻次微米特徵之高深寬 不充分之微影效果,且若該等關鍵尺寸之損 時 、天双增加情幵, 十分嚴重’會使該微影光罩板或隨後之蝕刻裝置失 ^ 一種保護一特徵之關鍵尺寸的方法係使用該2含純 6 200401946 J 匕 »1>%| ( 側壁/ ,如碳氫化合物)之製程氣體,其可於該等特徵之 • >形成兩分子沉積物並避免過蝕刻的發生。然而,形 刀子化合物可能會在處理室零件上沉積,並於該處理 至成為微粒物的來源。微粒物會沉積在該基材表面,對 餘d製程及其後的處理相當不利。 因此便有一種製程與化學作用的需求,其可於一基 材上(如一光罩板)蝕刻一金屬層,以於該金屬層上產得 具所欲關鍵尺寸之一圖案。 【發明内容】 本發明之態樣大致係提供蝕刻一沉積於一矽基基材 上(如微影光罩板)之金屬層的方法及相關之化學作用。 於一具體態樣中,係提供一方法以處理一微影光罩板,該 方法包括於一處理室中將該光罩板定位於一支撐元件上, 其中該光罩板至少包含一形成於一矽基基材上之金屬光罩 層,及一沉積於該金屬光罩層上經圖案化之光阻材料; 一約高於30〇sccm之流率引入一製程氣體,其中製程氣 體至少包含一含氧氣體及一含齒素氣體;將電源傳輸至診 處理室以產生該製程氣體之電漿,·提供一約高於5瓦之偏 壓電源至該支撐元件;以及移除該金屬光罩層暴露出的部 分。 於另一具體態樣中,係提供一方法以處理一微影光 罩板,該方法包括於一處理室中將該光罩板定位於一 一 、又镎 元件上,其中該光罩板至少包含一形成於一光學可穿逯之 7 200401946The mismatch or increase of the key dimensions of the pattern formed on the metal layer is not conducive to the passage of light, and it will cause a lot of pattern defects on the substrate that is accumulated by the lithographic mask plate and cause a lot of pattern defects. After the money was scarce. , Said. The loss or increase of the key size of the child mask will result in insufficient lithography effects of etching the sub-micron features, and if the loss of these key dimensions, Tianshuang increases the situation, it will be very serious. Photomask or subsequent etching device ^ A method to protect the critical dimensions of a feature is to use the process gas containing pure 6 200401946 J d »1 >% | (side wall /, such as hydrocarbons), which can be used in Of these features > Form two-molecule deposits and avoid over-etching. However, knife-shaped compounds may deposit on processing chamber parts and be processed until they become a source of particulate matter. Particulate matter can be deposited on the surface of the substrate, which is quite detrimental to the remaining processes and subsequent processing. Therefore, there is a need for a process and a chemical action, which can etch a metal layer on a substrate (such as a photomask) to produce a pattern with a desired key size on the metal layer. [Summary of the Invention] The aspect of the present invention generally provides a method for etching a metal layer deposited on a silicon-based substrate (such as a lithographic photomask) and related chemical effects. In a specific aspect, a method is provided for processing a lithographic reticle, the method comprising positioning the reticle on a support element in a processing chamber, wherein the reticle includes at least one formed on A metal photomask layer on a silicon-based substrate, and a patterned photoresist material deposited on the metal photomask layer; a flow rate of about 30 sccm is introduced into a process gas, wherein the process gas includes at least An oxygen-containing gas and a tooth-containing gas; transmitting power to a diagnosis and treatment room to generate a plasma of the process gas; providing a bias power of about 5 watts to the supporting element; and removing the metallic light The exposed part of the cover. In another specific aspect, a method is provided for processing a lithographic mask plate, the method comprising positioning the mask plate on a first and second element in a processing chamber, wherein the mask plate is at least Contains one formed in an optically pierceable 7 200401946

JkJl· 】衫| * 上之絡基光罩層及一沉積於該鉻基光阻層上經圖 案化之光阻材料,並以一至少3 5 Osccm之流率引入一至 ^含有氣氣及氧氣之製程氣體,其中該氯氣及氧氣之間的 莫爾比係約介於1 : 1 ·5及4 : 1之間,且一處理室壓力係 維持在約2毫托耳及5〇毫托耳之間;將約1〇〇〇瓦或更少 之電源(功率)傳輸至設於該處理室内之一線圈以產生一電 衆;供應約大於5瓦之偏壓電源至該支撐元件;蝕刻該鉻 基光罩層暴露出的部分;以及以鉻基光罩層對光阻材料之 比約為1· 1或更高之一移除率比例來移除該鉻基光罩層。 於另一具體之態樣中,係提供一方法用以處理一光 罩板,該方法包括於一處理室中定位一位於一支撐元件上 之光罩板’其中該光罩板至少包含一形成於一光學可穿透 之石夕基材料上之鉻基光罩層以及一沉積於該鉻基光罩層上 之光阻材料;引入一至少包含一惰氣、一含鹵素氣體以及 一含氧氣體之第一製程氣體,其中該含齒素氣體以及該含 氧氣體之流率約為lOOsccm或更低;將約為1〇〇〇瓦或更 低之電源(功率)傳輸至一設於該處理室中之一線圈以產生 一電漿;將一至少含有一含鹵素氣體以及一含氧氣體之第 二製程氣體引入,其中該含齒素氣體以及該含氧氣體之流 率至少為3 50sccm ;將約為1〇〇〇瓦或更低之電源(功率) 傳輸至一設於該處理室中之一線圈以維持一電聚;將一約 高於5瓦之偏壓電源供應至該支撐元件;以及蝕刻該鉻基 光罩層暴露出的部分。 8 200401946 【實施方式】 本發明I 將描述於下文 括分立電漿源 其係由加州聖 ETEC ( ETEC 渥市之ETEC 其他種卖 處理室、磁增 電漿蝕刻處理 年6月3曰所 其與本案所敎 考。雖然該等| 處理室關連的 實施態樣的限 第1圖, 其可用以執行 括一圓柱型側 能量可穿透( 室底部17。一 以取代該圓罩 繞設於該圓罩 處理室10之居 且該圓罩13 過於一感應搞合電漿餘刻處理室之各種態樣 中°該些合適之感應耦合電漿蝕刻處理室包(Decupled Plasma Source,DPSTM)處理室, 塔克拉拉美商應用材料有限公司所上市;或 Teuaw )光罩蝕刻處理室,其係由加州海 公司所上市之。 爾之處理室亦可使用,例如電容耦合平行板 強離子蝕刻處理室以及不同設計之感應耦合 室。該等合適之處理室範例已揭露於Μ” 申請之美國專利申請案號第〇9/325,〇26中, 一 w ,7Γ π 此以 + 1程以DPSTM處理室操作較佳,然而與Df 敘述係為作說明,不應視為或解讀為本 制範圍。 ^ 於此所述之該些製程。該處理室1〇 壁或處理室本體12、一固設於該本體 energy transparent)之圓罩 13 从及一 平蓋(未示出)或能作一感應線圈來傾 13之其他可選擇的遮蓋。一感應線圈 1 3之至少一部份。該處理室本體1 2 γ I理室底部17可以一金屬(如電鍍銘)韋 可以一能量可穿透材料製成,如一JkJl ·】 shirt | * The above-mentioned photomask layer and a patterned photoresist material deposited on the chrome-based photoresist layer and introduced at a flow rate of at least 3 5 Osccm to contain ^ containing gas and oxygen The process gas, in which the Mohr ratio between the chlorine and oxygen is between about 1: 1 and 5 and 4: 1, and the pressure of a processing chamber is maintained at about 2 mTorr and 50 mTorr Between; transmitting about 1000 watts or less of power (power) to a coil provided in the processing chamber to generate an electric mass; supplying a bias power of about 5 watts to the supporting element; etching the The exposed portion of the chrome-based photomask layer; and removing the chrome-based photomask layer with a removal ratio of a chrome-based photomask layer to the photoresist material of about 1.1 or higher. In another specific aspect, a method is provided for processing a reticle, the method comprising positioning a reticle on a support element in a processing chamber, wherein the reticle includes at least one formation A chromium-based photomask layer on an optically transmissive stone-based material and a photoresist material deposited on the chromium-based photomask layer; introducing at least one inert gas, a halogen-containing gas, and an oxygen-containing material The first process gas of the gas, wherein the flow rate of the tooth-containing gas and the oxygen-containing gas is about 100 sccm or less; and a power source (power) of about 1,000 watts or less is transmitted to a power supply provided in the A coil in the processing chamber generates a plasma; a second process gas containing at least a halogen-containing gas and an oxygen-containing gas is introduced, wherein the flow rate of the tooth-containing gas and the oxygen-containing gas is at least 3 50 sccm Transmit a power supply (power) of about 10,000 watts or less to a coil provided in the processing chamber to maintain an electric convergence; supply a bias power supply of about 5 watts to the support Element; and exposed by etching the chrome-based mask layer Minute. 8 200401946 [Embodiment] The present invention I will be described in the following including a discrete plasma source, which is processed by San ETEC, California (ETEC, ETEC, other types of processing chambers, magnetic plasma etching processes, etc.) Considered in this case. Although the implementation of these | processing chambers is limited to Figure 1, it can be used to implement a cylindrical side energy penetrable (the bottom of the chamber 17. One instead of the round cover around the The round hood processing chamber 10 is located in a state where the round hood 13 is too inductive to fit the plasma plasma processing chamber. The appropriate inductively coupled plasma etching processing chamber package (Decupled Plasma Source (DPSTM) processing chamber). , Listed by TAKLALA American Applied Materials Co., Ltd .; or Teuaw) photomask etching processing chamber, which is listed by California Sea Company. The processing chamber can also be used, such as capacitively coupled parallel plate strong ion etching processing chamber and Differently designed inductive coupling chambers. Examples of such suitable processing chambers have been disclosed in US Patent Application No. 09/325, 〇26 applied by M ”, a w, 7Γ π and a DPSTM processing chamber in + 1 pass. Better operation, However, the description with Df is for illustration and should not be regarded or interpreted as the scope of the system. ^ The processes described here. The wall of the processing chamber 10 or the main body of the processing chamber 12, an energy transparent fixed to the body ) Of the round cover 13 and a flat cover (not shown) or other optional cover that can be used as an induction coil to tilt 13. At least a part of the induction coil 1 3. The processing chamber body 1 2 γI The bottom of the chamber 17 can be made of a metal (such as an electroplated inscription), and can be made of an energy-permeable material, such as

9 200401946 他介電材料。 一基材支撐元件16係設置於該處理室中以於製 程期間支撐一基材20。該支樓元件16為一傳統機械或靜 電吸附座且其至少一部份係可導電,並可作為一製程偏麼 陰極(bias cathode)。同時一光罩調整器(未圖示)可將 該光罩固定於該支稽το件16上。該光罩調整器一般包括 -較低部,用以覆蓋該支撐元件之較高部,且一須部具有 一尺寸相適之開Π以支撐-光罩。_合適之光罩調整器已 揭露於美國專利案號第6,251,17 (於2〇〇1年6月21日頒 發)’其係與本案之請求項及實施態樣相符並合併於此以 作參考。 該等製程氣體係經由一氣體分配器22 (約設於該支 撐元件16外圍)而由一製程氣體源弓丨入該處理室1〇。對 製程氣體之該等混合物而言’各製程氣體之質量流量控制 器(未圖示)係設於該處理室10及該製程氣體源之間, 以調節該等製程氣體之各流率。該等質量流量控制器可將 各製程氣趙或製程氣體混合物流率調高至約l〇〇〇scem。 電衆區域14係由該處理室1〇、該基材支樓元件16 及該圓罩13等所界定。將一線圈電源供應器27產生之電 源七·供至該感應線圈2 6而於該電聚區域1 4產生《 ^電磁 場,以使該等製程氣體於該電漿區域14中形成一電漿。 該支撐元件16包括一設於其中之電極,其係藉一電極電 源供應器28所驅動,並於該處理室1〇中產生一電容電場。 典型而δ RF電源係施於該支樓元件16之該電極上,同 10 200401946 時該本體12係為電力接地式(electrically grounded)。 該電容電場對該支撐元件16之平面而言係呈橫向,並會 影響電荷之定向性以更使該基材20作垂直向之非等向性 钱刻。9 200401946 Other dielectric materials. A substrate supporting element 16 is disposed in the processing chamber to support a substrate 20 during a process. The supporting element 16 is a conventional mechanical or electrostatic adsorption base, and at least a part of the supporting element 16 is conductive and can be used as a process cathode. At the same time, a photomask adjuster (not shown) can fix the photomask on the supporting member 16. The mask adjuster generally includes a lower portion to cover a higher portion of the supporting element, and a whisker portion having an opening of a suitable size to support the mask. _A suitable mask adjuster has been disclosed in U.S. Patent No. 6,251,17 (issued on June 21, 2001) 'which is consistent with the claims and implementation aspects of this case and incorporated herein as reference. The process gas systems are fed into the processing chamber 10 by a process gas source via a gas distributor 22 (about the periphery of the support element 16). For these mixtures of process gases, a mass flow controller (not shown) of each process gas is provided between the processing chamber 10 and the process gas source to adjust each flow rate of the process gases. These mass flow controllers can increase the flow rate of each process gas or process gas mixture to about 1000 scem. The electric area 14 is defined by the processing chamber 10, the substrate supporting element 16 and the dome 13 and the like. The power source VII produced by a coil power supply 27 is supplied to the induction coil 26 and an electromagnetic field is generated in the electropolymerization region 14 so that the process gases form a plasma in the plasma region 14. The supporting element 16 includes an electrode provided therein, which is driven by an electrode power supply 28 and generates a capacitive electric field in the processing chamber 10. Typically, the δ RF power is applied to the electrode of the component 16 of the building. At the same time as 200401946, the body 12 is electrically grounded. The capacitive electric field is transverse to the plane of the support element 16 and will affect the directivity of the charge to make the substrate 20 more vertically anisotropic.

該些製程氣體以及蝕刻劑之副產物係由該處理室1 0 中經由一排氣系統3 0而排出。該排氣系統3 0可設於該處 理室1〇之底部17,或可設於該處理室10之本體12以移 除該些製程氣體。該排氣埠3 4設有一節流闊3 2用以控制 該處理室1 〇之壓力。一光學終點量測裝置可連結至該處 理室1 0,以判定處理室所操作之製程終點。 儘管下述之製程說明係描述一使用製程氣體蝕刻一 基材之實施例,然而本發明係考量此處所述範圍外該些使 用之製程參數’以使其可於不同設備中(如一不同之餘刻 處理室)操作此製程,並處理不同尺寸之基材(如300mm 基材製程之微影光罩板)。 示例性之蝕刻製裎The process gases and by-products of the etchant are discharged from the processing chamber 10 through an exhaust system 30. The exhaust system 30 may be provided at the bottom 17 of the processing chamber 10, or may be provided at the main body 12 of the processing chamber 10 to remove the process gases. The exhaust port 34 is provided with a throttle 32 for controlling the pressure of the processing chamber 10. An optical endpoint measurement device can be connected to the processing room 10 to determine the end of the process operated by the processing room. Although the following process description describes an embodiment in which a substrate is etched using a process gas, the present invention considers process parameters that are used outside of the range described herein so that it can be used in different equipment (such as a different The remaining processing room) operates this process and processes substrates of different sizes (such as lithographic photomasks for 300mm substrate processes). Exemplary Etching

一般微影光罩板包括於一光學可穿透基材上沉積之 一金屬層(如鉻或氮氧化鉻)其已知可作為光罩。該金屬 層係經蝕刻以形成具該些所欲關鍵尺寸特徵之一光罩層。 一製程氣體包括一含氧氣體以及一含齒素氣體,用以蝕刻 該金屬層,而該製程氣體可包括一惰氣,且該製程氣體之 流率係約大於300sccm。藉由產生一製程氣體之電漿以蝕 刻曝光之金屬材料’且一提供至該光罩之偏壓係高於5 瓦。於此處所述組成與流率之製程氣體(用於蝕刻製程) 11 200401946 引入前,電漿撞擊可用以形成該電漿。此處所述之該蝕刻 製程所蝕刻之曝光金屬層出乎意料的呈現了最小之蝕刻偏 差、垂直之蝕刻輪廓並形成開口,且該等圖案皆具有所欲 之關鍵尺寸。A typical lithographic mask plate includes a metal layer (such as chromium or chromium oxynitride) deposited on an optically transmissive substrate, which is known as a mask. The metal layer is etched to form a photomask layer having the desired key size features. A process gas includes an oxygen-containing gas and a tooth-containing gas for etching the metal layer, and the process gas may include an inert gas, and the flow rate of the process gas is greater than about 300 sccm. The exposed metal material is etched by generating a plasma of a process gas, and a bias voltage provided to the photomask is higher than 5 watts. Prior to the introduction of a process gas of the composition and flow rate described herein (for the etching process), 200401946, plasma impingement may be used to form the plasma. The exposed metal layer etched by the etching process described here unexpectedly presents minimal etching deviation, vertical etch contours and forms openings, and the patterns have the desired critical dimensions.

該製程氣體可包括一含氧氣體以及一含齒素氣體。 該含氧氣體包括氧氣(〇2 )、一氧化碳(CO )、二氧化碳 (co2)以及其混合物,而其中以氧氣為佳。該含氧氣體 提供一蝕刻自由基來源。該些含碳、含氧氣體可提供一用 以鈍化聚合物沉積(其可改善蝕刻偏差)之材料源。 該含齒素氣體可包括該些由氣(Cl2 )、四氯化碳 (CC14 )、氫氯酸(HC1 )及其組合之組成所選出之含氯 氣體,而其中又以氯氣為佳,其係用以提供高反應性自由 基以蝕刻該金屬層。該含氯氣體提供一蝕刻自由基源,且 該些含碳及含氯氣體係提供一用以形成鈍化聚合物沉積 (其可改善蝕刻偏差)之材料源。The process gas may include an oxygen-containing gas and a tooth-containing gas. The oxygen-containing gas includes oxygen (02), carbon monoxide (CO), carbon dioxide (co2), and mixtures thereof, and among them, oxygen is preferred. The oxygen-containing gas provides a source of etching radicals. These carbon- and oxygen-containing gases provide a source of material to passivate polymer deposition, which can improve etch bias. The tooth-containing gas may include the chlorine-containing gas selected from the group consisting of gas (Cl2), carbon tetrachloride (CC14), hydrochloric acid (HC1), and combinations thereof. Among them, chlorine gas is preferred. It is used to provide highly reactive radicals to etch the metal layer. The chlorine-containing gas provides a source of etching free radicals, and the carbon-containing and chlorine-containing systems provide a source of material for forming a passivated polymer deposit that can improve etch bias.

該含齒素氣體及該含氧氣體係以含齒素氣體與含氧 氣體之莫爾比介約1 :1.5及4 ·· 1間提供之,例如氯/氧之莫 爾比例約為 2.7 :1。而若將該製程氣體換算成總莫爾數百 分比,一般該含鹵素氣體則介約40%及80%之間;且亦已 發現濃度介約 50vol%及 70vol%間之含鹵素氣體可提供 令人滿意之蝕刻效果。 該製程氣體亦可包括惰性氣體,當經離子化成為該 電漿(包括該製程氣體)之一部份時,會形成濺鍍物已增 加該等特徵之蝕刻率。一成為該電漿一部份之惰性氣體亦 12 200401946 可提高該活性製程氣體之解離。惰性氣體之示例包括氬氣 (Ar)、氦氣(He)、氖氣(Ne)、氙氣(xe)、氪氣(Kr) 及其組合,而一般係使用氬氣及氦氣。該惰性氣體中,含 氧氣體對惰性氣體之莫爾比介約〇. 5 ·· 1及1: 1之間,例如 氦對氧莫爾比約為〇 · 7 :1。該惰性氣體一般體積百分比係 介約5vol%及40vol%之間,如用於該製程之總氣體流係 介約15vol%及25vol%之間。一惰性氣體體積百分比介約 75vol%及25vol%間的「觸擊」氣體可於該蝕刻處理氣體 引入前先用以起始該電漿。 該等製程氣體(包括該些惰性氣體)之總流率,係 以約大於300seem之流率引出,例如於一蝕刻處理室中 製程氣體係以介約300sccm及1〇〇〇sccrn之流率引出以蝕 刻一 150mm X 150mm見方之微影光罩板。總流率介約 4OOseem及700seem間之製程氣體可用於此處所述之蝕刻 製程。然而’該製程氣體(包括該惰性氣體)之總流率可 按製程因素作修正,該些製程因素諸如該處理室尺寸、進 行處理之基材尺寸以及操作者所需之特定蝕刻輪廓等。 該含齒素氣體係以一至少2〇〇sccm之流率引入該處 理至以於餘刻處理室中ϋ刻一 150mm X 150mm見方 之微影光罩板。該含鹵素氣體可以介約2〇〇sccrn& 600sccm 間之流率用於此處所述之餘刻製程中。 該各氧氣體係以至少l〇〇sccin之流率引入該處理室 中以#刻一 150mm X 150mm見方之微影光罩板。一般而 言’用於此處所述蝕刻製程之該含氧氣體流率至少為 13 200401946 150sccm (如介約 15〇sccin 及 4〇〇sccni 間)。 一般而言,該製程處理室壓力約維持在2亳托 50宅托耳間,而蝕刻期間處理室壓力則維持在介約耳^ 托耳及35毫托耳間(約以15毫托耳及32毫托耳間為:二The tooth-containing gas and the oxygen-containing system are provided in a molar ratio between the tooth-containing gas and the oxygen-containing gas of about 1: 1.5 and 4 ·· 1, for example, the molar ratio of chlorine / oxygen is about 2.7: 1 . And if the process gas is converted into a percentage of the total number of moles, the halogen-containing gas is generally between about 40% and 80%; and it has also been found that the halogen-containing gas having a concentration between about 50vol% and 70vol% can provide orders. Satisfactory etching effect. The process gas may also include an inert gas. When ionized to form a part of the plasma (including the process gas), sputters are formed and the etching rate of these features has been increased. An inert gas that becomes part of the plasma also improves the dissociation of the reactive process gas. Examples of the inert gas include argon (Ar), helium (He), neon (Ne), xenon (xe), krypton (Kr), and combinations thereof, and generally argon and helium are used. In the inert gas, the molar ratio of the oxygen-containing gas to the inert gas is between about 0.5 ·· 1 and 1: 1. For example, the molar ratio of helium to oxygen is about 0.7 · 1. The general volume percentage of the inert gas is between about 5 vol% and 40 vol%. For example, the total gas flow used in the process is between about 15 vol% and 25 vol%. A "strike" gas between about 75 vol% and 25 vol% of an inert gas volume percentage can be used to start the plasma before the etching gas is introduced. The total flow rate of the process gases (including the inert gases) is extracted at a flow rate of approximately greater than 300 seem, for example, the process gas system in an etching processing chamber is extracted at a flow rate of approximately 300 sccm and 1000 scccrn. Etching a 150mm X 150mm square lithographic mask plate. Process gases with a total flow rate between about 400 seem and 700 seem can be used in the etching process described herein. However, the total flow rate of the process gas (including the inert gas) can be modified according to process factors such as the size of the processing chamber, the size of the substrate to be processed, and the specific etching profile required by the operator. The tooth-containing gas system was introduced into the process at a flow rate of at least 200 sccm so that a 150 mm x 150 mm square lithographic mask was engraved in the remaining processing chamber. The halogen-containing gas can be used in the remaining processes described herein at a flow rate between about 200 scccrn & 600 sccm. Each of the oxygen systems was introduced into the processing chamber at a flow rate of at least 100 sccin and etched with a 150 mm x 150 mm square lithographic mask. Generally speaking, the oxygen-containing gas flow rate used in the etching process described herein is at least 13 200401946 150 sccm (eg, between about 15 scccin and 400 scccni). Generally speaking, the pressure in the process chamber of this process is maintained at about 2 Torr to 50 Torr, and the pressure of the processing chamber during etching is maintained at between about ^ Torr and 35 mTorr (about 15 mTorr and 32 millitorr: 2

於製程期間該基材溫度係約為15〇它或更低。 溫度於15〇t以下或更低者對材料(如電阻材料)之2 解最小,且該材料於微影光罩板製造之製程期間係H ^ 所述之該等製程氣體沈積於基材上。約介於2〇t及l5〇(>c (、·勺以2 〇 c及5 0 °C間為佳)間之基材溫度可隨(對廡) 材料之最小熱分解來蝕刻該些置於基材表面之光罩特徵。 一般也認為該基材溫度在蝕刻製程期間,可藉由限制聚合 反應以幫助控制鈍化聚合沈積物的生成。此外,該處理室 之側壁係約保持在低於7(TC以下之溫度,且該圓罩係約 保持在低於8 0 °C以下之溫度以使製程條件維持一致,並 使該處理室表面上所出現之聚合組成物降到最低。The substrate temperature during the manufacturing process is about 150 ° C or lower. Temperatures below 15 ° t or lower have the lowest solution to materials (such as resistive materials), and the materials are deposited on the substrate during the manufacturing process of lithographic photomasks as described in H ^ . The substrate temperature between about 20t and l50 (> c (preferably between 20c and 50 ° C) can be etched with the minimum thermal decomposition of the material The characteristics of the mask placed on the substrate surface. It is generally believed that the substrate temperature during the etching process can limit the polymerization reaction to help control the formation of passivated polymer deposits. In addition, the sidewall of the processing chamber is kept approximately low At a temperature below 7 ° C, and the dome is kept at a temperature below 80 ° C to keep the process conditions consistent and minimize the polymer composition appearing on the surface of the processing chamber.

一般蝕刻製程期間,係將約1 〇〇〇瓦或更低之一 RFDuring normal etching process, it will be one of about 1,000 watts or less RF

功率級施於一感應線圈以產生並持續一該等製程氣體之電 漿。亦已發現介約300瓦及1〇〇〇瓦間(如約65〇瓦)之 功率級可使該等製程氣體有足夠之電漿來蝕刻該基材表 面。所列舉之RF功率級已發現可由該等製程氣體中產生 充分之蝕刻自由基及自由基聚合反應以蝕刻沈積於該基材 上所暴露之金屬層’同時提供一夠低(與習知金屬蝕刻製 程相比)之功率級以讓該基材溫度約為1 5 0 °C或更低。 一般而言’係將約低於2 0 0瓦之偏壓電源施於該基 14 200401946 材以直接增加蝕刻自由基在該基材表面的定向性。低於5〇 瓦的偏壓電源(如約20瓦及40瓦之間)亦可用於該蝕刻 製程中。於該蝕刻製程期間已發現介約25瓦及35瓦之間 的偏壓可提供餘刻自由基足夠之定向性。 亦已出乎意料的發現該製程氣體流率約大於 30〇sccm、偏壓電源约高於5瓦時(例如約2〇瓦及4〇瓦 間),蝕刻金屬層會呈垂直之蝕刻輪廓,且得到之開口及 圖案與習知蝕刻製程(流率低於3〇〇sccm或更低且低偏 壓電源約5瓦或更低,所得之關鍵尺寸較佳;另也 _ 驚訝地發現,在缺乏用於習知技術的鈍化氣體時亦可得上 述效果。 此處所描述之該等蝕刻製程,在所揭露之條件下, 可得一金屬層/光阻約為1:1或更高之移除率比例(即選 擇性或姓刻偏差)。已發現以此處所述之蝕刻製程所處理 之基材其鉻對光阻之選擇性約為3 :1或更高。選擇性增加 可保護光阻層上所圖案化之關鍵尺寸,並可讓經蝕刻之該 些鉻特徵得所欲之關鍵尺寸。該蝕刻製程也已觀察到,除 馨 側邊」特徵光阻材料外,所移除「頂部」或下表面光 阻材料係與異向性蝕刻及改善之特徵生成物一致。此外, 經處理之基材所得到該些具有所欲關鍵尺寸之特徵,與習 之技術相較下幾乎成垂直輪廓,亦即該特徵之側壁及該特 徵之底部間角度約90。(習知技術所得約為85。至88 ° )〇 亦已觀察到蝕刻該等光罩之蝕刻金屬層時,與習知 15 200401946 姓刻 可得 處所 徵定 之蝕 當不 將不 之經 1%或 理解 定義 不同 際尺 米及 解為 性。 製程 分, 的側 特徵 刻製: 以此 製程(即低於此處所述之流率及偏壓電源 '、J相較下, 較為改善之微負載效應、微負戴效應以及古 夏線性。土卜 定義之微負載效應較廣,如同所置或與以不 義所曝光之相同材料的蝕刻率之差異(亦g 尺寸特 ,^ p #目同材料 刻率經曝光後相差了 Ιμηι寬及一 ι〇〇 見之特徵)。 同尺寸特徵有相似之蝕刻率時,經改善之微負載效應 言自明。於此所定義之微負載效應較廣,如不同數:、 曝光材料㈣刻㈣(亦即_率於一基材表面曝= 9〇%鉻時的差異)。經改善之微負載效應將被寬廣地 為不同數量之經曝光材料有相似之㈣率^又於此所 之直線性較為寬廣,如同該些實際蚀刻之特徵與該些 尺寸特徵所欲或所圖案化之特徵間的差異(亦即該實 寸〇·24微米及尺寸特徵1料 Τ荷傲1七攻未與該光阻圖案0.24微 該等特徵1微米的莫里、。今 的差/、)改良的直線可被寬廣地理 自該圖案化光阻之特徵呈古 将徵,、有改良的精確性及可重複 一般相信一該等贺敍翁_ μ 策程礼體所形成之電漿係以增加之 氡體流率及增加之值厭發 之偏壓電源移除該金屬層曝光的部 其不會對該等形成於_ # 、 取於这光阻材料上之開口 (或圖案) 壁造成過姓刻,且為劝丨如 且姓刻期間該些形成於該金屬層上之 亦可得所欲之關鍵尺寸。 於一具體態樣中一電槳擎(strike)可於該等用於蝕 之製程軋體引入前先於該處理室中產生電漿(其係 处所述之所需數量及濃度進行之)。咸信於相等功率 16 200401946 級之該等製程條件下,該等氦原子比氯原子或氧原子更易 離子化且所得之電漿較為均勻。氦的離子化使一電襞得以 於較高之處理室壓、較低之電源以及較高之偏壓電源下形 成,且一穩定電漿會以較含齒素氣體及含氧氣體為快之方 式形成之。 一電漿擊製程氣體一般包括一惰性氣體、一如此處The power stage is applied to an induction coil to generate and sustain a plasma of these process gases. It has also been found that power levels between about 300 watts and 1,000 watts (e.g., about 650 watts) can provide sufficient plasma for these process gases to etch the surface of the substrate. The listed RF power levels have been found to produce sufficient etch radicals and radical polymerization reactions in these process gases to etch the exposed metal layer deposited on the substrate 'while providing a sufficiently low (compared with conventional metal etching) (Compared to the manufacturing process) to allow the substrate temperature to be about 150 ° C or lower. Generally speaking, a bias power source of less than 200 watts is applied to the substrate 14 200401946 to directly increase the directivity of etching radicals on the surface of the substrate. Bias power supplies below 50 watts (for example between about 20 watts and 40 watts) can also be used in this etching process. During this etching process, it has been found that a bias voltage between about 25 watts and 35 watts can provide sufficient directivity of the free radicals at the rest. It has also been unexpectedly found that the process gas flow rate is greater than about 30 sccm, and the bias power is greater than about 5 watt-hours (for example, between about 20 watts and 40 watts). The etched metal layer will have a vertical etch profile. And the obtained openings and patterns and the conventional etching process (flow rate below 300 sccm or lower and low bias power supply about 5 watts or lower, the key dimensions obtained are better; also _ surprisingly found that The above-mentioned effects can also be obtained in the absence of a passivation gas used in conventional techniques. The etching processes described here can obtain a metal layer / photoresist with a shift of about 1: 1 or higher under the conditions disclosed. Removal ratio (ie, selectivity or last name deviation). It has been found that substrates treated with the etching process described herein have a chromium to photoresist selectivity of about 3: 1 or higher. Increased selectivity can protect The key dimensions patterned on the photoresist layer, and the etched chromium features can be made to the desired key dimensions. The etching process has also been observed. In addition to the characteristic photoresist material on the side, it is removed. "Top" or lower surface photoresist materials are produced with anisotropic etching and improved features Consistent. In addition, compared with Xi's technology, the characteristics of the key dimensions obtained from the treated substrate are almost vertical, that is, the angle between the sidewall of the feature and the bottom of the feature is about 90. The obtained by the conventional technique is about 85 ° to 88 °). It has also been observed that when etching the etched metal layers of such photomasks, the etch determined on the premises obtained with the nickname of Known 15 200401946 should not be passed by 1% or Understand the definition of different dimensions and resolution. Process points, the side features are carved: This process (ie, lower than the flow rate and bias power supply described here, J, compared to the improved micro load Effect, micro-negative effect, and ancient Xia linearity. The micro-loading effect defined by Tubu is broad, as is the difference in the etching rate of the same material placed or exposed with injustice (also g size feature, ^ p # 目 同 材料) After the exposure, the difference between the characteristics is 1μm wide and 100m). When the etch rate is similar to the feature of the same size, the improved microload effect is self-explanatory. The microload effect defined here is broader, such as Different number :, exposure material ㈣ 刻 ㈣ (that is, the difference between _ rate exposure on a substrate surface = 90% chromium). The improved micro-loading effect will be broadly similar for different amounts of exposed materials. ^ Here again The linearity is relatively broad, as the difference between the actual etched features and the desired or patterned features of the dimensional features (that is, the real inch 0.24 micrometers and dimensional features 1) Attacking the photoresist pattern with 0.24 micrometers of these features 1 micron of Mori, the difference between today and today, the improved straight line can be broadly geographically distinguished from the features of the patterned photoresist, with improved accuracy And repeatability It is generally believed that the plasma formed by the He Xuweng μ μ ceremonial system will remove the exposed part of the metal layer with an increased body flow rate and increased value. The openings (or patterns) formed on the _ # and the photoresist material are caused by the last name engraving, and it is recommended that those formed on the metal layer during the last name engraving can also do whatever they want. Key dimensions. In a specific aspect, an electric propeller (strike) can generate plasma in the processing chamber before the introduction of the rolling bodies for the etching process (which is performed in the required amount and concentration described herein). . It is believed that under the process conditions of the same power 16 200401946 level, the helium atoms are more easily ionized than the chlorine or oxygen atoms and the resulting plasma is more uniform. The ionization of helium allows an electric plutonium to be formed at a higher processing chamber pressure, a lower power source, and a higher bias power source, and a stable plasma will be faster than the tooth-containing gas and oxygen-containing gas. Way to form it. A plasma striking process gas generally includes an inert gas, as here

所述之含乳氣體(非必須)或一如此處所述之含函素氣體 (非必須)。該電漿及製程氣體係約以300sccin及l〇〇〇sccm 間(如約500 seem)之流率引入一處理室。當該含氧氣體 及該含豳素氣體都出現於該電漿擊製程氣體中,該等結合 氣體之流率約為lOOseem或低於總流率。該含氧氣體之 流率可約為lOOsc cm或更低,且該含鹵素氣體之流率可 約為lOOseem或更低。含鹵素氣體/含氧氣體之莫爾比一 般約為1 :1或更高(如氯/氧之莫爾比便約為i. 3 3 :丨)。惰 性氣體/含氧氣體之莫爾比一般約為3d或更高(如氦/氧 之莫爾比便約為5:1 )。該等氣體流率不到3〇秒(如約5 秒)便可引入該處理室中,以穩定該製程氣體之流率。 該處理室壓力係約設定於2毫托耳及5〇亳托耳間, 例如約為20毫托耳及30亳托耳之間。將電源約以3〇〇瓦 及1 000瓦之範圍内(如約500瓦)施於一線圈;並將一 偏壓約以1瓦及50瓦之範圍(如約2〇瓦及4〇瓦之間) 内施行之;用於觸擊該電聚之電源可少於基侧期間所 用之電源。該等製程條件與該電漿擊製程之電漿條件可連 同此處所述之製程氣體來估算該些蝕刻製程,包括總流 17 200401946 率、處理室壓力、電源及偏壓電 .^ /h , ^ . 、該電漿擊製程約為15 秒或更少,如約1秒及5秒之間。 一電漿擊製程之範例係描述於 、下··一至少包含氦氣、 氯亂及乳乳之電漿擊製程氣體係約 乂 5 秒、4 8 0 s c c m 之總 流率(其中氦流率約4〇〇sccm、翕$ 氣、率約5〇Sccm且氧流 率約為3〇Sccm)引入該處理室 ^ 該處理室壓力約設定 於20毫托耳’並藉施以一 5〇〇瓦夕 凡之電源連同一 30瓦之偏 壓電源以約3秒產生一電聚擊。The milk-containing gas (not required) or the function-containing gas (not required) as described here. The plasma and process gas system are introduced into a processing chamber at a flow rate between about 300 sccin and 1000 sccm (eg, about 500 seem). When both the oxygen-containing gas and the halogen-containing gas are present in the plasma striking process gas, the flow rate of the combined gases is about 100 seem or lower than the total flow rate. The flow rate of the oxygen-containing gas may be about 100 sccm or less, and the flow rate of the halogen-containing gas may be about 100 seem or less. The molar ratio of halogen-containing gas / oxygen-containing gas is generally about 1: 1 or higher (for example, the molar ratio of chlorine / oxygen is about i. 3 3: 丨). The molar ratio of inert gas / oxygen-containing gas is generally about 3d or higher (for example, the molar ratio of helium / oxygen is about 5: 1). The gas flow rate can be introduced into the processing chamber in less than 30 seconds (eg, about 5 seconds) to stabilize the process gas flow rate. The pressure in the processing chamber is set between about 2 mTorr and 50 Torr, for example between about 20 mTorr and 30 Torr. Apply a power source to a coil in the range of about 300 watts and 1,000 watts (such as about 500 watts); and apply a bias voltage in the range of about 1 watt and 50 watts (such as about 20 watts and 40 watts) Between); the power used to strike the electricity can be less than the power used during the base side. These process conditions and the plasma conditions of the plasma striking process can be used in conjunction with the process gas described herein to estimate the etching processes, including the total flow 17 200401946 rate, processing chamber pressure, power source and bias current. ^ / H ^. The plasma striking process is about 15 seconds or less, such as between about 1 second and 5 seconds. An example of a plasma striking process is described below. A plasma striking process gas system containing at least helium, chlorination, and milk is about 秒 5 seconds, with a total flow rate of 480 sccm (wherein the helium flow rate (About 400 sccm, sc gas, rate of about 50 sccm, and oxygen flow rate of about 30 sccm) was introduced into the processing chamber ^ The pressure of the processing chamber was set to about 20 mTorr 'and a pressure of 500 was applied. The power of Wa Xifan and a bias power of 30 watts generate an electric shock in about 3 seconds.

該基材之製程可包括一雜叛 ^ ^觸擊一電漿之電源應用步 驟,修正該功率級以符合該4b蝕釗 何发一傲刻條件,例如於500瓦而 非650瓦以觸擊一電渡;藉定兮带、広 电萊穩疋該電源並接著執行該蝕刻製 程。該電源應用步驟可埶杆一韭香 ,哪』钒仃非常短暫之秒數,以讓基材 表面之姓刻得以進行。然、而,若將該反應性之含氧及含齒 素等製程氣體調整至低於1()(^_時,會降低㈣效果; 另亦會因使用較接續之餘刻步驟為低的電源功率級而降低 蝕刻效果。於觸擊該電聚後,該製程氣體組合物可藉降低The manufacturing process of the substrate may include a step of applying a power source to strike a plasma, and the power level is modified to meet the 4b etch-off condition, such as striking at 500 watts instead of 650 watts. A power supply; the power supply is secured, and the power supply is then stabilized, and then the etching process is performed. This power application step can be a leek, which is very short seconds, so that the last name on the surface of the substrate can be engraved. However, if the reactive oxygen-containing and tooth-containing process gases are adjusted to less than 1 () (^ _, the effect of radon will be reduced; in addition, the step will be lower due to the use of the process. The power level of the power supply reduces the etching effect. After the electropolymerization is hit, the process gas composition can be reduced by

該惰氣流率及增加該含齒素氣體與該含氧氣體流率的方式 而修正成蝕刻氣體組合物。 接下來之說明書將說明接續蝕刻金屬層(如鉻及氮 氧化絡)之一製程實施例,該等金屬層係作為微影光罩板 製造時之光罩’經計算後,該等蝕刻氣體可於半導體及微 影光罩板製造時用以蝕刻形成於該基材上之其他金屬層。 第2圖係一姓刻製程接續之實施例流程圖。該流程 圖係用以表示而不應被視為本發明各種態樣範圍的限制。 18 200401946 於步驟210中,將一至少包含矽基光罩板(如具光學特性 之石英)、矽化鉬或氮氧化矽鉬類(Μ〇3ίχΝγ〇ζ )之一基 材提供至一處理室(如第1圖之DPSTM處理室10)。該 基材接著藉沉積一不透光之金屬層以作為一光罩層(該光 罩層一般至少包含鉻),於步驟220中將該光罩層沉積於 該基材上。 於步驟230中,該等欲於該金屬層上圖案化之開口 或圖案尺寸’可藉由沉積及圖案蝕刻一第一光阻材料之方 式以暴露出該金屬光罩層。該等用於微影光罩板製造之光 阻材料通常係為低溫光阻材料,此處所定義者係該些高約 250°C時會發生熱分解之材料。該些光阻材料可作光學圖 案化(亦即該些光阻劑材料),或可藉其他放射能量圖案 化裝置’如一離子束發射極。該等開口及圖案通常係藉蝕 刻該金屬光罩層以暴露該下方基材而形成之,亦即,使用 流率約高於300 sccm之含氧氣體及含鹵素氣體之製程氣 體’並施以一約高於5瓦之偏壓的步驟240 ^ 一電漿擊可 用以產生蝕刻光罩層之該電漿,於該蝕刻步驟後,將會移 除所殘留之光阻材料。 該基材可繼而進一步作蝕刻矽基材料的處理(非必 々)’以作為一移相(phase-shift )光罩板。該基材之該 石夕基材料係藉沉積以供蝕刻,並於該金屬光罩層上圖案蝕 刻一第二光阻材料250及曝光矽基材部分。該基材接著傳 送至DPSTM處理室,其中將一用以蝕刻該矽基材之含化 σ物製程氣體引入該處理室,並產生一電衆,以触刻(260) 19 200401946 該基材所暴露之碎基材。 一蝕刻該基材之矽基材光罩板的範例包括以一至少 含敗化碳之製程氣體作餘刻,該至少含有氟化碳之製程氣 體含有1至5個碳原子及4至8個氟原子(包括CIV 、 C4F6、C3F8、C4F8、C5F8 )及其組合,該含氟製程氣體係 約以25Sccm及lOOsccm間之流率引入一處理室(如前述 之DPSTM處理室),而該處理室係維持於一介約2毫托耳 及50毫托耳間的壓力。一提昇該钱刻製程之惰性氣體(非 必須)可以一介約3〇Sccm及15〇SCCm間之流率引入該處 理室中。於該製程期間,係將一介約5〇瓦及200瓦間之 RF電源(功率)施於該感應線圈以形成並維持該電漿。 一介約50瓦及200瓦之偏壓功率級(非必須)可施於 該基材支撐,以提昇該餘刻製程之控制。於該姓刻製程期 間’該基材約維持介於5 0 °C及1 5 (TC間之溫度。此外,該 處理室1 〇之該等側壁1 5係維持於一約低於7 0。(:之溫度, 且該圓罩係保持在一約低於8 0 °C之溫度來維持該等製程 條件的一致’以降低該處理室表面上形成之聚合物。 此處所述的製程以蝕刻基材之矽基材更詳細描述於 美國專利案號第6,391,79〇 (於2〇〇2年5月21曰領證), 標題為「银刻光罩之方法及設備」中,其内容與本發明之 態樣相符並合併於此作為參考。 第3 A-3E圖係表示在該等蝕刻步驟前該光罩板之構 成’其亦說明了前述圖2之製程。基材3 00典型係以具光 學特性之石英材料310製程,並送入一處理室中;一以鉻 20 200401946 製成之金屬層320係置於該石英材料31〇上(如圖3八所 示)該絡層可以傳統習知之方法,如物理氣相沉積(pvD) 或化學氣相沉積(CVD )等技術來作沉積。該鉻層32〇 一 般沉積之厚度約於50nm及l00nm之間,然而,該層之厚 度了因製造商需求及該基材材料或金屬層之組成而改變。 參閱第3B圖,該基材3〇〇係接著送入另一處理室 中其中一光阻材料層M0 (如『RIS TON』由杜邦化學 公司所製,或其他同樣材料等)係於該鉻層32〇上沉積一 約介於20〇nm及600nm之厚度。該光阻材料33〇係接著 以傳統雷射或電子束圖案化設備作圖案蝕刻以形成一第一 開口 325 ,其係用以定義該形成於該鉻層32〇上第二開口 33 5的尺寸。 該基材300係接著傳送至一蝕刻處理室中(如前述 DPSTM處理室1〇),且該鉻層32〇以習知之金屬蝕刻技術 或新的金屬姓刻技術作蝕刻以形成該第二開口 3 3 5而暴露 出該下方石英材料310,如第3C圖所示。 一此處所·述將製程氣體於一流率及偏壓用以蝕刻基 材上金屬層之示例性處理方式將如下文所示。該基材係置 於該支撐元件16上,並將一製程氣體引入該處理室使形 成一電漿以蝕刻該鉻層320。 於該製程氣體之一實施例中,該製程氣體至少包含 氧氣、氯氣及一惰性氣體。該製程氣體係以一介約4〇〇sccm 及75 Osccm間之流率引入該處理室中。例如,可於該蝕 刻製程期間可採用一約460sccm之流率;氧氣可以一約 21 200401946 介 如 間 體 引 毫 介 應 瓦 之 之 之 3: 將 係 基 材 該 内 於 1 OOsccm及 4 00sccm間之流率引入該處理室中(例 約120sccm);而氯氣係以一約介於200sccm及600sccm 之流率引入該處理室中(例如約 270seem );該惰性氣 (例如氦)係以一約介於 Oseem及 500sccm間之流率 入該處理室中(例如約70sccm)。The inert gas flow rate and the manner of increasing the flow rate of the tooth-containing gas and the oxygen-containing gas are modified into an etching gas composition. The following description will explain one embodiment of a process for successively etching metal layers (such as chromium and oxynitride). These metal layers are used as a photomask during the manufacture of lithographic photomask plates. After calculation, these etching gases can be used. It is used to etch other metal layers formed on the substrate during the manufacture of semiconductors and lithographic photomasks. FIG. 2 is a flowchart of an embodiment of a process of engraving a family name. This flowchart is intended to represent and should not be viewed as limiting the scope of the various aspects of the invention. 18 200401946 In step 210, a substrate including at least one of a silicon-based photomask (such as quartz with optical properties), molybdenum silicide, or molybdenum silicon oxynitride (Μ〇3ίχΝγ〇ζ) is provided to a processing chamber ( Such as DPSTM processing chamber 10 in Fig. 1). The substrate then deposits an opaque metal layer as a photomask layer (the photomask layer generally contains at least chromium), and the photomask layer is deposited on the substrate in step 220. In step 230, the openings or the pattern size 'to be patterned on the metal layer can be exposed to the metal photomask layer by depositing and patterning a first photoresist material. These photoresist materials used in the manufacture of lithographic photomasks are usually low-temperature photoresist materials, and the ones defined here are those materials that will be thermally decomposed at about 250 ° C. The photoresist materials can be optically patterned (ie, the photoresist materials), or they can be patterned by other radiation energy devices such as an ion beam emitter. The openings and patterns are usually formed by etching the metal photomask layer to expose the underlying substrate, that is, using an oxygen-containing gas and a halogen-containing process gas with a flow rate of about 300 sccm 'and applying A step 240 ^ of a bias voltage above about 5 watts can be used to generate the plasma to etch the photomask layer. After the etching step, the remaining photoresist material will be removed. The substrate can be further processed (not necessarily) to etch the silicon-based material to serve as a phase-shift mask plate. The Shi Xiji material of the substrate is deposited for etching, and a second photoresist material 250 is pattern-etched on the metal photomask layer and the silicon substrate portion is exposed. The substrate is then transferred to a DPSTM processing chamber where a sigma-containing process gas used to etch the silicon substrate is introduced into the processing chamber and an electric mass is generated to etch (260) 19 200401946 the substrate Exposed broken substrate. An example of a silicon-based photomask that etches the substrate includes using a process gas containing at least decayed carbon as the remainder. The process gas containing at least carbon fluoride contains 1 to 5 carbon atoms and 4 to 8 carbon atoms. Fluorine (including CIV, C4F6, C3F8, C4F8, C5F8) and combinations thereof. The fluorine-containing process gas system is introduced into a processing chamber (such as the aforementioned DPSTM processing chamber) at a flow rate between about 25Sccm and 100sccm. It is maintained at a pressure between about 2 mTorr and 50 mTorr. An inert gas (not required) that enhances the money engraving process can be introduced into the processing chamber at a flow rate between about 30 Sccm and 150 SCCm. During the process, an RF power source (power) between about 50 watts and 200 watts was applied to the induction coil to form and maintain the plasma. A bias power stage (not required) of about 50 watts and 200 watts can be applied to the substrate support to improve the control of the remaining processes. During the engraving process, the substrate was maintained at a temperature between 50 ° C and 15 ° C. In addition, the sidewalls 15 of the processing chamber 10 were maintained at a temperature of less than 70. (: Temperature, and the dome is maintained at a temperature below about 80 ° C to maintain the consistency of these process conditions' to reduce the polymer formed on the surface of the processing chamber. The process described here uses The silicon substrate of the etching substrate is described in more detail in U.S. Patent No. 6,391,79 (issued on May 21, 2002), titled "Method and Equipment for Silver Engraving Mask", its content It conforms to the aspect of the present invention and is incorporated herein by reference. Figures 3 A-3E show the composition of the photomask before the etching steps, which also illustrates the process of the aforementioned Figure 2. The substrate 3 00 is typical It is made of quartz material 310 with optical characteristics and sent into a processing chamber; a metal layer 320 made of chromium 20 200401946 is placed on the quartz material 31 (see Figure 38). The deposition can be performed by conventional methods such as physical vapor deposition (pvD) or chemical vapor deposition (CVD). The thickness of the chromium layer 32 is generally between 50 nm and 100 nm. However, the thickness of the layer varies depending on the manufacturer's needs and the composition of the substrate material or metal layer. See Figure 3B, the substrate 3 〇 system is then sent to another processing chamber in one of the photoresist material layer M0 (such as "RIS TON" made by DuPont Chemical Co., or other similar materials, etc.) is deposited on the chromium layer 32, about 20 Thicknesses of 0 nm and 600 nm. The photoresist material 33 is subsequently patterned with a conventional laser or electron beam patterning device to form a first opening 325, which is used to define the formation on the chromium layer 32. The size of the second opening 335. The substrate 300 is then transferred to an etching processing chamber (such as the DPSTM processing chamber 10), and the chromium layer 32 is formed by a conventional metal etching technique or a new metal surfacing technique. An etching is performed to form the second opening 3 3 5 and the lower quartz material 310 is exposed, as shown in FIG. 3C. A process gas and a bias voltage as described herein are used to etch the metal layer on the substrate. An exemplary processing method is shown below. The substrate is set A process gas is introduced onto the support element 16 to form a plasma to etch the chromium layer 320. In one embodiment of the process gas, the process gas includes at least oxygen, chlorine, and an inert gas. The process gas system is introduced into the processing chamber with a flow rate between about 400 sccm and 75 Osccm. For example, a flow rate of about 460 sccm can be used during the etching process; oxygen can be about 21 200401946 Part 3 of the body-inducing media: Introduce the flow rate of the base material between 100 sccm and 400 sccm into the processing chamber (for example, about 120 sccm); and chlorine gas at a rate of about 200 sccm and 600 sccm A flow rate is introduced into the processing chamber (for example, about 270 seem); the inert gas (for example, helium) is introduced into the processing chamber at a flow rate between about 0 seem and 500 sccm (for example, about 70 sccm).

一般而言,該處理室壓力約維持在 15毫托耳及32 托耳之間(如約20毫托耳),且該蝕刻製程期間係將一 約300瓦及1〇〇〇瓦之RF電源(如650瓦)施於一感 現圈以產生並維持該等製程氣體之電漿,並將一介約20 及40瓦間之偏壓電源係施於該基材支撐上。 該蝕刻製程期間,該基材溫度係介約2 0。(:及1 0 0 °C 間。此外,該處理室1 〇之側壁係維持在一約低於7 〇。〇 溫度’且該圓罩係維持在一約低於80°c之溫度。上述 該金屬蝕刻製程一般所得金屬層對光阻之選擇性約為 1或更高。Generally speaking, the pressure of the processing chamber is maintained between about 15 mTorr and 32 torr (such as about 20 mTorr), and an RF power source of about 300 watts and 1,000 watts is used during the etching process. (Such as 650 watts) is applied to a sensing circle to generate and maintain the plasma of these process gases, and a bias power source between about 20 and 40 watts is applied to the substrate support. During the etching process, the temperature of the substrate is about 20 ° C. (: And 100 ° C. In addition, the side wall of the processing chamber 10 is maintained at a temperature below about 70 ° C and the dome is maintained at a temperature below about 80 ° C. The above The selectivity of the metal layer obtained by the metal etching process to photoresist is generally about 1 or higher.

參閱第3A-3C圖,於該鉻層320之蝕刻完成後,便 該基材300傳送至一處理室。該殘餘光阻材料33〇通常 藉由一氧氣電漿製程、或其他習知光阻移除技術而從該 材300移除之。 參閱第3D及3E圖,該基材300可藉由蝕刻該石英 料3 10而作更進一步處理以形成一移相光罩板。於蝕刻 石英材料310之步驟中係將該光阻材料33〇移除,並施 第二光阻材料340並作圖案化以暴露出該第二開口 335 之該下方石英材料310。所沈積該光阻材料之厚度約介 22 200401946 於20〇nm及600nm之間,然亦可為任何厚度且其可與 該石英材料3 1 0中欲被蝕刻之該些特徵的厚度相同以形成 該光罩板。該基材3 0 0係接著作蝕刻以於該光阻層3 4 〇、 該金屬層320以及該石英材料31〇中形成一第三開口 3 45 ,並移除該第二光阻材料34〇以形成一圖案化之基材 表面3 55。該圖案化之基材300係接著傳送至一蝕刻處理 室(如DPSw處理室10)以進行該石英材料31〇之電漿 蝕刻。 上述製程氣體組合物及處理方式咸信能提供可控制 # 之蝕刻,以使該等開口或圖案具所欲之關鍵尺寸,且該等 開口或圖案之蝕刻一般係呈非等向性。該非等向性製程移 除該開口底部上沈積材料的速率係高於該些開口側壁上之 材料。於此所述該流率及偏壓的結合可改善該電漿餘刻製 程之蝕刻非等向性,因而增加該開口底部之蝕刻率(與該 開口侧壁之蝕刻率相比)。一以較慢速率蝕刻該等開口之 側壁的蝕刻製程不會對該等側壁造成過度蝕刻,以使被蝕 刻之該等開口之關鍵尺寸的保護得以改善,並因此降低蝕 刻偏差。 一般相信於沈積期間或基材處理期間,藉物理氣相 沈積技術或化學氣相沈積技術所沈積之該些鉻層會吸附若 干污染物(如氧及氮等)。該沈積之鉻材料中的氧及氮係 來自氮氧化鉻,其主要集中在該沈積材料上表面(如該鉻 層上層30%處該氮氧化鉻層用以作為該基材之一抗反 射塗層從而改善該光阻材料之圖案化效果。該氮氧化鉻薄 23 200401946 膜對氧自由基的蝕刻較鉻薄膜更為敏感。減少該製程氣體 之氧含量將有效地蝕刻該氮氧化鉻表面(指與餘列大量殘 餘絡層相較下)。 本發明將藉由下列範例作更進一步的插述,然此等 範例並非用以限制本發明所主張之專利範圍。 -種包…基材料之製成之—基材之光罩板,其 較佳得U厚之路光罩層置於 上,該光罩板係送進一處理室以你上r 荷〖生之石央 主从作先阻沉積。— 東京歐卡(Tokyo-Oka)公gL 由日本之 所上市之光阻(‘ 一 光阻材料或同為曰本之東京欧卡八 ) 光阻(或稱CAR),係沉積於 " 市之化學放大型 於該鉻光罩}*姐# 射或電子束圖案化設備作圖案化 · 接者並以傳統雷 鉻光罩上的光阻厚度約介於而該/儿積於該經蝕刻之 於3〇〇nm及400nm間),鍊 nm及6〇〇nm間(如約介 然亦可為任何所欲之厚度。 將光罩板置於一蝕刻處硬〜 屬蝕刻處理室10)。該經圖案4至中(如前述之DPSTM金 蝕刻處理室之陰極座上,且=化之基材亦如前述係置於該 毫托耳。藉由施-功率級約^處理室壓力係維持約於2〇 線圈以產生一電漿。將30瓦之0瓦之RF電壓源至該感應 該基材表面係維持在介約2〇β偏壓電源施於該陰極座上。 室壁及圓蓋則冷卻至約低於C及5〇°c間之溫度。該處理 刻處理條件。肖開口之蝕刻〇<t之溫度以維持穩定之蝕 Μ下列氣體流進行之: 24 200401946 氧氣(〇2) — 120 seem 氣氣(Cl2 ) — 270 seem 氦氣(He ) — 70 seem 上述所列之製程氣體總流率約為 460seem。該蝕刻 製程係執行一足夠時間以於該金屬層上形成該些開口。 範例2Referring to FIGS. 3A-3C, after the etching of the chromium layer 320 is completed, the substrate 300 is transferred to a processing chamber. The residual photoresist material 33 is generally removed from the material 300 by an oxygen plasma process or other conventional photoresist removal techniques. Referring to FIGS. 3D and 3E, the substrate 300 can be further processed by etching the quartz material 3 10 to form a phase shift mask. In the step of etching the quartz material 310, the photoresist material 330 is removed, and a second photoresist material 340 is applied and patterned to expose the lower quartz material 310 of the second opening 335. The thickness of the deposited photoresist material is about 22 200401946 between 20 nm and 600 nm, but it can also be any thickness and it can be the same thickness as those of the features to be etched in the quartz material 3 10 to form The mask plate. The substrate 300 is etched to form a third opening 3 45 in the photoresist layer 34, the metal layer 320, and the quartz material 31o, and the second photoresist material 34 is removed. To form a patterned substrate surface 3 55. The patterned substrate 300 is then transferred to an etching processing chamber (such as the DPSw processing chamber 10) for plasma etching of the quartz material 31. The above-mentioned process gas composition and processing method can provide controllable etching so that the openings or patterns have the desired critical size, and the etching of the openings or patterns is generally anisotropic. The anisotropic process removes material deposited on the bottom of the openings at a higher rate than on the sidewalls of the openings. The combination of the flow rate and the bias voltage described herein can improve the etching anisotropy of the plasma etching process, thereby increasing the etching rate at the bottom of the opening (compared to the etching rate of the sidewall of the opening). An etching process that etches the sidewalls of the openings at a slower rate will not cause excessive etching of the sidewalls, so that the critical dimension protection of the etched openings is improved, and thus the etch bias is reduced. It is generally believed that during the deposition or substrate processing, these chromium layers deposited by physical vapor deposition technology or chemical vapor deposition technology will adsorb several pollutants (such as oxygen and nitrogen, etc.). The oxygen and nitrogen in the deposited chromium material are derived from chromium oxynitride, which is mainly concentrated on the upper surface of the deposited material (for example, the chromium oxynitride layer at 30% of the upper layer of the chromium layer is used as an anti-reflection coating for the substrate Layer to improve the patterning effect of the photoresist material. The chromium oxynitride thin film is more sensitive to oxygen radical etching than chromium thin films. Reducing the oxygen content of the process gas will effectively etch the chromium oxynitride surface ( Refers to the comparison with a large number of residual complex layers.) The present invention will be further interpolated by the following examples, but these examples are not intended to limit the scope of the patent claimed by the present invention. Made of—Mask of the substrate, which is preferably U-thick road mask layer is placed on it, the mask board is sent to a processing room for you to load r load Resistance deposition. — Tokyo-Oka male gL Photoresist ('a photoresist material or Tokyo Oka eight) also listed in Japan. Photoresist (or CAR) is deposited on " City's chemical magnification type in this chrome mask} * 姐 # radio or electron beam pattern The device is patterned and connected, and the thickness of the photoresist on the traditional thunder-chrome mask is between about 200nm and 400nm, and the chain is between 600nm and 600nm. (If it is about the same, it can be any desired thickness. Place the photomask in an etching place ~ it belongs to the etching processing room 10). The pattern 4 to the middle (as described above on the cathode holder of the DPSTM gold etching process chamber, and the substrate is also placed on the millitorr as previously described. By applying a power level of about ^ processing chamber pressure system is maintained A coil of about 20 is used to generate a plasma. An RF voltage source of 30 watts and 0 watts is induced to the surface of the substrate by applying a bias power of about 20 β to the cathode base. Room walls and circles The cover is cooled to a temperature below about C and 50 ° C. The treatment is engraved with the processing conditions. The etching of the openings is performed at a temperature of < t to maintain a stable corrosion. The following gas flow is performed: 24 200401946 oxygen (〇 2) — 120 seem gas (Cl2) — 270 seem helium (He) — 70 seem The total flow rate of the process gases listed above is about 460 seem. The etching process is performed for a sufficient time to form the metal layer on the metal layer. Some openings. Example 2

將光罩板係置於一如上所述之DPSTM金屬蝕刻處理 室中。該經圖案化之基材係置於該蝕刻處理室之陰極座 上,且該處理室壓力約維持於20毫托耳。藉由施一功率 級約650瓦之RF電壓源至該感應線圈以產生一電漿。將 30瓦之偏壓電源施於該陰極座上。該基材表面係維持在 介約20°C及50°C間之溫度。該處理室壁及圓蓋則冷卻至 約低於 70 °C之溫度以維持穩定之蝕刻處理條件。該開口 之蝕刻係以下列氣體流進行之: 氧氣(〇2 ) — 200 seem 氣氣(Cl2 ) — 300 seemThe mask plate was placed in a DPSTM metal etching process chamber as described above. The patterned substrate was placed on the cathode base of the etching process chamber, and the pressure of the process chamber was maintained at about 20 millitorr. An RF voltage source of about 650 watts is applied to the induction coil to generate a plasma. A 30 watt bias power was applied to the cathode base. The surface of the substrate is maintained at a temperature between about 20 ° C and 50 ° C. The walls of the processing chamber and the dome are cooled to a temperature below about 70 ° C to maintain stable etching processing conditions. The opening is etched with the following gas flow: oxygen (〇2) — 200 seem gas (Cl2) — 300 seem

氦氣(He) — 40 seem 上述所列之製程氣體總流率約為 540sccm。該蝕刻 製程係執行一足夠時間以於該金屬層上形成該些開口。 範例3 將光罩板係置於一如上所述之DPSTM金屬蝕刻處理 室中。該經圖案化之基材係置於該蝕刻處理室之陰極座 上,且該處理室壓力約維持於20毫托耳。藉由施一功率 級約6 5 0瓦之RF電壓源至該感應線圈以產生一電漿。將 25 200401946 3 0瓦之偏壓電源施於該陰極座上。該基材表面係維持在 介約 20°C及50°C間之溫度。該處理室壁及圓蓋則冷卻至 約低於70 °C之溫度以維持穩定之蝕刻處理條件。該開口 之蝕刻係以下列氣體流進行之: 氧氣(〇2) — 360 seem 氯氣(Cl2 ) — 240 seem 氦氣(He) -> 0 seemHelium (He) — 40 seem The total flow rate of the process gases listed above is approximately 540 sccm. The etching process is performed for a sufficient time to form the openings in the metal layer. Example 3 A reticle is placed in a DPSTM metal etching process chamber as described above. The patterned substrate was placed on the cathode base of the etching process chamber, and the pressure of the process chamber was maintained at about 20 millitorr. An RF voltage source of about 650 watts is applied to the induction coil to generate a plasma. A voltage source of 25 200401946 30 watts was applied to the cathode base. The surface of the substrate is maintained at a temperature between about 20 ° C and 50 ° C. The walls of the process chamber and the dome are cooled to a temperature below about 70 ° C to maintain stable etching process conditions. The opening is etched with the following gas flow: oxygen (〇2) — 360 seem chlorine (Cl2) — 240 seem helium (He)-> 0 seem

上述所列之製程氣體總流率約為 600sccm。該蝕刻 製程係執行一足夠時間以於該金屬層上形成該些開口。 範例 4The total process gas flow rate listed above is approximately 600 sccm. The etching process is performed for a sufficient time to form the openings in the metal layer. Example 4

將光罩板係置於一如上所述之DPSTM金屬蝕刻處理 室中。該經圖案化之基材係置於該蝕刻處理室之陰極座 上,且該處理室壓力約維持於約20毫托耳至30毫托耳之 間。藉由施一功率級約650瓦之RF電壓源至該感應線圈 以產生一電漿。將 30瓦之偏壓電源施於該陰極座上。該 基材表面係維持在介約 20°C及 50°C間之溫度。該處理室 壁及圓蓋則冷卻至約低於7 0 °C之溫度以維持穩定之蝕刻 處理條件。該開口之蝕刻係以下列氣體流進行之: 氧氣(〇2 ) — 180 seem 氣氣(Cl2 ) — 480 seem 氦氣(He) -> 0-40 seem 上述所列之製程氣體總流率約為 660-700seem。該 蝕刻製程係執行一足夠時間以於該金屬層上形成該些開 π 〇 26 200401946 前文係關於本發明之該些示例性實施態樣,本發明 其他及進一步之該些實施態樣可於不悖離發明之基本範圍 下而提出,且其範圍應由下文之申請專利範圍決定之。 【圖式簡單說明】 為得前文所述本發明態樣之方法並更詳細暸解,本 發明(簡略敘述如上)可參照其具體實施例於附加圖示之 說明作更詳盡的描述。The mask plate was placed in a DPSTM metal etching process chamber as described above. The patterned substrate is placed on the cathode base of the etching processing chamber, and the pressure of the processing chamber is maintained between about 20 mTorr and 30 mTorr. An RF voltage source of about 650 watts is applied to the induction coil to generate a plasma. A 30 watt bias power was applied to the cathode base. The surface of the substrate is maintained at a temperature between about 20 ° C and 50 ° C. The walls of the process chamber and the dome are cooled to a temperature below about 70 ° C to maintain stable etching process conditions. The etching of the opening is performed with the following gas flow: oxygen (〇2) — 180 seem gas (Cl2) — 480 seem helium (He)-> 0-40 seem The total flow rate of the process gases listed above is about For 660-700seem. The etching process is performed for a sufficient time to form the openings on the metal layer. 26200401946 The foregoing is about the exemplary implementations of the present invention. Other and further implementations of the present invention may Proposed under the basic scope of the invention, and its scope should be determined by the scope of patent application below. [Brief description of the drawings] In order to obtain the method of the present invention described in the foregoing and to understand it in more detail, the present invention (briefly described above) can be described in more detail with reference to the specific embodiment and the description of the additional drawings.

然而應暸解的是,該等附加圖示僅作為本發明之一 般實施例而非用以限制其範圍,故其他等效之實施例將視 為落於本發明之範圍内。 第 1圖係為一蝕刻處理室之一實施例之概要剖面 圖。 第2圖係表示依據本發明用以處理一基材之一接續 實施例的流程圖。 第3A-3E圖係表示本發明之另一實施例的連續蝕刻It should be understood, however, that these additional illustrations are only intended as a general embodiment of the present invention and are not intended to limit its scope, so other equivalent embodiments will be deemed to fall within the scope of the present invention. Fig. 1 is a schematic sectional view of an embodiment of an etching process chamber. Fig. 2 is a flow chart showing one of the subsequent embodiments for processing a substrate according to the present invention. Figures 3A-3E show continuous etching according to another embodiment of the present invention

剖面圖。 1 2處理室本體 14電漿區域 1 7處理室底部 22氣體分配器 27線圈電源供應器 【元件代表符號簡單說明 1 0處理室 13圓罩 1 6支撐元件 20基材 2 6感應線圈 27 200401946 2 8電極電源供應器 3 2節流閥 300 基材 320 金屬層 3 30 光阻材料 340 光阻材料 3 5 5 基材表面 3 0排氣系統 3 4排氣埠 310 石英材料 325 第一開口 3 3 5 第二開口 345 第三開口Sectional view. 1 2 Processing chamber body 14 Plasma area 1 7 Bottom of processing chamber 22 Gas distributor 27 Coil power supply [Simplified description of component representative symbols 1 0 Processing chamber 13 Dome 1 6 Support element 20 Substrate 2 6 Induction coil 27 200401946 2 8-electrode power supply 3 2 throttle valve 300 base material 320 metal layer 3 30 photoresist material 340 photoresist material 3 5 5 base material surface 3 0 exhaust system 3 4 exhaust port 310 quartz material 325 first opening 3 3 5 Second opening 345 Third opening

2828

Claims (1)

200401946 拾、申請專利:範圍: κ —種用以處理一微影光罩板之方法,該 〇次不法至少包含: 將光罩板置於一處理室之支撐元件上,复 至 ,、肀該光罩板 包含一形成於一矽基基材上之金屬光 、 早增,以及一沉 谓於該金屬光罩層上經圖案化之光阻材料; 引入一流率至少300sccm之製程氣辦 4 表程虱體,其中該製程氣 至少包含一含氧氣體及一含_素氣體; 將電源傳至該處理室以產生該製程氣體之電裝; 將約大於約5瓦之偏壓提供至該支撐元件上;以及 移除該金屬光罩層所暴露之部分。 其中上述之金屬光 2·如申請專利範圍第1項所述之方法 罩層至少包含鉻、氮氧化鉻或其組合 基 石200401946 Pick up and apply for patent: Scope: κ — A method for processing a lithographic photomask. The zero-time at least includes: placing the photomask on a supporting element of a processing chamber. The photomask includes a metal light formed on a silicon-based substrate, premature growth, and a patterned photoresist material on the metal photomask layer; a process gas at least 300 sccm is introduced. Table 4 A process lice body, wherein the process gas includes at least an oxygen-containing gas and a gas containing gas; a power source is transmitted to the processing chamber to generate the process gas; and a bias voltage of about greater than about 5 watts is provided to the support element ; And removing the exposed portion of the metal photomask layer. Among them, the above-mentioned metallic light 2.The method as described in item 1 of the scope of the patent application, and the cover layer contains at least chromium, chromium oxynitride or a combination thereof. .如申請專利範圍第1項所述之方法,其中上述之矽某 2至少包含一光學可穿透之矽基材料,該矽基材料係2 夹、矽化鉬、氮氧化矽鉬及其組合之群組中所選出。 4·如申請專利範圍第丨項所述之方法,其中該含 减率至少為lOOsccm。 I 之 ,如申請專利範圍第1項所述之方法,其中該含 、义 九乳體之 以率約介於1 50sccm及400seem之間。 29 200401946 6.如申請專利範圍第1項所述之方法,其中該含氧氣體係 由氧、一氧化碳、二氧化碳及其組合之群組中所選出。 7.如申請專利範圍第1項所述之方法,其中該含齒素氣體 之流率至少為200sccm。 8.如申請專利範圍第1項所述之方法,其中該含齒素氣體 之流率約介於200sccm及600sccm之間。The method according to item 1 of the scope of the patent application, wherein the above-mentioned silicon 2 includes at least an optically transparent silicon-based material, the silicon-based material is 2 clips, molybdenum silicide, silicon molybdenum oxynitride, and combinations thereof. Selected in the group. 4. The method according to item 丨 of the scope of patent application, wherein the reduction rate is at least 100 sccm. I. The method as described in item 1 of the scope of the patent application, wherein the yield of the lactose-containing and glutamic acid emulsions is between about 150 sccm and 400 seem. 29 200401946 6. The method according to item 1 of the scope of patent application, wherein the oxygen-containing system is selected from the group consisting of oxygen, carbon monoxide, carbon dioxide, and combinations thereof. 7. The method according to item 1 of the scope of patent application, wherein the flow rate of the tooth-containing gas is at least 200 sccm. 8. The method according to item 1 of the scope of patent application, wherein the flow rate of the tooth-containing gas is between about 200 sccm and 600 sccm. 9 ·如申請專利範圍第1項所述之方法,其中該製程氣體之 流率約介於350sccm及lOOOsccm之間,其中該含氧氣體 之流率係介於 150sccm及400sccm間且該含鹵素氣體之 流率係介於200sccm及600sccm間。 10.如申請專利範圍第1項所述之方法,其中該含鹵素氣 體及該含氧氣體之莫爾比例介於約1 ·· 1 · 5及約4 ·· 1之間。9. The method according to item 1 of the scope of patent application, wherein the flow rate of the process gas is between 350 sccm and 100 sccm, wherein the flow rate of the oxygen-containing gas is between 150 sccm and 400 sccm and the halogen-containing gas The flow rate is between 200 sccm and 600 sccm. 10. The method according to item 1 of the scope of patent application, wherein the mole ratio of the halogen-containing gas and the oxygen-containing gas is between about 1 ·· 1 · 5 and about 4 ·· 1. 11.如申請專利範圍第1項所述之方法,其中該含鹵素氣 體至少包含一由氯、四氯化碳、氫氣酸及其組合之群組中 所選出之含氣氣體。 12.如申請專利範圍第1項所述之方法,其中該製程氣體 更包含一由氦、氬、氙、氖、氪及其組合之群組中所選出 之惰性氣體。 30 200401946 1 3 .如申請專利範圍第1項所述之方法,其中該惰性氣體 之流率約為5 0 0 s c c m或更低。 14.如申請專利範圍第1項所述之方法,其中該偏壓電源 係施加於約20瓦及約40瓦之間。 15.如申請專利範圍第1項所述之方法,其中該金屬光罩11. The method according to item 1 of the scope of patent application, wherein the halogen-containing gas contains at least one gas-containing gas selected from the group consisting of chlorine, carbon tetrachloride, hydrogen acid, and combinations thereof. 12. The method according to item 1 of the scope of patent application, wherein the process gas further comprises an inert gas selected from the group consisting of helium, argon, xenon, neon, krypton, and combinations thereof. 30 200401946 1 3. The method according to item 1 of the scope of the patent application, wherein the flow rate of the inert gas is about 500 sccm or less. 14. The method according to item 1 of the scope of patent application, wherein the bias power source is applied between about 20 watts and about 40 watts. 15. The method according to item 1 of the scope of patent application, wherein the metal mask 層及該光阻材料係以金屬光罩層/光阻材料之移除率比約 為1 :1及3 :1間而移除之。The layer and the photoresist material are removed with a metal photomask layer / photoresist material removal ratio of about 1: 1 and 3: 1. 16·如申請專利範圍第1項所述之方法,其中上述處理該 光罩板之方法至少包含將製程氣體引入一處理室中,維持 該處理室之壓力約於約2毫托耳及約50毫托耳之間,且 維持該光罩板之溫度於約20 °C及約150°C之間,並藉由施 加一約300瓦及約1 000瓦間之RF功率源至一處理室中 之線圈以產生一電漿。 17. —種處理一微影光罩板之方法,該方法至少包含: 將該光罩板置於一處理室之支撐元件上,其中該光罩 板至少包含一形成於一光學可穿透之矽基材料上之鉻基光 罩層,以及一沉積於該鉻基光罩層上經圖案化之光阻材 料; 以至少 350sccm之流率引入一至少包含氯氣及氧氣之 31 200401946 製程氣體,其中該氯氣及該氧氣之莫爾比係介於約1:1.5 及約4:1之間; 將處理室壓力維持在約2毫托耳及約5 0毫托耳之間; 將約1000瓦或更低之電源傳至一處理室内之線圈以產 生一電漿; 施加一大於約5瓦之偏壓電源至該支撐元件;16. The method according to item 1 of the scope of patent application, wherein the method for processing the photomask plate at least includes introducing a process gas into a processing chamber, and maintaining the pressure of the processing chamber at about 2 mTorr and about 50 Milli-torr, and maintaining the temperature of the photomask between about 20 ° C and about 150 ° C, and applying an RF power source of about 300 watts and about 1,000 watts to a processing chamber Coil to generate a plasma. 17. —A method for processing a lithographic reticle, the method at least comprising: placing the reticle on a supporting element of a processing chamber, wherein the reticle includes at least one formed on an optically transparent substrate. A chrome-based photomask layer on a silicon-based material and a patterned photoresist material deposited on the chrome-based photomask layer; introducing a 31 200401946 process gas containing at least chlorine and oxygen at a flow rate of at least 350 sccm, wherein The molar ratio of the chlorine gas and the oxygen gas is between about 1: 1.5 and about 4: 1; maintaining the pressure in the processing chamber between about 2 mTorr and about 50 mTorr; and about 1000 watts or Lower power is passed to a coil in a processing chamber to generate a plasma; a bias power greater than about 5 watts is applied to the support element; 蝕刻該鉻基光罩層暴露出的部分;以及 以該鉻基光罩層對該光阻材料之移除率比約為1: 1或 更高而移除該鉻基光罩層。 18.如申請專利範圍第17項所述之方法,其中該鉻基光罩 層至少包含鉻、氮氧化鉻或其組合,且該光學可穿透之矽 基材料至少包含石英、矽化鉬、氮氧化矽鉬或其組合。Etching the exposed portion of the chrome-based mask layer; and removing the chrome-based mask layer with a removal ratio of the chrome-based mask layer to the photoresist material of about 1: 1 or higher. 18. The method according to item 17 of the scope of patent application, wherein the chromium-based photomask layer comprises at least chromium, chromium oxynitride or a combination thereof, and the optically transmissive silicon-based material comprises at least quartz, molybdenum silicide, nitrogen Silicon molybdenum oxide or a combination thereof. 19.如申請專利範圍第17項所述之方法,其中更包含引入 一由氦、氬、氙、氖、氪及其組合組成之群組中所選出之 惰性氣體。 20.如申請專利範圍第17項所述之方法,其中該製程氣體 流率係介於約350sccm及約lOOOsccm之間,其中該氧氣 之流率約介於 1 5 0 s c c m及4 0 0 s c c m間且該氯氣之流率約 介於200sccm及600sccm之間。 2 1 ·如申請專利範圍第1 9項所述之方法,其中該惰性氣體 32 200401946 之流率約為5 0 0 s c c m或更低。 22.如申請專利範圍第17項所述之方法,其中該偏壓電源 係施加於約2 0瓦及約4 0瓦間。19. The method of claim 17 further comprising introducing an inert gas selected from the group consisting of helium, argon, xenon, neon, krypton, and combinations thereof. 20. The method according to item 17 of the scope of patent application, wherein the process gas flow rate is between about 350 sccm and about 1000 sccm, wherein the oxygen flow rate is between about 150 sccm and 4 0 sccm And the flow rate of the chlorine gas is between about 200 sccm and 600 sccm. 2 1 · The method as described in item 19 of the scope of patent application, wherein the flow rate of the inert gas 32 200401946 is about 50 0 s c c m or less. 22. The method of claim 17 in which the bias power source is applied between about 20 watts and about 40 watts. 23.如申請專利範圍第17項所述之方法,其中上述處理該 光罩板之方法更包含將該製程氣體引入一處理室中,將該 處理室壓力維持於約2毫托耳及約5 0毫托耳之間,將該 光罩板之溫度維持於約20°C及約15(TC間,並施加一介約 3 00瓦及約1000瓦間之RF功率源至該處理室中之線圈以 產生一電漿。 24.如申請專利範圍第1 7項所述之方法,其中將該電源傳 至該處理室以產生一製程氣體之電漿更包含一電漿擊。 25. —種處理一微影光罩板之方法,該方法至少包含:23. The method according to item 17 of the scope of patent application, wherein the method for processing the photomask further includes introducing the process gas into a processing chamber, and maintaining the pressure of the processing chamber at about 2 mTorr and about 5 Between 0 mTorr, the temperature of the photomask is maintained at about 20 ° C and about 15 ° C, and an RF power source of about 300 watts and about 1000 watts is applied to the coil in the processing chamber. 24. The method as described in item 17 of the scope of the patent application, wherein the plasma transmitted to the processing chamber to generate a process gas further includes a plasma strike. 25. — Treatment A lithographic photomask method, the method at least comprises: 將光罩板置於一處理室中之支撐元件上,其中該光罩 板至少包含一形成於一光學可穿透之矽基材料上之鉻基光 罩層,以及一沉積於該鉻基光罩層上經圖案化之光阻材 料; 引入一至少包含一惰性氣體、一含鹵素氣體及一含氧 氣體之第一製程氣體,其中該含i素氣體及該含氧氣體之 流率約為1 0 0 s c c m或更低; 將約1 000瓦或更低之電源傳至該處理室中之線圈以產 33 200401946 生一電漿; 引入一至少包含一含鹵素氣體及一含氧氣體之第二製 程氣體,其中該含i素氣體及該含氧氣體之流率至少為 3 5 Osccm ; 將約1 000瓦或更低之電源傳至設於該處理室中之一線 圈以維持一電漿; 施加一高於約5瓦之偏壓電源至該支撐元件;以及 蝕刻該鉻基光罩層暴露出的部分。A photomask is placed on a supporting element in a processing chamber, wherein the photomask includes at least a chromium-based photomask layer formed on an optically transmissive silicon-based material, and a chromium-based light deposit A patterned photoresist material on the cover layer; introducing a first process gas containing at least an inert gas, a halogen-containing gas and an oxygen-containing gas, wherein the flow rate of the element-containing gas and the oxygen-containing gas is approximately 1 0 0 sccm or lower; Pass a power supply of about 1,000 watts or lower to the coil in the processing chamber to produce 33 200401946 to generate a plasma; introduce a first containing at least a halogen-containing gas and an oxygen-containing gas Two process gases, wherein the flow rate of the i-containing gas and the oxygen-containing gas is at least 3 5 Osccm; a power source of about 1,000 watts or less is passed to a coil provided in the processing chamber to maintain a plasma Applying a bias power higher than about 5 watts to the support element; and etching the exposed portion of the chrome-based photomask layer. 2 6.如申請專利範圍第26項所述之方法,其中該第二製程 氣體具有一流率約於150sccm及400sccm間之含氧氣體, 其中該含氧氣體係由氧、一氧化碳、二氧化碳及其組合組 成之群組中所選出。2 6. The method according to item 26 of the scope of patent application, wherein the second process gas has an oxygen-containing gas with a first-rate rate between 150 sccm and 400 sccm, wherein the oxygen-containing system is composed of oxygen, carbon monoxide, carbon dioxide, and combinations thereof. Selected from the group. 27.如申請專利範圍第26項所述之方法,其中該第二製程 氣體具有一流率約於200sccm及 600sccm間之含i素氣 體,其中該含鹵素氣體至少包含一由氯、四氯化碳、氫氯 酸及其組合組成之群組中所選出之含氯氣體。 28.如申請專利範圍第26項所述之方法,其中該第二製程 氣體之流率介於約350sccm及約lOOOsccm間,且其中該 含氧氣體之流率介於約150sccm及400sccm,而該含鹵素 氣體之流率介於約200sccm及600sccm間。 34 200401946 2 9.如申請專利範圍第26項所述之方法,其中該第二製程 氣體中該含函素氣體對該含氧氣體之莫爾比例介於約 1:1 · 5及約4 :1之間。 30·如申請專利範圍第26項所述之方法,其中該第二製程 氣體更包含一由氦、氬、氙、氖、氪及其組合組成之群組 中所選出之惰性氣體。27. The method according to item 26 of the scope of patent application, wherein the second process gas has an element-containing gas having a first-rate ratio between about 200 sccm and 600 sccm, wherein the halogen-containing gas contains at least one of chlorine, carbon tetrachloride Selected from the group consisting of hydrochloric acid, hydrochloric acid, and combinations thereof. 28. The method according to item 26 of the scope of patent application, wherein the flow rate of the second process gas is between about 350 sccm and about 1000 sccm, and wherein the flow rate of the oxygen-containing gas is between about 150 sccm and 400 sccm, and the The flow rate of the halogen-containing gas is between about 200 sccm and 600 sccm. 34 200401946 2 9. The method according to item 26 of the scope of application for a patent, wherein the molar ratio of the halogen-containing gas to the oxygen-containing gas in the second process gas is between about 1: 1.5 and about 4: Between 1. 30. The method according to item 26 of the scope of patent application, wherein the second process gas further comprises an inert gas selected from the group consisting of helium, argon, xenon, neon, krypton, and combinations thereof. 3 1·如申請專利範圍第26項所述之方法,其中該電漿係以 一步驟維持之,該步驟至少包含維持該處理室壓力於約2 毫托耳及約50毫托耳間,將該光罩板維持於約50°C及約 15 0°C間之溫度,藉由施加約3 00瓦及約1 000瓦間之RF 電源至該處理室中之一線圈以產生一電漿,並施加介於約 20瓦及約40瓦間之偏壓電源。3 1. The method according to item 26 of the scope of patent application, wherein the plasma is maintained in a step which includes at least maintaining the pressure of the processing chamber between about 2 mTorr and about 50 mTorr. The reticle is maintained at a temperature between about 50 ° C and about 150 ° C. An RF power source of about 300 watts and about 1,000 watts is applied to a coil in the processing chamber to generate a plasma, And apply a bias power source between about 20 watts and about 40 watts. 3535
TW092109149A 2002-04-19 2003-04-18 Process for etching photomasks TW200401946A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US37423902P 2002-04-19 2002-04-19

Publications (1)

Publication Number Publication Date
TW200401946A true TW200401946A (en) 2004-02-01

Family

ID=29251166

Family Applications (1)

Application Number Title Priority Date Filing Date
TW092109149A TW200401946A (en) 2002-04-19 2003-04-18 Process for etching photomasks

Country Status (3)

Country Link
US (1) US20040000535A1 (en)
TW (1) TW200401946A (en)
WO (1) WO2003089990A2 (en)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6960413B2 (en) * 2003-03-21 2005-11-01 Applied Materials, Inc. Multi-step process for etching photomasks
US7077973B2 (en) * 2003-04-18 2006-07-18 Applied Materials, Inc. Methods for substrate orientation
US7521000B2 (en) * 2003-08-28 2009-04-21 Applied Materials, Inc. Process for etching photomasks
US8349128B2 (en) * 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US20060000802A1 (en) * 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US7829243B2 (en) 2005-01-27 2010-11-09 Applied Materials, Inc. Method for plasma etching a chromium layer suitable for photomask fabrication
KR100823949B1 (en) * 2005-06-30 2008-04-22 어플라이드 머티어리얼스, 인코포레이티드 Method and apparatus for photomask plasma etching
US7943005B2 (en) 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
KR100944846B1 (en) * 2006-10-30 2010-03-04 어플라이드 머티어리얼스, 인코포레이티드 Mask etch process
US7909961B2 (en) * 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2121198A (en) * 1982-05-26 1983-12-14 Philips Electronic Associated Plasma-etch resistant mask formation
US5262257A (en) * 1989-07-13 1993-11-16 Canon Kabushiki Kaisha Mask for lithography
US5595844A (en) * 1990-11-29 1997-01-21 Kabushiki Kaisha Toshiba Method of exposing light in a method of fabricating a reticle
US5246801A (en) * 1991-09-20 1993-09-21 At&T Bell Laboratories Method of repairing indentations in phase-shifting lithographic masks
US6007732A (en) * 1993-03-26 1999-12-28 Fujitsu Limited Reduction of reflection by amorphous carbon
CA2157257C (en) * 1994-09-12 1999-08-10 Kazuhiko Endo Semiconductor device with amorphous carbon layer and method of fabricating the same
US5948570A (en) * 1995-05-26 1999-09-07 Lucent Technologies Inc. Process for dry lithographic etching
US5773199A (en) * 1996-09-09 1998-06-30 Vanguard International Semiconductor Corporation Method for controlling linewidth by etching bottom anti-reflective coating
US6039621A (en) * 1997-07-07 2000-03-21 Candescent Technologies Corporation Gate electrode formation method
US6095883A (en) * 1997-07-07 2000-08-01 Candlescent Technologies Corporation Spatially uniform deposition of polymer particles during gate electrode formation
US6475704B1 (en) * 1997-09-12 2002-11-05 Canon Kabushiki Kaisha Method for forming fine structure
US6143476A (en) * 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
US6037265A (en) * 1998-02-12 2000-03-14 Applied Materials, Inc. Etchant gas and a method for etching transistor gates
US5994235A (en) * 1998-06-24 1999-11-30 Lam Research Corporation Methods for etching an aluminum-containing layer
US6114250A (en) * 1998-08-17 2000-09-05 Lam Research Corporation Techniques for etching a low capacitance dielectric layer on a substrate
JP2000098116A (en) * 1998-09-18 2000-04-07 Canon Inc Element or manufacture of mold for manufacturing element
US6251217B1 (en) * 1999-01-27 2001-06-26 Applied Materials, Inc. Reticle adapter for a reactive ion etch system
JP3437517B2 (en) * 1999-02-16 2003-08-18 キヤノン株式会社 Manufacturing method of two-dimensional phase type optical element
JP2000260686A (en) * 1999-03-08 2000-09-22 Toshiba Corp Exposure method and aligner
KR100307629B1 (en) * 1999-04-30 2001-09-26 윤종용 Method for forming and applicating a anti reflective film using hydrocarbon based gas
US6472107B1 (en) * 1999-09-30 2002-10-29 Photronics, Inc. Disposable hard mask for photomask plasma etching
JP3974319B2 (en) * 2000-03-30 2007-09-12 株式会社東芝 Etching method
US6420088B1 (en) * 2000-06-23 2002-07-16 International Business Machines Corporation Antireflective silicon-containing compositions as hardmask layer
JP2002072445A (en) * 2000-09-04 2002-03-12 Dainippon Printing Co Ltd Halftone phase shift photomask and blank for the same
US6599666B2 (en) * 2001-03-15 2003-07-29 Micron Technology, Inc. Multi-layer, attenuated phase-shifting mask
WO2003021659A1 (en) * 2001-09-04 2003-03-13 Applied Materials, Inc. Methods and apparatus for etching metal layers on substrates
TW567394B (en) * 2001-10-22 2003-12-21 Unaxis Usa Inc Apparatus for processing a photomask, method for processing a substrate, and method of employing a plasma reactor to etch a thin film upon a substrate
US6730454B2 (en) * 2002-04-16 2004-05-04 International Business Machines Corporation Antireflective SiO-containing compositions for hardmask layer

Also Published As

Publication number Publication date
WO2003089990A3 (en) 2004-06-10
US20040000535A1 (en) 2004-01-01
WO2003089990A2 (en) 2003-10-30

Similar Documents

Publication Publication Date Title
US7682518B2 (en) Process for etching a metal layer suitable for use in photomask fabrication
TWI391987B (en) Methods for processing a photolithographic reticle
US7371485B2 (en) Multi-step process for etching photomasks
TWI302716B (en) Methods for etching photolithographic reticles
TWI375991B (en) Method for multi-layer resist plasma etch
US20060163203A1 (en) Methods and apparatus for etching metal layers on substrates
KR101333744B1 (en) Method for processing a photolithographic reticle
JP2006215552A (en) Method for plasma etching chromium layer suitable for photomask fabrication
JP2006215552A5 (en)
US6391790B1 (en) Method and apparatus for etching photomasks
JPH10116824A (en) Metal silicide etching method having high polysilicon selectivity
JP2004503829A (en) Method and apparatus for etching a metal layer on a substrate
US20030003374A1 (en) Etch process for photolithographic reticle manufacturing with improved etch bias
TW200401946A (en) Process for etching photomasks
US7115523B2 (en) Method and apparatus for etching photomasks
US20040242005A1 (en) Method of etching metal layers
US10607852B2 (en) Selective nitride etching method for self-aligned multiple patterning
KR20010080994A (en) Method for residue-free anisotropic etching of aluminum and its alloys