JPH10116823A - メタルポリサイド構造体のエッチング方法 - Google Patents

メタルポリサイド構造体のエッチング方法

Info

Publication number
JPH10116823A
JPH10116823A JP9196305A JP19630597A JPH10116823A JP H10116823 A JPH10116823 A JP H10116823A JP 9196305 A JP9196305 A JP 9196305A JP 19630597 A JP19630597 A JP 19630597A JP H10116823 A JPH10116823 A JP H10116823A
Authority
JP
Japan
Prior art keywords
etching
plasma
substrate
polysilicon
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP9196305A
Other languages
English (en)
Inventor
Shaoher Pan
パン シャオハー
Songlin Xu
シュー ソングリン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH10116823A publication Critical patent/JPH10116823A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3345Problems associated with etching anisotropy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3346Selectivity

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Drying Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

(57)【要約】 【課題】メタルシリサイド層とポリシリコン層とを備え
るポリサイド構造体を、実質的に異方的に且つ高いエッ
チング選択性でエッチングする。 【解決手段】基板をプラズマ領域に配置し、このプラズ
マ領域に、塩素と、酸素とを備え、随意ヘリウム等の不
活性ガスを備えるプロセスガスを導入する。このプロセ
スガスからプラズマを生成し、ポリシリコン層に対する
メタルシリサイド層のエッチング選択性を高く与え、且
つ、メタルシリサイド層とポリシリコン層に対して実質
的に異方的なエッチングを与える。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、半導体基板上のポ
リサイド構造体をエッチングするための方法に関する。
【0002】
【従来の技術】集積回路の製造工程では、高融点金属の
珪化物(シリサイド)、例えば、タングステンシリサイ
ド、タンタルシリサイド、チタンシリサイドやモリブデ
ンシリサイド等を用いて、半導体基板上に形成されたデ
バイス同士を電気的に接続するための、高密度、高速、
高電導のインターコネクト(相互接続)の表面形状部や
ラインを形成する。例えば、WSiX層を二酸化珪素の
上に堆積し、集積回路のためのゲート電極として機能さ
せることができる。しかし、珪化物(シリサイド)と酸
化物(オキサイド)の界面では、SiO2層から金属珪
化物(メタルシリサイド)へ珪素が拡散することによ
り、許容できない程度の高い電気抵抗を有するようにな
る。メタルシリサイド層に対する拡散の問題を低減する
ために、「ポリサイド」スタックが開発された。図1a
〜図1dを参照すれば、代表的な半導体基板20は、高
融点金属珪化物層22又は22a、22b(これらを互
換的に用いて金属珪化物層を示すことにする)を備え、
これらは、ドープポリシリコン層ないしノンドープポリ
シリコン層24又は24a、24b(これらを互換的に
用いてポリシリコン層を示すことにする)の上に堆積し
たものである。ポリサイド構造体は、基板20上の二酸
化珪素層26又は26a、26b(これらを互換的に用
いて二酸化珪素層を示すことにする)の上に形成され、
優れた界面特性を有する低電気抵抗の相互接続部(イン
ターコネクト)を提供する。
【0003】ポリサイドインターコネクトを作製する従
来からの方法では、ポリシリコン層24の上に金属珪化
物層22を堆積させる。例えば、Telford らの米国特許
第5,500,249号では、六弗化タングステンとジ
クロロシランのプラズマ励起化学気相堆積(PECV
D:plasma enhanced chemical vapor deposition)に
より、ポリシリコン上にWSiXを堆積させる化学気相
堆積(CVD)プロセスが開示されている。金属珪化物
層22を堆積させた後、従来からのフォトリソグラフィ
ープロセスを用いて、ポリサイド層の上にフォトレジス
ト層を塗布し、光に曝露して所望のインターコネクト表
面形状のパターンを形成する。パターニングされたレジ
スト30は、従来のエッチングプロセスで用いるポリサ
イド構造体のエッチングのためのマスクとして機能し、
図1bに示されるように、所望の形状にエッチングされ
た表面形状40を与える。その後、堆積プロセスとエッ
チングプロセスを繰り返すことにより、図1c、図1d
に示されるように、更にポリサイドスタックの各層を形
成することができる。
【0004】従来からのエッチングプロセスでは、ハロ
ゲン含有ガスの、マイクロ波又は容量によるプラズマを
用いて、ポリサイドスタック層をエッチングしている。
通常用いられる弗素化エッチャントガスにはCF4、S
6及びNF3が含まれ、塩素含有エッチャントガスには
Cl2やBCl3が含まれ、臭素含有ガスにはHBrが含
まれる。従来からのエッチングプロセスの問題点の1つ
に、メタルシリサイドをエッチングする場合に、ポリシ
リコンに対する選択性を高めつつ同時に、良好なプロフ
ァイルマイクロローディングを有する異方的エッチング
表面形状を形成することが、比較的困難なことがある。
エッチングにより形成した表面形状(エッチング表面形
状)40の断面プロファイルが、エッチング表面形状4
0同士間の間隔に応じて変化する場合に、高いプロファ
イルマイクロローディングがもたらされる。これは、狭
い間隔で形成されるパッシベーション堆積物(即ち、表
面形状の側壁に堆積し側壁がエッチングされるのを低減
する複合ポリマー副生成物)又は「高密度」の表面形状
が除去される際、比較的大きな距離で隔てられた表面形
状に対するエッチング速度よりも高い速度で除去される
場合に生じる。それが生じるのは、プラズマイオンが、
狭い間隔の表面形状の側壁と側壁の間にチャンネリング
されて側壁に反射し、この上にあるパッシベーション層
を過剰にエッチングするからである。これとは対称的
に、間隔が広い表面形状の側壁上にあるパッシベーショ
ン層のエッチングはこれほど高くはなく、それはチャン
ネリング効果が低いからである。これにより、プロファ
イルマイクロローディングが高くなり、表面形状40の
断面形状が表面形状間の間隔に関して変化するようにな
る。
【0005】エッチング選択比は、メタルシリサイド層
のエッチング速度の下方のポリシリコン層のエッチング
速度に対する比である。図1cに模式的に例示されてい
るような非平坦で非常に込み入った立体形状を有するポ
リサイド構造体に対して、エッチング選択比が高いこと
が特に望ましい。このような構造体では、共形なメタル
シリサイド層22bのうち矢印Aで示されるようなエッ
チング表面形状とエッチング表面形状の間の部分の方
が、メタルシリサイド層22bのうち矢印Bで示される
ようなエッチング表面形状の上部上の部分よりも厚くな
っている。従って、エッチングプロセス中のある時刻で
は、部分Bにおいてはメタル層をエッチングで貫通して
その下のポリシリコン層のエッチングが開始している
が、そのとき部分Aでは、厚いメタルシリサイド層22
bはまだエッチングを受けている最中である。係る効果
を得るには、部分Bにおいてポリシリコン層24bのエ
ッチングがシリサイド層のエッチング速度に較べて十分
ゆっくりと進み、部分Aの込み入ったメタルシリサイド
層22bの厚い部分のエッチングが完了する前に、部分
Bのポリシリコン層24b全部がエッチングされきって
いないことが要求される。従って、メタルシリサイド層
22bのエッチングが、ポリシリコン層24bのエッチ
ングに較べて速い速度で為されることが望ましい。
【0006】異なる材料に対して、材料の相違に対する
プロセスガスの化学反応性の相違に応じて異なるエッチ
ング速度でエッチングするような組成のプロセスガスを
用いることにより、高いエッチング選択比が得られる。
しかし、ポリシリコンに対する高い選択比で、メタルシ
リサイドをエッチングすることは非常に困難であり、そ
れは、両方の材料とも珪素原子を含んでおり、従来から
用いられているほとんどのエッチャントプラズマがこの
珪素部分をエッチングしてガス状のSiClXやSiFX
を生成するからである。従って、エッチャントプラズマ
が、両者を化学的に区別しメタルシリサイド層22の方
をポリシリコン層24よりも高い速度で優先的にエッチ
ングすることは、困難である。また、ECRプロセスや
マイクロ波プラズマプロセス等のもっと化学反応性の高
いプラズマエッチングプロセスでは、エッチャントの分
離を更に進めて化学反応性を高くし、下記の如くシリサ
イド層を等方的にエッチングしてしまう。
【0007】また、ポリサイドのスタックをエッチング
し異方的にエッチングした表面形状40を形成すること
により、メタシリサイド層とポリシリコン層を実質的に
垂直にエッチングし、真っ直ぐな側壁48を有する表面
形状を与えることが望ましい。エッチング表面形状の側
壁48でエッチングが過剰に進むと、内向き又は外向き
に傾斜した壁となる有害な結果を与えることになる。あ
る種の異方性エッチングは、エッチャントガス中の分解
種同士が結合して複合的なポリマー副生成物を生成する
場合に生じ、これがエッチングされたばかりの表面形状
の40の側壁にパッシベーション層として堆積し側壁4
8をこれ以上エッチングしないように制限する働きをす
る。更に代表的には、異方的エッチングを得るには、
(基板20に対して垂直な電界にプラズマを作用させる
ことにより)指向性の強い運動エネルギーをエッチャン
トプラズマ内の荷電種に与え、これにより、プラズマ種
がエネルギーを得て縦のエッチング方向で入射し基板材
料を取り去る。しかし、異なる材料に対して高いエネル
ギーを与えられたプラズマにより同じエッチング速度で
スパッタエッチングをすれば、エッチング選択性を全く
又はほとんど制御できなくなる。このような理由から、
メタルシリサイドとポリシリコンのエッチングに関し
て、高いエッチング選択比で異方性エッチングを得るこ
とは困難である。
【0008】ポリサイド構造のエッチングには、HBr
を含有するガス組成もしばしば用いられる。例えば、1
993年3月9日発行の米国特許第5,192,702
号には、HBr、Cl2及びHe−O2を用いたポリシリ
コンの異方的エッチングの方法が開示されている。しか
し、HBrの蒸気圧が低いため、HBr汚染物やBr含
有粒子を基板20の上に凝縮させてしまう。汚染粒子は
雰囲気の湿気を吸収して臭化水素種を生成し、これが集
積回路チップの性能に影響を及ぼす。更に、典型的なH
Brガス組成の多くは、ポリシリコンの方をメタルシリ
サイドよりも速くエッチングし、例えば、WSiXのポ
リシリコンに対するエッチング選択比は典型的には、約
0.7:1である。
【0009】
【発明が解決しようとする課題】ポリサイド構造体を構
成する別々の層の材料をエッチングするために、別々の
プロセスガスを用いる多段階のプロセスを用いることも
あった。例えば、192年5月10日発行の米国特許第
5,094,712号には、(i)CHF3、CF4及び
不活性ガスを用いて酸化物をエッチングするステップ
と、(ii)He、O2及びSF6を用いてシリサイドを
エッチングするステップと、(iii)HBrとCl2
を用いてポリシリコンをエッチングするステップとを用
いてポリサイド構造体をエッチングする方法が開示され
ている。しかし、このような多段階のプロセスではプロ
セスのスループットを低下させてしまい、何故なら、連
続するエッチングのステップ毎にプロセスチャンバから
残留プロセスガスを排気しなければならないからであ
る。また、プロセスチャンバに多数のガス源を接続すれ
ば比較的コストが高くなる。更に、多段階ステップのエ
ッチングプロセスでは、エッチングステップのそれぞれ
の終点を正確に制御する必要があり、シリサイドのエッ
チングステップとポリシリコンのエッチングステップが
連続している場合は特に正確に制御する必要がある。
【0010】従って、ポリサイド構造体を高いエッチン
グ選択比でエッチングするためのエッチングプロセスを
有することが望ましく、特に、メタルシリサイド層をポ
リシリコンよりも速いエッチング速度でエッチングする
ためのエッチングプロセスが望ましい。更に、このエッ
チングプロセスが実質的に異方的なエッチングを与え、
また、基板表面全面にわたって均一なエッチング速度を
与えることが望ましい。また更に、エッチャントガスか
ら実質的にHBrを排除したエッチングガスを用い、ま
たシングルステップで行うことができることが望まし
い。
【0011】
【課題を解決するための手段】本発明は、メタルシリサ
イド層とポリシリコン層とを備えるポリサイド構造体
を、実質的に異方的に且つ高いエッチング選択性でエッ
チングするための方法に関する。この方法では、基板を
プラズマ領域に配置し、このプラズマ領域に、塩素と、
酸素とを備え、随意ヘリウム等の不活性ガスを備えるプ
ロセスガスを導入する。このプロセスガスからプラズマ
を生成し、ポリシリコン層に対するメタルシリサイド層
のエッチング選択性を高く与え、且つ、メタルシリサイ
ド層とポリシリコン層に対して実質的に異方的なエッチ
ングを与える。
【0012】エッチャントプラズマの形成には、誘導プ
ラズマ源(ソース)と容量プラズマ源(ソース)の組み
合わせを用いることが好ましく、例えば、インダクタコ
イルとプロセス電極とを備えたプロセスチャンバの如き
である。チャンバ内でプロセスガスはイオン化してプラ
ズマイオンを生成し、これは、(i)RF電流をソース
電力レベルでインダクタコイルに印加し、(ii)RF
電圧をバイアス電力レベルでプロセス電極に印加するこ
とにより、エネルギーをもって基板上に入射する。ソー
ス電力レベルとバイアス電力レベルの比である電力比P
rを選択して、選択性の高いエッチング及び実質的に異
方的なエッチングとする。
【0013】メタルシリサイドのエッチング速度がポリ
シリコンのエッチング速度の少なくとも0.5倍となる
ように、且つ、メタルシリサイド層とポリシリコン層が
エッチングを受けて形成された表面形状の側壁が、基板
表面に対して約88゜、更に好ましくは約90゜の角を
なすように、プラズマのガス組成と電力比Prを選択す
ることが好ましい。塩素のマスフローの酸素及びヘリウ
ムの合計のマスフローに対する比が、約3:1〜約2
0:1となることが好ましい。更に好ましくは、ソース
電力レベルとバイアス電力レベルの比である電力比Pr
を、少なくとも約2:1とする。
【0014】
【発明の実施の形態】本発明のエッチングプロセスは、
図1a〜1dに示されるようなメタルシリサイド層22
a、22bをその下のポリシリコン層24a、24bの
上に備えるポリサイドスタックを有する基板20に対し
て、高いエッチング選択性、良好なエッチング速度及び
異方性エッチングでエッチングするために有用である。
基板20は、あらゆる材料製であってよく、例えば、ガ
ラス、セラミック、メタル、ポリマー等、又はシリコン
ウエハやガリウム砒素ウエハ等の半導体ウエハであって
もよい。基板20上のメタルシリサイド層22は代表的
には、例えばタングステンシリサイド、タンタルシリサ
イド、チタンシリサイド、モリブデンシリサイドを、厚
さ約500オングストローム〜10000オングストロ
ームで備えている。メタルシリサイド層22の下のポリ
シリコン層24も、代表的には厚さ約500オングスト
ローム〜10000オングストロームである。このポリ
サイドスタックは、厚さ約1000オングストロームの
二酸化珪素層26の上に堆積している。
【0015】メタルシリサイド層22及びポリシリコン
層24はエッチングを施されて、基板20上に電気相互
接続(インターコネクト)ラインを形成する。代表的に
は、デュポン社の「RISTON」等のフォトレジスト
30を、厚さ約0.4〜約1.3ミクロンでメタルシリ
サイド層22の上に塗布し、従来からのリソグラフプロ
セス、即ち所望の表面形状40の配置に応じたマスクを
介した光のパターンにレジスト30を曝露して、メタル
シリサイド層及びポリシリコン層にエッチングで形成し
ようとする表面形状40を画する。エッチング表面形状
40のサイズは、代表的には、約0.1〜約10ミクロ
ンであり、更に代表的には、約0.2〜1ミクロン、表
面形状間の間隔は代表的には約0.1〜10ミクロンで
ある。エッチングプロセス中は、ポリマーパッシベーシ
ョン堆積物44が、以下に説明するように、エッチング
表面形状40の側壁48上に形成する。
【0016】メタルシリサイド層22及びポリシリコン
層24のエッチングは、例えば図2に模式的に例示され
ているような、米国カリフォルニア州サンタクララのア
プライドマテリアルズ社から市販されているディカップ
ルドプラズマソース「DPS」チャンバ等のプロセスチ
ャンバ50において行われる。このプロセスチャンバ5
0は、1996年2月2日出願の米国特許出願第08/
597,445号、標題「ハイブリッド形コンダクタ及
び多半径ドーム天井部を有するRFプラズマリアクタ」
や、1993年2月15日出願の米国特許出願第08/
389,889号にも記載されている。ここに示すプロ
セスチャンバ50の特定の具体例は、半導体基板20の
処理用に適したものであるが、発明を例示するためだけ
に与えられるものであり、本発明の範囲を制限するもの
ではない。例えば、本発明のエッチングプロセスは、あ
らゆる基板のエッチングに用いることができ、また、半
導体製造以外の製造プロセスに用いることができる。
【0017】本プロセスを行うに際し、チャンバ50を
0.1ミリトール未満の圧力に脱気し、基板20をチャ
ンバ内のプラズマ領域55内に配置する。エッチングプ
ロセスの最中は、機械式チャックや静電チャックを用い
て基板20を保持してもよく、このとき静電チャックで
はグルーブを備え、その中にヘリウム等のクーラントガ
スが保持され基板20の温度を調節する。基板20の温
度は約20℃〜80℃に保持される。支持体52の少な
くとも一部は導電性であり、プロセスカソード電極60
として作用する。カソード電極60は、アノード電極6
5として作用するアースされたチャンバ50の側壁と共
に、プラズマ領域65内のプロセス電極を成す。
【0018】プロセスガスが、基板20の周りを囲むよ
うに配置されたガスディストリビュータ70を介してチ
ャンバ50内に導入され、チャンバの圧力は約0.1〜
約100ミリトール、更に典型的には1〜50ミリトー
ルに維持される。電界をプラズマ領域内に結合させるプ
ラズマジェネレータを用いて、プロセスガスからプラズ
マを生成させる。プラズマジェネレータは、インダクタ
コイル75をプロセスチャンバ50に隣接して備えてい
てもよく、これは、コイル電力供給器76により供給さ
れるソース電力レベルの電流によって電力が与えられれ
ば、チャンバ内に誘導電界を形成する能力を有してい
る。好ましくは、RF電流がインダクタコイル75に印
加され、このとき好ましくは約400ワット〜約300
0ワットのソース電力レベルで印加される。
【0019】インダクタコイルに加えて、電極電力供給
器78により電力が供給されるカソード電極60及びア
ノード電極65を用いて、プロセスチャンバ50内に容
量電界を発生させる。代表的には約20〜約1000ワ
ットのバイアス電力レベルでRF電圧をカソード電極6
0に印加し、アノード電極65はアースされる。容量電
界は、基板20の面に垂直であり、誘導により形成した
プラズマ種を基板の方へと加速して、垂直方向の指向性
高く異方性エッチングを基板に施す。プロセス電極6
0、65及び/又はインダクタコイル75に印加するR
F電圧の周波数は、代表的には、約50kHz〜約60
MHzであり、更に代表的には約13.56MHzであ
る。
【0020】プロセスチャンバ50のプラズマ領域55
は、中心88と、この中心88を直に取り囲む少なくと
も10,000cm3、更に好ましくは10,000〜
約50,000cm3 の容量とを有している。チャンバ
50の天井部85は、平坦又は方形、弓形、円錐、ドー
ム状あるいは多半径ドーム状であってもよい。プロセス
チャンバ50は、基板20の上方の多半径ドーム状天井
部85を有して、プラズマ領域55の容量全体にわたっ
て均一なプラズマソース電力を与えることによりエッチ
ャントガスの分解を促進することが好ましく、これは例
えば、1996年2月5日出願の Ma らの米国特許出願
第08/596,960号、標題「多成分合金のエッチ
ングのためのプラズマプロセス」に記載されている如き
である。ドーム状天井部85は、基板近傍での分解イオ
ンの再結合による損失を、フラットな天井部における場
合に較べて低減するため、プラズマイオン密度は基板2
0全面に更に均一になる。これは、イオン再結合による
損失は天井部85に近いことにより影響を受けるからで
あり、ドーム状天井部はフラットな天井部よりもその中
心では距離があるからである。
【0021】プロセスチャンバ50の側壁80の周りを
取り囲むインダクタコイル75は、多半径のドーム状イ
ンダクタコイルであることが好ましく、これは「平坦化
された」ドーム形状を有することで、プラズマソース電
力を更に有効利用せしめ、また、基板中心の真上プラズ
マイオン密度を増大させる。これは、イオン密度がイン
ダクタコイル75近傍の局所的イオン化に影響を受ける
からであり、また、多半径インダクタコイルの方が、半
球コイルに較べて基板中心から近いからである。別の好
ましい具体例では、天井部85は多半径のドーム形状を
有し、中心半径Rとこの中心半径Rよりも小さなコーナ
ー半径rとを少なくとも有し、R/rが約2〜約10で
ある。
【0022】プラズマ領域55内に形成されるプラズマ
を、磁気励起リアクタを用いて励起してもよく、そこで
は、永久磁石や電磁コイル等の磁界発生器を用いてプラ
ズマ領域55内に磁界を印加し、プラズマの密度及び均
一性を高める。この磁界は、1989年6月27日発行
の米国特許第4,842,683号に記載されているよ
うに、基板20の面に平行に回転する磁界軸を有する回
転する磁界を備えていることが好ましい。チャンバ50
内の磁界は、プラズマ中のイオン密度を高めるに十分強
くあるべきであり、また、CMOSゲート等の表面形状
に対するチャージアップのダメージを低減する程度に十
分均一であるべきである。一般的には、基板表面上で測
定される磁界は、約500ガウス未満であり、更に典型
的には約10〜約100ガウス、最も典型的には約10
〜約30ガウスである。
【0023】プロセスチャンバ50内に最低圧力約10
-3ミリトールを実現する能力を有する排気システム95
を介して、プロセスチャンバ50から、消費したプロセ
スガス及びエッチャント副生成物が排気される。チャン
バ50内の圧力を調整するため、絞り弁100が具備さ
れる。また、終点測定技術をしばしば用いて、検出すべ
きガス種に対応した特定の波長の発光の変化を計測し
て、特定の層のエッチングプロセスが完了したことを測
定する。検出種(例えばプロセスガスと二酸化珪素層2
6の反応により生じる珪素種等)が突然に減少又は増加
すれば、それは、メタルシリサイド層22のエッチング
が完了しその下の層のエッチングが開始したことを示す
ものである。
【0024】本発明のエッチングプロセスは、高いエッ
チング速度を与え、また、ポリシリコンに対する高い選
択性をもってメタルシリサイドをエッチングする。この
エッチングプロセスで用いるプロセスガスは、(i)塩
素と、(ii)酸素と、随意(iii)不活性ガスとを
備えており、また、更に好ましくはこれらから成る。塩
素ガスはイオン化して、原子塩素及び塩素含有種を生成
し、これらは基板20条のメタルシリサイド22層及び
ポリシリコン層24をエッチングする。例えば、メタル
シリサイド層22及びポリシリコン層24は、塩素含有
イオン及び中性物によりエッチングを受けて、揮発性の
SiClXを生成することができ、これはチャンバ50
から排気される。ここで塩素ガスは、Cl2を備えてい
てもよく、あるいは、塩素と等価な塩素含有ガス、例え
ばHCl、BCl3やこれらの混合物を備えていてもよ
い。酸素ガスはイオン化により、酸素原子のイオンや励
起ラジカル並びに酸素含有種を生成し、これらは、メタ
ルシリサイド層22のエッチング速度を高め、同時に、
ポリシリコン層24及びレジスト層30のエッチング速
度を低減する。これは、ポリシリコン又はレジストに対
するメタルシリサイドエッチングのエッチング選択比を
高める作用をする。適切な酸素ガスには、例えばO2
3、及びその他の等価な酸素含有ガスが含まれる。
【0025】酸素に加えて、ヘリウム等の不活性ガスを
プロセスガスに加えてもよい。特に、ヘリウムを添加し
ても、ポリシリコンに対するメタルシリサイドのエッチ
ング選択性を変化させないようであることが見出されて
いる。しかし、ヘリウムのイオン化ポテンシャルが酸素
に較べて高いことにより、高い原子エネルギーをゆうす
る原子種を与え、これがエッチング速度を高め、異方性
エッチングを促進する。また、ヘリウムガスは希釈ガス
としての作用も有し、チャンバ内のプロセスガスの滞留
時間を低減して、プロファイルマイクロローディング効
果を著しく改善する。不活性ガスはヘリウムを備えてい
ることが好ましいが、他の不活性ガス、例えばキセノ
ン、アルゴン又はクリプトン等を備えていてもよい。
【0026】塩素、酸素及び不活性ガス(ヘリウム等)
の組み合わせは、メタルシリサイド層22のエッチング
速度を上げ且つその下のシリコン含有層(ポリシリコン
層24等)のエッチング速度を下げるという、予期せぬ
事が見出され、これは、プラズマバイアス電力レベルが
低くプラズマソース電力レベルが高い場合に顕著であ
る。しかし、酸素とヘリウムガスの流量が過剰に高くバ
イアス電力レベルが高い(又はソース電力レベルが低
い)場合は、エッチング表面形状上に形成されたパッシ
ベーション堆積物44を取り去ることにより、基板のエ
ッチングの等方性が高くなることがあり、また、ポリシ
リコンエッチング速度が過剰に低くなることがある。塩
素、酸素及びヘリウムガスから生成する、誘導及び容量
を組み合わせたプラズマにより、混合ガスをして、メタ
ルシリサイド層及びポリシリコン層をエッチングせし
め、材料の違いに応じて異なる速度で材料を除去すると
考えられている。これは、ポリシリコン層24のエッチ
ング速度をメタルシリサイド層22のエッチング速度に
較べて低下させる作用をする。このような理由から、酸
素とヘリウムガスの合計の流量を塩素ガスの流量よりも
低くすることにより、基板20を迅速にエッチングする
塩素含有種を十分に与えると同時に、シリサイド対シリ
コンのエッチング選択比を高くし、エッチングの異方性
を高くする。
【0027】Cl2−O2−Heプロセスガスで与えられ
る予期せぬ結果は、図3〜8に例証されており、これら
の図は、WSiXを含むメタルシリサイド層、ポリシリ
コン及び二酸化珪素のエッチング速度に対しての、酸素
及びヘリウムの流量、バイアス及びソース電力レベル及
び圧力の影響を示す。図3a〜3cは、プラズマバイア
ス電力レベルとHe−O2流量を増加させたときの、W
SiXエッチング速度、ポリシリコンエッチング速度及
びWSiX対ポリシリコンのエッチング選択性を、順に
表している。酸素及びヘリウムの流量を上げることによ
り、プラズマバイアス電力レベルが低い場合でのWSi
X対ポリシリコンのエッチング選択性を上昇させ、プラ
ズマバイアス電力レベルが高い場合でのエッチング選択
性を低下させることがことが観測される。図4a〜4c
では、圧力及びソース電力を上げた場合のWSiXのエ
ッチング速度、ポリシリコンエッチング速度及びWSi
X対ポリシリコンのエッチング選択性を示す。バイアス
電力レベルの効果とは対称的に、ガス圧力を上げること
により、プラズマソース電力レベルが低い場合のWSi
X対ポリシリコンのエッチング選択性を低下させること
が観測される。また、驚くべき事に、ソース電力レベル
が高い場合にシリサイド対ポリシリコンのエッチング選
択性は、圧力の増加に対して、増加するかあるいは一定
を維持する。従って、酸素及びヘリウムを塩素に加える
ことにより、両方の材料には、WSiXエッチング速度
を上げることができ、同時に、ポリシリコンエッチング
速度を下げることになる。これにより、WSiX のエッ
チングのポリシリコンに対するエッチング選択比を増加
させ、同時に、高いWSiXエッチング速度を与えるこ
ととなり、この両者の組み合わせは非常に望ましい。
【0028】図5a〜6bは、二酸化珪素エッチング速
度と、ポリシリコン対二酸化珪素のエッチング選択性
を、バイアス電力及びHe−O2流量の上昇(図5a〜
5b)、圧力及びソース電力の上昇(図6a〜6b)に
対して表す。一般には、プラズマバイアス電力レベルを
上げれば二酸化珪素のエッチング速度は下がる。更に、
二酸化珪素エッチング速度は、低圧ではプラズマソース
電力レベルを上げれば上昇し、高圧ではプラズマソース
電力レベルを上げれば低下する。ポリシリコン対二酸化
珪素のエッチング選択性は、約5:1〜約160:1で
ある。
【0029】図7a〜8bは、フォトレジストエッチン
グ速度と、ポリシリコン対フォトレジストのエッチング
選択性を、バイアス電力及びHe−O2流量の上昇(図
7a〜7b)、圧力及びソース電力の上昇(図8a〜8
b)に対して表す。ポリシリコン対フォトレジストのエ
ッチング選択性は、プラズマバイアス電力レベルを上げ
れば低下し、プラズマソースレベルを上げれば上昇す
る。この現象が生じるのは、プラズマバイアス電力レベ
ルが高い場合にスパッタエッチング効果が大きくなるこ
とにより、フォトレジストの除去速度が上昇し、同時
に、プラズマソース電力のレベルが高い場合に化学的エ
ッチングの効果が大きくなることにより、この化学的エ
ッチング効果が更に大きくなりエッチング選択性が大き
くなるからであると、考えられる。平均のポリシリコン
対フォトレジストのエッチング選択性は、約1:1〜約
3:1である。
【0030】従って、様々なプロセスガスの構成の組成
及びマスフロー比を選択して、メタルシリサイド層22
の方をポリシリコン層24よりも速くエッチングできる
ようにし、好ましくはエッチング選択比を少なくとも約
1:1、更に好ましくは少なくとも約1:2となるよう
にする。また、プロセスガスのマスフロー比を選択し
て、異方的エッチングにより形成した表面形状の側壁4
8が、スムーズな面を有し且つ基板20の面と少なくと
も約88゜の角度(α)(更に好ましい角度は約88゜
〜約90゜)をなすようにする。プロセスガス中の酸素
及びヘリウムの含有量が過剰になれば、エッチングの等
方性が高くなって、エッチング表面形状がアンダーカッ
ト部分を有するようになり、また、酸素及びヘリウムの
含有量が低くなれば、且つ圧力が低くなれば、エッチン
グ表面形状にテーパー状のプロファイルを与えてしま
う。塩素のマスフロー対酸素とヘリウムの合計のマスフ
ローの比は、好ましくは約3:1〜約20:1,更に好
ましくは約7:1〜約13:1である。ヘリウム対酸素
のマスフロー比は、好ましくは約0.25:1〜約1
5:1、更に好ましくは約1:1〜約5:1である。市
販のヘリウムと酸素のプリミックス混合ガス(ヘリウム
対酸素の比は約3:1)を用いることが好ましい。
【0031】ここに記載したプロセスチャンバのサイズ
に対しては、プロセスガスの総流量は代表的には約1〜
約1000sccm、更に代表的には約25〜約300
sccmである。Cl2の流量は約20〜約500sc
cmであることが好ましく、O2の流量は約2〜約10
0sccmであることが好ましく、Heの流量は約2〜
約100sccmであることが好ましい。好ましくは、
プレミックスの酸素及びヘリウムガスを、流量約2sc
cm〜60で用いる。ここで、流量はプロセスチャンバ
のサイズに依存し、異なるサイズのプロセスチャンバに
対する等価な流量は本発明の範囲の中にまで及ぶと理解
されるべきである。
【0032】また、(インダクタコイル75への)ソー
ス電流電力レベルと(プロセス電極60、65への)バ
イアス電圧電力レベルとの電力比Prを選択して、エッ
チャントプラズマがメタルシリサイド層22に対して、
ポリシリコン層24、二酸化珪素層26及びレジスト層
30に対する選択性が高く異方的にエッチングを行える
ようにする。インダクタコイル75に印加するソース電
力レベルを上げれば、分解エッチャント種の量を増加さ
せ、エッチングの等方性を高くしてしまう。対称的に、
プロセス電極60、65に印加するバイアス電力レベル
を上げれば、プラズマへの運動衝突エネルギー成分が高
くなることから、エッチングの異方性が高くなる。更
に、電力比がPrが過剰に高くなれば、基板のスパッタ
リングが生じる結果、基板のエッチングが非均一とな
る。逆に、電力比が低い場合は、エッチャントガスの分
解イオンへの分解が不充分になる結果、エッチング速度
とエッチング選択性が低くなる。好ましい電力比Pr
は、少なくとも約2:1、更に好ましくは約2:1〜約
20:1であることが見出された。更に好ましくは、プ
ラズマの生成は、プラズマ領域55を取り囲むインダク
タコイル75に、約400〜3000ワットのソース電
力レベルで電流を印加することにより行われ、プラズマ
は、プラズマ領域内のプロセス電極60、65に約20
〜1000ワットの電力レベルの電圧を印加することに
より、基板20に引きつけられる。
【0033】また、基板20の温度もメタルシリサイド
対ポリシリコンのエッチング選択性に影響を与え、高温
ではエッチング選択性を高める。従って、基板を保持す
る支持体は、約20℃〜80℃の範囲の温度に維持され
ることが好ましい。
【0034】以下の例は、本発明を半導体基板上のメタ
ルシリサイド層のエッチングに用いることを例示するも
のである。しかし、この装置と方法は、当業者に自明で
あるような他の用途にも使用可能であり、本発明の範囲
を、ここに与えられる例示のための実施例に制限するべ
きではない。
【0035】ここでの実施例では、直径200mm(8
インチ)のシリコン基板に対してエッチングプロセスを
行った。基板はそれぞれ、(i)厚さ2000オングス
トロームのWSiXの上層と、(ii)厚さ2000オ
ングストロームのリンドープポリシリコン層と、(ii
i)単結晶シリコン基板上に堆積した1000オングス
トロームのゲート酸化物SiO2の下層とを備えてい
た。基板上のWSiX層は、Si:Wの比が約2.1:
1又は約2.96:1のいずれかであり、ポリシリコン
層又はノンドープポリシリコン層の何れかを備えてい
た。Si:Wの比を変えることにより、Si:Wの比を
変えた場合のエッチング速度の変化を測定した。基板の
エッチングは、上述の構成を有する「DPS」プロセス
チャンバにおいて行われ、このチャンバの支持体52は
温度65℃に維持された。
【0036】エッチングを行ったウエハのSEM写真を
用いて、(i)メタルシリサイド又はポリシリコンのエ
ッチング速度、(ii)メタルシリサイドのポリシリコ
ンに対するエッチング選択比、(iii)側壁48のプ
ロファイル角、を測定した。エッチング速度の算出は、
SEM写真で観測したエッチング表面形状40の高さ又
は深さを測定して行った。エッチング選択比の算出は、
メタルシリサイド層のエッチング速度とポリシリコン層
24のエッチング速度の比から行った。
【0037】実施例1及び2では、Cl2を128sc
cmとHe−O2を13sccmとを備えたプロセスガ
スを用いて、従来技術のエッチングプロセスのエッチン
グ性能を例証した。これら実施例の双方では、インダク
タコイルに印加した電流の電力レベルは685ワットで
あり、プロセス電極に印加したバイアス電圧の電力レベ
ルは80ワットであり、電力比Prは少なくとも8:1
で与えられる。実施例1では圧力は9ミリトールに維持
され、実施例2では圧力は4ミリトールに維持された。
また、実施例1では、基板上のWSiX層のSi:Wの
比は約2.1:1であり、実施例2では、基板上のWS
X層のSi:Wの比は約2.98:1であった。
【0038】図9は、実施例1及び2のWSiX膜のS
i:Wの比を上げた場合の、WSiX膜のドープポリシ
リコンに対するエッチング選択比を示す。Cl2/O2
Heのプロセスガスが、Si:Wの化学量論比の全範囲
にわたって、エッチング選択比約0.5〜0.7の比較
的均一なエッチング選択比を与えることが観測された。
図10は、実施例1及び2に対して、WSiX膜のS
i:Wの比を上げた場合のWSiX膜のノンドープポリ
シリコンに対するエッチング選択比を示す。Cl2/O2
/Heのプロセスガスが、Si:Wの化学量論比の全範
囲にわたって、エッチング選択比約1〜2の比較的均一
なエッチング選択比を与えることが観測された。ドープ
ポリシリコン及びノンドープポリシリコンの双方におい
て、広い範囲のSi:Wにわたってエッチング選択比を
均一にすることにより、Si:Wの比を変化する場合に
対しても、WSiX層のエッチングに対してプロセスの
再現性を与える。
【0039】ここまで好ましい具体例に関して詳細に本
発明を説明してきたが、他の態様も可能である。従っ
て、特許請求の範囲はここに含まれる好ましい態様の説
明に制限されるべきではない。
【図面の簡単な説明】
【図1】1aは、半導体基板上のポリシリコン層の上に
堆積したメタルシリサイド層を備えるポリサイドスタッ
クの縦断面図であり、1bは、メタルシリサイド層とポ
リシリコン層をエッチングした後の実質的に異方的なエ
ッチング表面形状を示す、図1aの基板の縦断面図であ
り、1cは、基板上のポリシリコン層の上に堆積したメ
タルシリサイド層の非平坦で非常に込み入った立体形状
をの縦断面図であり、1dは、メタルシリサイド層とポ
リシリコン層をエッチングした後の実質的に異方的なエ
ッチング表面形状を示す、図1cの基板の縦断面図であ
る。
【図2】本発明のエッチプロセスの実行に適するプロセ
スチャンバの縦断面図である。
【図3】3a〜3cは、バイアス電力とHe−O2流量
を上げた場合の、WSiXエッチング速度(3a)、ポ
リシリコンエッチング速度(3b)及びWSiX対ポリ
シリコンのエッチング選択比(3c)を示すグラフであ
る。
【図4】4a〜4cは、圧力とソース電力を上げた場合
の、WSiXエッチング速度(4a)、ポリシリコンエ
ッチング速度(4b)及びWSiX対ポリシリコンのエ
ッチング選択比(4c)を示すグラフである。
【図5】5a〜5bは、バイアス電力とHe−O2流量
を上げた場合の、二酸化珪素エッチング速度(5a)、
二酸化珪素対ポリシリコンのエッチング選択比(5b)
を示すグラフである。
【図6】6a〜6bは、圧力とソース電力を上げた場合
の、二酸化珪素エッチング速度(6a)、二酸化珪素対
ポリシリコンのエッチング選択比(6b)を示すグラフ
である。
【図7】7a〜7bは、バイアス電力とHe−O2流量
を上げた場合の、フォトレジストエッチング速度(7
a)、ポリシリコン対フォトレジストのエッチング選択
比(7b)を示すグラフである。
【図8】8a〜8bは、圧力とソース電力を上げた場合
の、フォトレジストエッチング速度(8a)、ポリシリ
コン対フォトレジストのエッチング選択比(8b)を示
すグラフである。
【図9】WSiX膜のSi:Wの比を上げた場合の、W
SiX膜のドープポリシリコンに対するエッチング選択
比を示すグラフである。
【図10】WSiX膜のSi:Wの比を上げた場合のW
SiX膜のノンドープポリシリコンに対するエッチング
選択比を示すグラフである。
【符号の説明】 22…シリサイド層、24…ポリシリコン層、26…二
酸化珪素層、30…フォトレジスト、40…表面形状、
44…パッシベーション層、50…チャンバ、52…支
持体、55…プラズマ領域、60…プロセスカソード電
極、65…プロセスアノード電極、75…インダクタコ
イル、80…側壁、85…天井部、95…排気システ
ム、100…絞り弁。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ソングリン シュー アメリカ合衆国, カリフォルニア州, サン ノゼ, カントリーブルック スト リート 2443

Claims (6)

    【特許請求の範囲】
  1. 【請求項1】 シリコンウエハ又はその他の基板により
    支持されるメタルシリサイド層又はポリシリコン層を選
    択的にエッチングするための方法であって、該方法は、
    プロセス電極とインダクタコイルとを有するプロセスチ
    ャンバ内に基板を配置するステップと、塩素と酸素とヘ
    リウムとを備えるプロセスガスをプロセスチャンバ内に
    導入するステップと、該プロセスガスからプラズマを発
    生させるステップとを備え、 400〜3000ワットのソース電力レベルでRF電流
    をインダクタコイルに印加することにより、また、ソー
    ス電力レベル対バイアス電力レベルの電力比Prが少な
    くとも2:1として、該バイアス電力レベルでRF電圧
    をプロセス電極に印加することにより、プロセスガスを
    イオン化してプラズマイオンを生成し、これがエネルギ
    ーをもって基板に入射し、基板に支持される層を選択的
    にエッチングする方法。
  2. 【請求項2】 該電力比Prが20:1未満である請求
    項1に記載の方法。
  3. 【請求項3】 該電力比Prが少なくとも8:1である
    請求項2に記載の方法。
  4. 【請求項4】 プロセスガスが本質的に、Cl2と、O2
    と、Heとから成る請求項1〜3のいずれかに記載の方
    法。
  5. 【請求項5】(1)プロセスチャンバが圧力0.1〜1
    00ミリトールに維持され、又は (2)ヘリウム対酸素のマスフロー比が0.25:1〜
    15:1であり、又は、 (3)塩素対酸素のマスフロー比が3:1〜20:1で
    ある請求項1〜4のいずれかに記載の方法。
  6. 【請求項6】 400〜3000ワットの電力レベルで
    電流をインダクタコイルに印加することにより、また、
    20〜1000ワットの電力レベルで電圧をプロセス電
    極に印加することにより、プラズマを生成する請求項1
    〜5のいずれかに記載の方法。
JP9196305A 1996-06-17 1997-06-17 メタルポリサイド構造体のエッチング方法 Withdrawn JPH10116823A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/665,657 US6008139A (en) 1996-06-17 1996-06-17 Method of etching polycide structures
US08/665657 1996-06-17

Publications (1)

Publication Number Publication Date
JPH10116823A true JPH10116823A (ja) 1998-05-06

Family

ID=24671017

Family Applications (1)

Application Number Title Priority Date Filing Date
JP9196305A Withdrawn JPH10116823A (ja) 1996-06-17 1997-06-17 メタルポリサイド構造体のエッチング方法

Country Status (6)

Country Link
US (1) US6008139A (ja)
EP (1) EP0814500B1 (ja)
JP (1) JPH10116823A (ja)
KR (1) KR980005800A (ja)
DE (1) DE69724192T2 (ja)
TW (1) TW345682B (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002532899A (ja) * 1998-12-17 2002-10-02 ラム リサーチ コーポレイション 損傷の少ないトランジスタデバイスを達成する高密度プラズマエッチング装置の稼働方法

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6231776B1 (en) 1995-12-04 2001-05-15 Daniel L. Flamm Multi-temperature processing
KR100440418B1 (ko) 1995-12-12 2004-10-20 텍사스 인스트루먼츠 인코포레이티드 저압,저온의반도체갭충전처리방법
WO1999067817A1 (en) 1998-06-22 1999-12-29 Applied Materials, Inc. Silicon trench etching using silicon-containing precursors to reduce or avoid mask erosion
US6074954A (en) * 1998-08-31 2000-06-13 Applied Materials, Inc Process for control of the shape of the etch front in the etching of polysilicon
US6306732B1 (en) * 1998-10-09 2001-10-23 Advanced Micro Devices, Inc. Method and apparatus for simultaneously improving the electromigration reliability and resistance of damascene vias using a controlled diffusivity barrier
US6299788B1 (en) * 1999-03-29 2001-10-09 Mosel Vitelic Inc. Silicon etching process
US6379574B1 (en) * 1999-05-03 2002-04-30 Applied Materials, Inc. Integrated post-etch treatment for a dielectric etch process
KR100292412B1 (ko) * 1999-07-14 2001-06-01 윤종용 폴리실리콘막에 대한 금속 실리사이드막의 식각선택비를 증가시키는 방법 및 이를 이용한 폴리실리콘막과 금속 실리사이드막의 적층막 식각방법
JP2001035808A (ja) * 1999-07-22 2001-02-09 Semiconductor Energy Lab Co Ltd 配線およびその作製方法、この配線を備えた半導体装置、ドライエッチング方法
US6242362B1 (en) * 1999-08-04 2001-06-05 Taiwan Semiconductor Manufacturing Company Etch process for fabricating a vertical hard mask/conductive pattern profile to improve T-shaped profile for a silicon oxynitride hard mask
US6548414B2 (en) * 1999-09-14 2003-04-15 Infineon Technologies Ag Method of plasma etching thin films of difficult to dry etch materials
US6486069B1 (en) 1999-12-03 2002-11-26 Tegal Corporation Cobalt silicide etch process and apparatus
KR20010112294A (ko) * 1999-12-30 2001-12-20 롤페스 요하네스 게라투스 알베르투스 헬륨 지원 에칭을 이용한 반도체 제조 방법
US6300251B1 (en) * 2000-02-10 2001-10-09 Chartered Semiconductor Manufacturing Ltd. Repeatable end point method for anisotropic etch of inorganic buried anti-reflective coating layer over silicon
US6507155B1 (en) 2000-04-06 2003-01-14 Applied Materials Inc. Inductively coupled plasma source with controllable power deposition
KR100390040B1 (ko) * 2001-04-06 2003-07-04 주식회사 하이닉스반도체 반도체소자의 듀얼게이트 제조방법
TW586335B (en) * 2001-10-31 2004-05-01 Applied Materials Inc Plasma etch reactor with dual sources for enhancing both etch selectivity and etch rate
US6590344B2 (en) * 2001-11-20 2003-07-08 Taiwan Semiconductor Manufacturing Co., Ltd. Selectively controllable gas feed zones for a plasma reactor
AU2002367178A1 (en) * 2001-12-27 2003-07-15 Kabushiki Kaisha Toshiba Etching method and plasma etching device
US7109122B2 (en) * 2002-11-29 2006-09-19 Tokyo Electron Limited Method and apparatus for reducing substrate charging damage
KR100638983B1 (ko) * 2004-12-15 2006-10-26 동부일렉트로닉스 주식회사 금속-절연체-금속 커패시터의 제조 방법
KR100643570B1 (ko) * 2005-06-28 2006-11-10 주식회사 하이닉스반도체 반도체 소자 제조 방법
US7758763B2 (en) * 2006-10-31 2010-07-20 Applied Materials, Inc. Plasma for resist removal and facet control of underlying features
CN103832965B (zh) * 2012-11-23 2017-02-08 北京北方微电子基地设备工艺研究中心有限责任公司 基片刻蚀方法
JP2015079793A (ja) * 2013-10-15 2015-04-23 東京エレクトロン株式会社 プラズマ処理方法
US10643858B2 (en) 2017-10-11 2020-05-05 Samsung Electronics Co., Ltd. Method of etching substrate

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4411734A (en) * 1982-12-09 1983-10-25 Rca Corporation Etching of tantalum silicide/doped polysilicon structures
US4460435A (en) * 1983-12-19 1984-07-17 Rca Corporation Patterning of submicrometer metal silicide structures
US4490209B2 (en) * 1983-12-27 2000-12-19 Texas Instruments Inc Plasma etching using hydrogen bromide addition
US4918031A (en) * 1988-12-28 1990-04-17 American Telephone And Telegraph Company,At&T Bell Laboratories Processes depending on plasma generation using a helical resonator
JP2926864B2 (ja) * 1990-04-12 1999-07-28 ソニー株式会社 銅系金属膜のエッチング方法
JP3004699B2 (ja) * 1990-09-07 2000-01-31 東京エレクトロン株式会社 プラズマ処理方法
US5094712A (en) * 1990-10-09 1992-03-10 Micron Technology, Inc. One chamber in-situ etch process for oxide and conductive material
US5211804A (en) * 1990-10-16 1993-05-18 Oki Electric Industry, Co., Ltd. Method for dry etching
US5167762A (en) * 1991-01-02 1992-12-01 Micron Technology, Inc. Anisotropic etch method
US5431772A (en) * 1991-05-09 1995-07-11 International Business Machines Corporation Selective silicon nitride plasma etching process
JP3210359B2 (ja) * 1991-05-29 2001-09-17 株式会社東芝 ドライエッチング方法
US5192702A (en) * 1991-12-23 1993-03-09 Industrial Technology Research Institute Self-aligned cylindrical stacked capacitor DRAM cell
KR0164618B1 (ko) * 1992-02-13 1999-02-01 이노우에 쥰이치 플라즈마 처리방법
US5256245A (en) * 1992-08-11 1993-10-26 Micron Semiconductor, Inc. Use of a clean up step to form more vertical profiles of polycrystalline silicon sidewalls during the manufacture of a semiconductor device
US5262352A (en) * 1992-08-31 1993-11-16 Motorola, Inc. Method for forming an interconnection structure for conductive layers
JP3326644B2 (ja) * 1993-11-16 2002-09-24 ソニー株式会社 シリコン系材料層の加工方法
JP2907314B2 (ja) * 1993-12-30 1999-06-21 日本電気株式会社 半導体装置の製造方法
JP3365067B2 (ja) * 1994-02-10 2003-01-08 ソニー株式会社 プラズマ装置およびこれを用いたプラズマ処理方法
US5437765A (en) * 1994-04-29 1995-08-01 Texas Instruments Incorporated Semiconductor processing
DE69506619T2 (de) * 1994-06-02 1999-07-15 Applied Materials, Inc., Santa Clara, Calif. Induktiv gekoppelter Plasmareaktor mit einer Elektrode zur Erleichterung der Plasmazündung
US5779926A (en) * 1994-09-16 1998-07-14 Applied Materials, Inc. Plasma process for etching multicomponent alloys
US5783101A (en) * 1994-09-16 1998-07-21 Applied Materials, Inc. High etch rate residue free metal etch process with low frequency high power inductive coupled plasma
US5607542A (en) * 1994-11-01 1997-03-04 Applied Materials Inc. Inductively enhanced reactive ion etching
US5591301A (en) * 1994-12-22 1997-01-07 Siemens Aktiengesellschaft Plasma etching method
US5880033A (en) * 1996-06-17 1999-03-09 Applied Materials, Inc. Method for etching metal silicide with high selectivity to polysilicon
US5866483A (en) * 1997-04-04 1999-02-02 Applied Materials, Inc. Method for anisotropically etching tungsten using SF6, CHF3, and N2

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002532899A (ja) * 1998-12-17 2002-10-02 ラム リサーチ コーポレイション 損傷の少ないトランジスタデバイスを達成する高密度プラズマエッチング装置の稼働方法

Also Published As

Publication number Publication date
DE69724192T2 (de) 2004-06-17
EP0814500A3 (en) 1998-09-09
EP0814500A2 (en) 1997-12-29
KR980005800A (ko) 1998-03-30
TW345682B (en) 1998-11-21
DE69724192D1 (de) 2003-09-25
US6008139A (en) 1999-12-28
EP0814500B1 (en) 2003-08-20

Similar Documents

Publication Publication Date Title
US6008139A (en) Method of etching polycide structures
US5866483A (en) Method for anisotropically etching tungsten using SF6, CHF3, and N2
US5779926A (en) Plasma process for etching multicomponent alloys
US6583065B1 (en) Sidewall polymer forming gas additives for etching processes
US5880033A (en) Method for etching metal silicide with high selectivity to polysilicon
US6037265A (en) Etchant gas and a method for etching transistor gates
US5843847A (en) Method for etching dielectric layers with high selectivity and low microloading
JP4579611B2 (ja) ドライエッチング方法
JP3215151B2 (ja) ドライエッチング方法
KR101476435B1 (ko) 다중-레이어 레지스트 플라즈마 에치 방법
US5883007A (en) Methods and apparatuses for improving photoresist selectivity and reducing etch rate loading
EP1087421A2 (en) Method and apparatus for providing a stable plasma
US20010012694A1 (en) Plasma etching method using low ionization potential gas
US6171974B1 (en) High selectivity oxide etch process for integrated circuit structures
JPH1056001A (ja) エッチング方法
EP0049272A4 (en) PRODUCTION OF MICROMINIATURE ARRANGEMENTS USING PLASMA ETCHING OF SILICON WITH FLUORINE GAS COMPOUNDS.
KR20020027323A (ko) 실리콘 산화물 및 반사방지용 유전체 코팅의 식각 방법
JP4351806B2 (ja) フォトレジストマスクを使用してエッチングするための改良技術
US5880037A (en) Oxide etch process using a mixture of a fluorine-substituted hydrocarbon and acetylene that provides high selectivity to nitride and is suitable for use on surfaces of uneven topography
JP4013308B2 (ja) 配線形成方法
US20030092280A1 (en) Method for etching tungsten using NF3 and Cl2
US6942816B2 (en) Methods of reducing photoresist distortion while etching in a plasma processing system
JP3363782B2 (ja) 集積回路構造の選択性の高い酸化物エッチングプロセス
JP3732079B2 (ja) 試料の表面加工方法
JPH0722391A (ja) ドライエッチング方法

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20040907