KR20150122108A - 산화 실리콘막의 성막 방법 및 성막 장치 - Google Patents

산화 실리콘막의 성막 방법 및 성막 장치 Download PDF

Info

Publication number
KR20150122108A
KR20150122108A KR1020150143592A KR20150143592A KR20150122108A KR 20150122108 A KR20150122108 A KR 20150122108A KR 1020150143592 A KR1020150143592 A KR 1020150143592A KR 20150143592 A KR20150143592 A KR 20150143592A KR 20150122108 A KR20150122108 A KR 20150122108A
Authority
KR
South Korea
Prior art keywords
film
oxide film
gas
silicon
tungsten
Prior art date
Application number
KR1020150143592A
Other languages
English (en)
Inventor
준 사토
파오화 초우
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20150122108A publication Critical patent/KR20150122108A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32105Oxidation of silicon-containing layers
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/02Silicon compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/10Compounds having one or more C—Si linkages containing nitrogen having a Si-N linkage
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • C23C16/0281Deposition of sub-layers, e.g. to promote the adhesion of the main coating of metallic sub-layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour

Abstract

(과제) 텅스텐막 또는 산화 텅스텐막 상에 산화 실리콘막을 형성해도, 산화 실리콘막의 인큐베이션 시간을 단축하는 것이 가능한 텅스텐막 또는 산화 텅스텐막 상으로의 산화 실리콘막의 성막 방법을 제공하는 것이다.
(해결 수단) 피처리체 상에 텅스텐막 또는 산화 텅스텐막을 형성하는 공정 (스텝 1)과, 텅스텐막 또는 산화 텅스텐막 상에 시드층을 형성하는 공정(스텝 2)과, 시드층 상에 산화 실리콘막을 형성하는 공정(스텝 3)을 구비하고, 상기 시드층을 텅스텐막 또는 산화 텅스텐막 상에, 피처리체를 가열하고, 텅스텐막 또는 산화 텅스텐막의 표면에 아미노실란계 가스를 공급하여 형성한다.

Description

산화 실리콘막의 성막 방법 및 성막 장치{FILM-FORMING METHOD AND FILM-FORMING APPARATUS FOR FORMING SILICON OXIDE FILM}
본 발명은, 텅스텐막 또는 산화 텅스텐막 상으로의 산화 실리콘막의 성막 방법 및 성막 장치에 관한 것이다.
반도체 장치의 제조 프로세스에 있어서, 텅스텐막 상에 산화 실리콘(SiO2)막을 형성하는 경우가 있다.
예를 들면, 특허문헌 1에는 텅스텐 등의 금속 상에, 산화 실리콘막을 형성하는 기술이 기재되어 있다.
일본공개특허공보 2006-54432호
그러나, 텅스텐(W)막, 또는 산화 텅스텐(WO3)막 상에 산화 실리콘막을 성막하는 경우, 성막 초기 단계에 있어서, 텅스텐 또는 산화 텅스텐 표면으로의 실리콘 흡착 레이트가 느리기 때문에, 산화 실리콘막이 성장을 시작하기까지의 인큐베이션 시간이 길어진다는 사정이 있다. 인큐베이션 시간이 길기 때문에, 텅스텐 이외의 하지(base) 상에 형성되는 산화 실리콘막에 비교하여 막두께가 얇아지거나, 또한 성막 초기 단계와 같이 실리콘의 흡착이 불충분한 상태일 때, 산화제가 텅스텐에 직접 접촉하기 때문에 텅스텐이 산화되어, 산화 텅스텐이 증막(增膜)되어 버린다는 사정이 있다.
본 발명은, 텅스텐막 또는 산화 텅스텐막 상에 산화 실리콘막을 형성해도, 산화 실리콘막의 인큐베이션 시간을 단축할 수 있는 것이 가능한 텅스텐막 또는 산화 텅스텐막 상으로의 산화 실리콘막의 성막 방법 및, 그 성막 방법을 실시하는 것이 가능한 성막 장치를 제공한다.
본 발명의 제1 실시 형태에 따른 텅스텐막 또는 산화 텅스텐막 상으로의 산화 실리콘막의 성막 방법은, (1) 피처리체 상에 텅스텐막 또는 산화 텅스텐막을 형성하고, (2) 상기 피처리체를 가열하고, 상기 가열된 상기 피처리체에, 아미노실란계 가스를 공급하고, (3) 상기 아미노실란계 가스가 공급된 상기 피처리체에, 실리콘을 포함하는 실리콘 원료 가스와, 산화제를 포함하는 가스를 교대로 공급하여, 상기 텅스텐막 또는 산화 텅스텐막이 형성된 상기 피처리체 상에, 산화 실리콘막을 형성한다.
본 발명의 제2 실시 형태에 따른 성막 장치는, 텅스텐막 또는 산화 텅스텐막 상으로의 산화 실리콘막을 성막하는 성막 장치로서, 상기 텅스텐막 또는 산화 텅스텐막이 형성된 피처리체를 수용하는 처리실과, 상기 처리실 내에, 아미노실란계 가스 및 실리콘 원료 가스 중 적어도 한쪽, 또한 산화제를 포함하는 가스를 공급하는 가스 공급 기구와, 상기 처리실 내를 가열하는 가열 장치와, 상기 처리실 내를 배기하는 배기 장치와, 상기 가스 공급 기구, 상기 가열 장치, 상기 배기 장치를 제어하는 컨트롤러를 구비하고, 상기 컨트롤러가, 상기 처리실 내에 있어서, 상기 제1 실시 형태에 따른 텅스텐막 또는 산화 텅스텐막 상으로의 산화 실리콘막의 성막 방법이, 상기 피처리체에 대하여 행해지도록, 상기 가스 공급 기구, 상기 가열 장치, 상기 배기 장치를 제어한다.
본 발명에 의하면, 텅스텐막 또는 산화 텅스텐막 상에 산화 실리콘막을 형성해도, 산화 실리콘막의 인큐베이션 시간을 단축하는 것이 가능한 텅스텐막 또는 산화 텅스텐막 상으로의 산화 실리콘막의 성막 방법 및, 그 성막 방법을 실시하는 것이 가능한 성막 장치를 제공할 수 있다.
도 1의 (A)는 본 발명의 일 실시 형태에 따른 텅스텐막 또는 산화 텅스텐막 상으로의 산화 실리콘막의 성막 방법의 일 예를 나타내는 흐름도이다.
도 1의 (B)는 도 1의 (A) 중의 스텝 3의 일 예를 나타내는 흐름도이다.
도 2의 (A)∼(C)는 도 1의 (A) 및 (B)에 나타내는 시퀀스 중의 피처리체의 상태를 개략적으로 나타내는 단면도이다.
도 3은 퇴적 시간과 실리콘층의 막두께와의 관계를 나타내는 도면이다.
도 4는 도 3 중의 파선 테두리 A 내를 확대한 확대도이다.
도 5의 (A)는 도면 대용 사진(SEM)이다.
도 5의 (B)는 막두께를 나타낸 도면이다.
도 6의 (A)는 도면 대용 사진(SEM)이다.
도 6의 (B)는 막두께를 나타낸 도면이다.
도 7의 (A)는 도면 대용 사진(SEM)이다.
도 7의 (B)는 막두께를 나타낸 도면이다.
도 8의 (A)∼(C)는 반도체 집적 회로 장치 내의 구조체(게이트 전극)를 나타내는 단면도이다.
도 9의 (A)∼(C)는 스텝 3의 다른 예를 나타내는 흐름도이다.
도 10은 일 실시 형태에 따른 텅스텐막 또는 산화 텅스텐막 상으로의 산화 실리콘막의 성막 방법을 실시하는 것이 가능한 성막 장치의 일 예를 개략적으로 나타내는 단면도이다.
(발명을 실시하기 위한 형태)
(성막 방법)
도 1의 (A)는 본 발명의 일 실시 형태에 따른 텅스텐막 또는 산화 텅스텐막 상으로의 산화 실리콘막의 성막 방법의 일 예를 나타내는 흐름도이고, 도 1의 (B)는 도 1의 (A) 중의 스텝 3의 일 예를 나타내는 흐름도이고, 도 2의 (A)∼(C)는, 도 1의 (A) 및 (B)에 나타내는 시퀀스 중의 피처리체의 상태를 개략적으로 나타내는 단면도이다.
우선, 도 1의 (A) 중의 스텝 1에 나타내는 바와 같이, 피처리체 상에 텅스텐막 또는 산화 텅스텐막을 형성한다. 산화 텅스텐막으로서는, 피처리체 상에 직접 산화 텅스텐막을 형성하도록 해도 좋고, 피처리체 상에 형성된 텅스텐막의 표면 상에 형성된 자연 산화막이어도 좋다. 또한, 본 예에서는, 피처리체로서 반도체 웨이퍼, 예를 들면, 실리콘 웨이퍼(W)를 이용했다. 이 실리콘 웨이퍼(W)의 실리콘 기판(1) 상에, 본 예에서는 텅스텐막(2)을 형성했다(도 2의 (A)).
다음으로, 도 1의 (A) 중의 스텝 2에 나타내는 바와 같이, 텅스텐막(2) 상에 시드층(3)을 형성한다(도 2의 (B)). 본 예에서는 시드층(3)을 다음과 같이 하여 형성했다.
우선, 텅스텐막(2)이 형성된 실리콘 웨이퍼(W)를 성막 장치의 처리실 내에 반입한다. 이어서, 처리실 내의 온도를 올리고, 텅스텐막(2)이 형성된 실리콘 웨이퍼(W)를 가열하고, 가열된 텅스텐막(2)의 표면에 아미노실란계 가스를 공급한다. 이에 따라, 텅스텐막(2)의 표면 상에 시드층(3)를 형성한다.
아미노실란계 가스의 예로서는,
BAS(부틸아미노실란)
BTBAS(비스터셔리부틸아미노실란)
DMAS(디메틸아미노실란)
BDMAS(비스디메틸아미노실란)
TDMAS(트리디메틸아미노실란)
DEAS(디에틸아미노실란)
BDEAS(비스디에틸아미노실란)
DPAS(디프로필아미노실란)
DIPAS(디이소프로필아미노실란)
등을 들 수 있다. 본 예에서는, DIPAS를 이용했다.
스텝 2에 있어서의 처리 조건의 일 예는,
DIPAS 유량 : 500sccm
처리 시간 : 5분
처리 온도 : 25℃
처리 압력 : 532Pa(4Torr)
이다. 스텝 2의 공정을, 본 명세서에서는 이하, 프리플로우(preflow)라고 부른다.
스텝 2는, 실리콘 원료를 텅스텐막(2)에 흡착시키기 쉽게 하는 공정이다. 또한, 본 명세서에서는, 스텝 2에 있어서 시드층(3)을 형성한다고 기재되어 있지만, 실제로는 거의 성막되는 일은 없다. 시드층(3)의 두께는, 바람직하게는 단(單)원자층 레벨의 두께 정도인 것이 좋다. 구체적인 시드층(3)의 두께를 언급하면, 0.1nm 이상 0.3nm 이하이다.
다음으로, 도 1의 (A) 중의 스텝 3에 나타내는 바와 같이, 시드층(3) 상에 산화물막, 본 예에서는, 산화 실리콘막(4)을 형성한다(도 2의 (C)).
스텝 3의 일 예를 도 1의 (B)에 나타낸다. 본 예에서는, 산화 실리콘막(4)의 성막에, 실리콘을 포함하는 실리콘 원료 가스와, 실리콘을 산화시키는 산화제를 포함하는 가스를 교대로 공급하면서 성막하는, 소위 ALD(Atomic Layer Deposition)법, 또는 MLD(Molecular Layer Deposition)법을 채용했다. 산화제로서는, O2, O3, H2O, 또는 그들을 플라즈마에 의해 활성화시킨 활성종을 들 수 있다. 본 예에서는, O2 플라즈마로 생성한 O 라디칼을 이용했다.
우선, 스텝 31에 나타내는 바와 같이, 처리실 내에 불활성 가스, 예를 들면, 질소(N2) 가스를 공급하여, 아미노실란계 가스를 퍼지한다.
다음으로, 스텝 32에 나타내는 바와 같이, 실리콘 원료 가스를 처리실 내에 공급하고, 시드층(3)에 실리콘층을 형성한다. 실리콘 원료 가스의 예로서는, 스텝 2에서 이용한 아미노실란계 가스 외에, 아미노기를 포함하지 않는 실란계 가스를 들 수 있다. 아미노기를 포함하지 않는 실란계 가스로서는,
SiH2
SiH4
SiH6
Si2H4
Si2H6
SimH2m +2(단, m은 3 이상의 자연수)의 식으로 나타나는 실리콘의 수소화물 및,
SinH2n(단, n은 3 이상의 자연수)의 식으로 나타나는 실리콘의 수소화물 중 적어도 하나를 포함하는 가스를 들 수 있다.
또한, 본 예에서는 아미노실란계 가스, 예를 들면, DIPAS를 이용했다.
스텝 32에 있어서의 처리 조건의 일 예는,
DIPAS 유량 : 500sccm
처리 시간 : 0.1분
처리 온도 : 25℃
처리 압력 : 532Pa(4Torr)
이다.
다음으로, 스텝 33에 나타내는 바와 같이, 처리실 내에 불활성 가스, 예를 들면, 질소 가스를 공급하여, 실리콘 원료 가스를 퍼지한다.
다음으로, 스텝 34에 나타내는 바와 같이, 산화제를 포함하는 가스를 처리실 내에 공급하고, 스텝 32에서 형성된 실리콘층을 산화하여, 산화 실리콘막(4)을 형성한다. 스텝 34에 있어서도, 산화제로서는, O2, O3, H2O 또는 그들을 플라즈마에 의해 활성화시킨 활성종을 들 수 있다. 본 예에서는, O2 플라즈마로 생성한 O 라디칼을 이용했다.
다음으로, 스텝 35에 나타내는 바와 같이, 처리실 내에 불활성 가스, 예를 들면, 질소 가스를 공급하여, 산화제를 포함하는 가스를 퍼지한다.
다음으로, 스텝 36에 나타내는 바와 같이, 반복 회수가 설정 회수인지 아닌지를 판단한다.
설정 회수에 도달하지 않은 경우(NO), 스텝 32로 되돌아가, 스텝 32 부터 스텝 35를 반복한다.
설정 회수에 도달한 경우(YES), 도 1의 (A)에 나타내는 바와 같이, 처리 종료가 된다.
(인큐베이션 시간)
도 3에, 퇴적 시간과 실리콘층의 막두께와의 관계를 나타낸다. 도 3에 나타내는 결과는 하지를 산화 실리콘(SiO2)으로 한 경우이지만, 하지가 산화 실리콘이어도, 텅스텐이어도, 산화 텅스텐이어도, 동일한 경향을 나타낸다. 왜냐하면, 프리플로우, 즉, 아미노실란계 가스가 열분해됨으로써 얻어진 시드층(3)은 하지 상에 형성되기 때문이다. 실리콘층은, 어디까지나 시드층(3) 상에 흡착되어 성막된다.
본 예에서 이용한 프리플로우에 있어서의 처리 조건은,
DIPAS 유량 : 500sccm
처리 시간 : 5분
처리 온도 : 400℃
처리 압력 : 53.2Pa(0.4Torr)
이다.
마찬가지로, 본 예에서 이용한 실리콘층을 성막하기 위한 처리 조건은,
모노실란 유량 : 500sccm
퇴적 시간 : 30분/45분/60분
처리 온도 : 500℃
처리 압력 : 53.2Pa(0.4Torr)
이다.
실리콘층의 막두께는, 퇴적 시간을 30분으로 했을 때, 45분으로 했을 때 및, 60분으로 했을 때의 3점에서 측정했다.
도 3 중의 선 Ⅰ은, 프리플로우가 있는 경우, 선 Ⅱ는 프리플로우가 없는 경우의 결과를 나타낸다. 선 Ⅰ, Ⅱ는, 측정된 3개의 막두께를 최소 이승법으로 직선에 근사한 직선이며, 식은 다음과 같다.
선 Ⅰ : y=17.572x-20.855 … (1)
선 Ⅱ : y=17.605x-34.929 … (2)
도 3에 나타내는 바와 같이, 프리플로우가 있는 경우, 프리플로우가 없는 경우에 비교하여 실리콘층의 막두께가 증가하는 경향이 명백해졌다.
상기 (1), (2)식을 y=0, 즉 실리콘층의 막두께를 “0”으로 했을 때, 선 Ⅰ, Ⅱ와 퇴적 시간의 교점을 구한 것을 도 4에 나타낸다. 또한, 도 4는 도 3 중의 파선 테두리 A 내를 확대한 확대도이다.
도 4에 나타내는 바와 같이, 프리플로우가 있을 때, 실리콘층의 퇴적이 처리 개시로부터 약 1.2분(x≒1.189)로부터 시작된다. 이에 대하여, 프리플로우가 없는 실리콘층일 때에는, 실리콘층의 퇴적이 처리 개시로부터 약 2.0분(x≒1.984)로부터 시작된다.
이와 같이, 하지에 대하여 아미노실란계 가스의 프리플로우를 행함으로써, 인큐베이션 시간을, 약 2.0분으로부터 약 1.2분으로 단축할 수 있다.
(산화 실리콘막의 SEM 관찰)
다음으로, 산화 실리콘막을 SEM 관찰한 결과를 나타낸다.
도 5의 (A) 및 (B)는 상기 일 실시 형태에 따른 텅스텐막 또는 산화 텅스텐막 상으로의 산화 실리콘막의 성막 방법을 이용하여 산화 실리콘막(4)을 형성한 경우이고, 도 5의 (A)는 SEM 사진, 도 5의 (B)는 막두께를 나타낸 도면이다. 도 6의 (A) 및 (B)는 비교예이고, 프리플로우가 없는 경우이다. 산화 실리콘막(4)은 성막할 때의 반복 회수를 모두 20사이클로 하여 성막했다. 또한, 텅스텐막(2)의 표면 상에는, 쌍방 모두 얇은 산화 텅스텐(WO3)막(5)이 형성되어 있다. 이 산화 텅스텐막(5)은 대기 중의 산소와 접촉함으로써 자연스럽게 형성된 자연 산화막이다. 물론, 산화 텅스텐막(5)은 없어도 좋다.
도 5의 (A) 및 (B)에 나타내는 바와 같이, 상기 일 실시 형태에 의하면, 텅스텐막(2) 상에, 막두께 1.3nm의 산화 텅스텐막(5)을 통하여 막두께 3.9nm(시드층(3)의 산화막두께 포함)의 산화 실리콘막(4)이 형성된다.
이에 대하여, 도 6의 (A) 및 (B)에 나타내는 바와 같이, 프리플로우가 없는 비교예에 의하면, 텅스텐막(2) 상에, 막두께 1.5nm의 산화 텅스텐막(5)을 통하여 막두께 3.0nm의 산화 실리콘막(4)밖에 형성되어 있지 않다.
이와 같이, 상기 일 실시 형태에 의하면, 프리플로우를 하지 않는 경우에 비교하여, 인큐베이션 시간이 단축되고, 동일한 20사이클이라도, 약 30% 막두께가 두꺼운 산화 실리콘막(4)을 텅스텐막(2) 상에 형성할 수 있었다.
또한, 상기 일 실시 형태에 의하면, 산화 텅스텐막(5)의 막두께가 1.3nm이지만, 비교예에서는, 산화 텅스텐막(5)의 막두께가 1.5nm으로 증막되어 있다.
이 점에서, 상기 일 실시 형태에 의하면, 텅스텐막(2) 상으로의 산화 실리콘막(4)의 성막시에 있어서, 계면의 산화 텅스텐막(5)의 증막도 억제할 수 있다는 이점도 함께 얻을 수 있다. 이것은, 상기 일 실시 형태에서는, 시드층(3)이 텅스텐막(2)의 표면 상에 형성되기 때문에, 산화제가 직접적으로 텅스텐막(2)이나 산화 텅스텐막(5)에 접촉하는 것을 억제할 수 있기 때문이라고 생각된다.
도 7의 (A) 및 (B)는 실리콘 기판(1) 상에 산화 실리콘막(4)을 형성한 경우이고, 도 7의 (A)는 SEM 사진, 도 7의 (B)는 막두께를 나타낸 도면이다. 본 예에 있어서, 산화 실리콘막(4)은 처리 조건을 동일하게 하고, 반복 회수도 20사이클로 동일하게 하여 성막했다. 또한, 실리콘 기판(1)의 표면 상에는 두께 1nm의 자연 산화막(SiO2)(6)이 형성되어 있다.
도 7의 (A) 및 (B)에 나타내는 바와 같이, 이 경우, 실리콘 기판(1) 상에, 자연 산화막(6)을 통하여 막두께 4.1nm의 산화 실리콘막(4)이 형성된다.
이 점에서, 상기 일 실시 형태에 의하면, 다음과 같은 이점도 얻을 수 있다.
도 8의 (A)∼(C)는, 반도체 집적 회로 장치 내의 구조체, 예를 들면, 게이트 전극을 나타내는 단면도이다.
도 8의 (A)에 나타내는 바와 같이, 게이트 전극 중에는 폴리실리콘층(7) 상에 텅스텐막(2)을 적층한, 소위 폴리메탈 구조의 게이트 전극이 있다. 이 폴리메탈 구조의 게이트 전극의 측벽 상에, 산화 실리콘막(4)을 형성하는 경우, 프리플로우가 없는 경우에는, 산화 실리콘막(4)의, 폴리실리콘층(7) 상의 막두께와 텅스텐막(2) 상의 막두께와의 차이가 커진다(도 8의 (B)). 예를 들면, 도 6의 (B)에 나타낸 바와 같이, 프리플로우가 없는 비교예에서는, 산화 실리콘막(4)의 막두께는 텅스텐막(2) 상에서 3.0nm였다. 이 때문에, 산화 실리콘막(4)의 막두께의 불균일이 커진다.
이에 대하여, 도 5의 (B)에 나타낸 바와 같이, 상기 일 실시 형태에 의하면, 산화 실리콘막(4)의 막두께는 텅스텐막(2) 상에서 3.9nm였다. 이 때문에, 산화 실리콘막(4)의, 폴리실리콘층(7) 상의 막두께와 텅스텐막(2) 상의 막두께의 차이를 비교예에 비교하여 작게 할 수 있다(도 8의 (C)).
이와 같이, 상기 일 실시 형태에 의하면, 인큐베이션 시간을 짧게 할 수 있고, 단시간 혹은 반복 사이클수가 적은 경우라도, 보다 두꺼운 막두께의 산화 실리콘막(4)을, 텅스텐막(2) 상에 형성할 수 있다는 이점에 더하여, 실리콘과 텅스텐의 쌍방이 노출되어 있는 바와 같은 반도체 집적 회로 장치 내의 구조체 상에 산화 실리콘막(4)을 형성한 경우에, 산화 실리콘막의 막두께를, 불균일을 작게 하는 것도 가능해진다는 이점도 얻을 수있다.
또한, 산화 실리콘막(4)의 성막시에 있어서, 계면의 산화 텅스텐막(5)의 증막도 억제할 수 있다. 이것은, 상기 일 실시 형태에 의하면, 산화 텅스텐막(5) 또는 텅스텐막(2)의 표면에 시드층(3)이 형성된다. 이 시드층(3)은, 산화 실리콘막(4)의 성막 중, 특히, 산화 실리콘막(4)의 성막 초기 단계에 있어서 산화제의 확산을 막는 장벽이 된다. 이 때문에, 산화 텅스텐막(5) 또는 텅스텐막(2)이, 산화제에 직접적으로 접촉하기 어려워져, 산화 텅스텐막(5)의 증막이 억제된다.
(성막 방법의 다른 예)
다음으로, 텅스텐막 상으로의 산화물막의 성막 방법의 다른 예를 설명한다.
도 9의 (A)∼(C)는, 도 1의 (B) 중의 스텝 3의 다른 예를 나타내는 흐름도이다.
(제1 예)
도 9의 (A)에 나타내는 바와 같이, 제1 예는 도 1의 (B)에 나타낸 스텝 32, 33과, 스텝 34, 35를 교체한 예이다. 이와 같이, 아미노실란계 가스를 퍼지(스텝 31)한 후, 산화제를 공급(스텝 34)하도록 해도 좋다.
(제2 예)
도 9의 (B)에 나타내는 바와 같이, 제2 예는 아미노실란계 가스를 퍼지하는 공정을 생략하고, 아미노실란계 가스를 공급한 후, 소정의 처리 시간 경과 후, 실리콘 원료 가스를 공급하도록 한 예이다. 이와 같이, 아미노실란계 가스를 퍼지하는 공정은 생략하는 것도 가능하다.
(제3 예)
도 9의 (C)에 나타내는 바와 같이, 제3 예는 산화 실리콘막(4)을, 실리콘을 포함하는 실리콘 원료 가스와, 실리콘을 산화시키는 산화제를 포함하는 가스를 동시에 공급하면서 성막하는, 소위 CVD(Chemical Vapor Deposition)법을 이용하여 성막하도록 한 예이다. 이와 같이, 산화 실리콘막(4)의 성막에는, CVD법을 이용하는 것도 가능하다.
(성막 장치)
다음으로, 상기 일 실시 형태에 따른 텅스텐막 또는 산화 텅스텐막 상으로의 산화 실리콘막의 성막 방법을 실시하는 것이 가능한 성막 장치의 일 예를 설명한다.
도 10은, 일 실시 형태에 따른 텅스텐막 또는 산화 텅스텐막 상으로의 산화 실리콘막의 성막 방법을 실시하는 것이 가능한 성막 장치의 일 예를 개략적으로 나타내는 단면도이다.
도 10에 나타내는 바와 같이, 성막 장치(100)는 하단이 개구된 천정이 있는 원통체 형상의 처리실(101)을 갖고 있다. 처리실(101)의 전체는, 예를 들면, 석영에 의해 형성되어 있다. 처리실(101) 내의 천정에는, 석영제의 천정판(102)이 설치되어 있다. 처리실(101)의 하단 개구부에는, 예를 들면, 스테인리스 스틸에 의해 원통체 형상으로 형성된 매니폴드(103)가 O링 등의 시일 부재(104)를 통하여 연결되어 있다.
매니폴드(103)는 처리실(101)의 하단을 지지하고 있다. 매니폴드(103)의 하방으로부터는, 피처리체로서 복수매, 예를 들면, 50∼100매의 반도체 웨이퍼, 본 예에서는, 실리콘 웨이퍼(W)를 다단으로 재치 가능한 석영제의 웨이퍼 보트(105)가 처리실(101) 내에 삽입 가능하도록 되어 있다. 웨이퍼 보트(105)는 복수개의 지주(支柱; 106)를 갖고, 지주(106)에 형성된 홈에 의해 복수매의 실리콘 웨이퍼(W)가 지지되도록 되어 있다.
웨이퍼 보트(105)는 석영제의 보온통(107)을 통하여 테이블(108) 상에 올려 놓여져 있다. 테이블(108)은, 매니폴드(103)의 하단 개구부를 개폐하는, 예를 들면, 스테인리스 스틸제의 덮개부(109)를 관통하는 회전축(110) 상에 지지된다. 회전축(110)의 관통부에는, 예를 들면, 자성 유체 시일(magnetic fluid seal; 111)이 설치되고, 회전축(110)을 기밀하게 시일하면서 회전 가능하게 지지하고 있다. 덮개부(109)의 주변부와 매니폴드(103)의 하단부와의 사이에, 예를 들면, O링으로 이루어지는 시일 부재(112)가 개설(介設)되어 있다. 이에 따라 처리실(101) 내의 시일성이 유지되어 있다. 회전축(110)은, 예를 들면, 보트 엘리베이터 등의 승강 기구(도시하지 않음)에 지지된 아암(113)의 선단(先端)에 부착되어 있다. 이에 따라, 웨이퍼 보트(105) 및 덮개부(109) 등은, 일체적으로 승강되어 처리실(101) 내에 대하여 삽입 이탈된다.
성막 장치(100)는 처리실(101) 내에, 처리에 사용하는 가스를 공급하는 처리 가스 공급 기구(114)와, 처리실(101) 내에 불활성 가스를 공급하는 불활성 가스 공급 기구(115)를 갖고 있다.
처리 가스 공급 기구(114)는, 아미노실란계 가스 공급원(117), 실리콘 원료 가스 공급원(118), 산화제를 포함하는 가스 공급원(119)을 포함하고 있다. 아미노실란계 가스의 일 예는 디이소프로필아미노실란(DIPAS), 실리콘 원료 가스의 일 예는 디이소프로필아미노실란(DIPAS), 산화제를 포함하는 가스의 일 예는 산소(O2) 가스이다. 또한, 아미노실란계 가스와 실리콘 원료 가스가 동일한 경우에는, 아미노실란계 가스 공급원(117) 및 실리콘 원료 가스 공급원(118)을 공용하고, 어느 한쪽만을 형성하도록 해도 좋다.
불활성 가스 공급 기구(115)는, 불활성 가스 공급원(120)을 포함하고 있다. 불활성 가스는, 퍼지 가스 등에 이용된다. 불활성 가스의 일 예는 질소(N2) 가스이다.
아미노실란계 가스 공급원(117)은, 유량 제어기(121a) 및 개폐 밸브(122a)를 통하여 분산 노즐(123)에 접속되어 있다. 분산 노즐(123)은 석영관으로 이루어지고, 매니폴드(103)의 측벽을 내측으로 관통하여 상방향으로 굴곡되어 수직으로 연장된다. 분산 노즐(123)의 수직 부분에는, 복수의 가스 토출공(124)이 소정의 간격을 사이에 두고 형성되어 있다. 아미노실란계 가스는, 각 가스 토출 공(124)으로부터 수평 방향으로 처리실(101) 내를 향하여 대략 균일하게 토출된다.
또한, 실리콘 원료 가스 공급원(118)도, 유량 제어기(121b) 및 개폐 밸브(122b)를 통하여, 예를 들면, 분산 노즐(123)에 접속된다.
산화제를 포함하는 가스 공급원(119)은, 유량 제어기(121c) 및 개폐 밸브(122c)를 통하여, 분산 노즐(125)에 접속되어 있다. 분산 노즐(125)은 석영관으로 이루어지고, 매니폴드(103)의 측벽을 내측으로 관통하여 상방향으로 굴곡되어 수직으로 연장된다. 분산 노즐(125)의 수직 부분에는, 복수의 가스 토출공(126)이 소정의 간격을 두고 형성되어 있다. 암모니아를 포함하는 가스는, 각 가스 토출공(126)으로부터 수평 방향으로 처리실(101) 내를 향하여 대략 균일하게 토출된다.
불활성 가스 공급원(120)은, 유량 제어기(121d) 및 개폐 밸브(122d)를 통하여, 노즐(128)에 접속되어 있다. 노즐(128)은, 매니폴드(103)의 측벽을 관통하고, 그의 선단으로부터 불활성 가스를, 수평 방향으로 처리실(101) 내를 향하여 토출시킨다.
처리실(101) 내의 분산 노즐(123 및 125)과 반대측의 부분에는, 처리실(101) 내를 배기하기 위한 배기구(129)가 형성되어 있다. 배기구(129)는 처리실(101)의 측벽을 상하 방향으로 깎아냄으로써 가늘고 길게 형성되어 있다. 처리실(101)의 배기구(129)에 대응하는 부분에는, 배기구(129)를 덮도록 단면이“ㄷ”자 형상으로 성형된 배기구 커버 부재(130)가 용접에 의해 부착되어 있다. 배기구 커버 부재(130)는 처리실(101)의 측벽을 따라 상방으로 연장되어 있고, 처리실(101)의 상방에 가스 출구(131)를 규정하고 있다. 가스 출구(131)에는, 진공 펌프 등을 포함하는 배기 기구(132)가 접속된다. 배기 기구(132)는 처리실(101) 내를 배기함으로써 처리에 사용한 처리 가스의 배기 및, 처리실(101) 내의 압력을 처리에 따른 처리 압력으로 한다.
처리실(101)의 외주에는 통체 형상의 가열 장치(133)가 설치되어 있다. 가열 장치(133)는 처리실(101) 내에 공급된 가스를 활성화함과 함께, 처리실(101) 내에 수용된 피처리체, 본 예에서는 실리콘 웨이퍼(W)를 가열한다.
성막 장치(100)의 각 부의 제어는, 예를 들면, 마이크로프로세서(컴퓨터)로 이루어지는 컨트롤러(150)에 의해 행해진다. 컨트롤러(150)는, 오퍼레이터가 성막 장치(100)를 관리하기 위해 커맨드의 입력 조작 등을 행하는 키보드나, 성막 장치(100)의 가동 상황을 가시화하여 표시하는 디스플레이 등으로 이루어지는 유저 인터페이스(151)가 접속되어 있다.
컨트롤러(150)에는 기억부(152)가 접속되어 있다. 기억부(152)는, 성막 장치(100)에서 행해지는 각종 처리를 컨트롤러(150)의 제어로 실현하기 위한 제어 프로그램이나, 처리 조건에 따라서 성막 장치(100)의 각 구성부에 처리를 실행시키기 위한 프로그램, 즉 레시피가 격납된다. 레시피는, 예를 들면, 기억부(152) 중의 기억 매체에 기억된다. 기억 매체는 하드디스크나 반도체 메모리이어도 좋고, CD-ROM, DVD, 플래시메모리 등의 가반성(portable type)인 것이어도 좋다. 또한, 다른 장치로부터, 예를 들면 전용 회선을 통하여 레시피를 적절히 전송시키도록 해도 좋다. 레시피는 필요에 따라서, 유저 인터페이스(151)로부터의 지시 등으로 기억부(152)로부터 읽혀지고, 읽혀진 레시피에 따른 처리를 컨트롤러(150)가 행함으로써, 성막 장치(100)는 컨트롤러(150)의 제어하에서 원하는 처리가 실시된다.
본 예에서는, 컨트롤러(150)의 제어하에서, 상기 일 실시 형태에 따른 텅스텐막 또는 산화 텅스텐막 상으로의 산화 실리콘막의 성막 방법, 예를 들면, 도 1의 (A), 도 1의 (B), 도 9의 (A)∼(C)에 나타낸 스텝에 따른 처리를 순차 행한다.
상기 일 실시 형태에 따른 텅스텐막 또는 산화 텅스텐막 상으로의 산화 실리콘막의 성막 방법은, 도 10에 나타내는 바와 같은 성막 장치(100)에 의해 실시할 수 있다.
이상, 본 발명을 일 실시예에 따라서 설명했지만, 본 발명은 상기 일 실시 형태에 한정되는 일은 없고, 여러 가지 변형이 가능하다. 또한, 본 발명의 실시 형태는 상기 일 실시 형태가 유일한 실시 형태도 아니다.
예를 들면, 산화제에 산소 가스를 대신하여, H2O 가스나 오존(O3) 가스를 이용할 수도 있고, 오존 가스의 경우에는 산화제를 포함하는 가스 공급원(119)에 오존 가스를 발생시키는 오조나이저를 구비하도록 해도 좋다.
또한, O2, O3, H2O를 플라즈마에 의해 활성화시키고, 이들을 활성화시킨 활성 종을 실리콘 웨이퍼(W) 등의 피처리체 상에 토출해도 좋다. 이 경우, 처리실(101) 내부에 플라즈마를 발생시키는 플라즈마 발생 기구를, 예를 들면, 처리실(101) 내부에 설치하도록 해도 좋다.
또한, 상기 실시 형태에서는, 실리콘 원료 가스로서 아미노실란계 가스를 설명했지만, 시드층(3) 상으로의 실리콘층의 형성시에 있어서는, 실란계 가스를 이용할 수도 있다. 그 중에서도, SimH2m +2(단, m은 3 이상의 자연수)의 식으로 나타나는 실리콘의 수소화물 및, SinH2n(단, n은 3 이상의 자연수)의 식으로 나타나는 실리콘의 수소화물에 대해서는,
SimH2m +2(단, m은 3 이상의 자연수)의 식으로 나타나는 실리콘의 수소화물이,
트리실란(Si3H8)
테트라실란(Si4H10)
펜타실란(Si5H12)
헥사실란(Si6H14)
헵타실란(Si7H16)
중 적어도 하나로부터 선택되고,
SinH2n(단, n은 3 이상의 자연수)의 식으로 나타나는 실리콘 수소화물이,
사이클로트리실란(Si3H6)
사이클로테트라실란(Si4H8)
사이클로펜타실란(Si5H10)
사이클로헥사실란(Si6H12)
사이클로헵타실란(Si7H14)
중 적어도 어느 하나로부터 선택할 수도 있다.
또한, 상기 일 실시 형태에서는 본 발명을 복수의 실리콘 웨이퍼(W)를 탑재하여 일괄하여 성막을 행하는 배치식의 성막 장치에 적용한 예를 나타냈지만, 이것에 한정하지 않고, 1매의 웨이퍼마다 성막을 행하는 매엽식의 성막 장치에 적용할 수도 있다.
또한, 피처리체로서는, 반도체 웨이퍼에 한정되지 않고, LCD 유리 기판 등의 다른 기판에도 본 발명을 적용할 수 있다.
그 외에, 본 발명은 그의 요지를 일탈하지 않는 범위에서 여러 가지로 변형할 수 있다.
1 : 실리콘 기판
2 : 텅스텐막
3 : 시드층
4 : 산화 실리콘막

Claims (7)

  1. (1) 텅스텐막 또는 산화 텅스텐막이 형성된 피처리체를, 성막 장치의 처리실 내에 수용하고,
    (2) 상기 피처리체를 가열하고, 상기 가열된 피처리체에 아미노실란계 가스를 공급하여 당해 아미노실란계 가스를 열분해시킴으로써, 상기 텅스텐막 또는 산화 텅스텐막상에 시드층을 형성하고,
    (3) 상기 시드층을 형성한 후, 상기 아미노실란계 가스의 공급을 정지하고, 상기 처리실 내에 불활성 가스를 공급하여, 상기 처리실 내의 아미노실란계 가스를 퍼지하고,
    (4) 상기 불활성 가스의 공급을 정지한 후, 상기 처리실 내에 실리콘을 포함하는 실리콘 원료 가스를 공급하여, 상기 시드층상에 실리콘층을 흡착에 의해 형성하고,
    (5) 상기 실리콘층을 형성한 후, 상기 실리콘 원료 가스의 공급을 정지하고, 상기 처리실 내에 산화제를 포함하는 가스를 공급하여, 상기 실리콘층을 산화시킴으로써 산화 실리콘막을 형성하고,
    (6) 상기 산화 실리콘막을 형성한 후, 상기 산화제를 포함하는 가스의 공급을 정지하고,
    (7) 상기 처리실 내에, 상기 실리콘 원료 가스와 상기 산화제를 포함하는 가스를 이 순서로 교대로 공급하는 공정을 설정 횟수 반복하여 행하는 것을 특징으로 하는, 산화 실리콘막의 성막 방법.
  2. 제1항에 있어서,
    상기 아미노실란계 가스가,
    BAS(부틸아미노실란)
    BTBAS(비스터셔리부틸아미노실란)
    DMAS(디메틸아미노실란)
    BDMAS(비스디메틸아미노실란)
    TDMAS(트리디메틸아미노실란)
    DEAS(디에틸아미노실란)
    BDEAS(비스디에틸아미노실란)
    DPAS(디프로필아미노실란) 및,
    DIPAS(디이소프로필아미노실란)
    중 적어도 하나를 포함하는 가스로부터 선택되는 것을 특징으로 하는, 산화 실리콘막의 성막 방법.
  3. 제1항에 있어서,
    상기 실리콘 원료 가스가, 아미노실란계 가스, 또는 아미노기를 포함하지 않는 실란계 가스인 것을 특징으로 하는, 산화 실리콘막의 성막 방법.
  4. 제3항에 있어서,
    상기 아미노실란계 가스가,
    BAS(부틸아미노실란)
    BTBAS(비스터셔리부틸아미노실란)
    DMAS(디메틸아미노실란)
    BDMAS(비스디메틸아미노실란)
    TDMAS(트리디메틸아미노실란)
    DEAS(디에틸아미노실란)
    BDEAS(비스디에틸아미노실란)
    DPAS(디프로필아미노실란) 및,
    DIPAS(디이소프로필아미노실란)
    중 적어도 하나를 포함하는 가스로부터 선택되고,
    상기 아미노기를 포함하지 않는 실란계 가스가,
    SiH2
    SiH4
    SiH6
    Si2H4
    Si2H6
    SimH2m +2(단, m은 3 이상의 자연수)의 식으로 나타나는 실리콘의 수소화물 및,
    SinH2n(단, n은 3 이상의 자연수)의 식으로 나타나는 실리콘의 수소화물
    중 적어도 하나를 포함하는 가스로부터 선택되는 것을 특징으로 하는, 산화 실리콘막의 성막 방법.
  5. 제4항에 있어서,
    상기 SimH2m +2(단, m은 3 이상의 자연수)의 식으로 나타나는 실리콘의 수소화물이,
    트리실란(Si3H8)
    테트라실란(Si4H10)
    펜타실란(Si5H12)
    헥사실란(Si6H14)
    헵타실란(Si7H16)
    중 적어도 하나로부터 선택되고,
    상기 SinH2n(단, n은 3 이상의 자연수)의 식으로 나타나는 실리콘 수소화물이,
    사이클로트리실란(Si3H6)
    사이클로테트라실란(Si4H8)
    사이클로펜타실란(Si5H10)
    사이클로헥사실란(Si6H12)
    사이클로헵타실란(Si7H14)
    중 적어도 어느 하나로부터 선택되는 것을 특징으로 하는, 산화 실리콘막의 성막 방법.
  6. 제1항에 있어서,
    상기 피처리체가 반도체 웨이퍼이고, 상기 성막 방법이 반도체 장치의 제조 프로세스에 이용되는 것을 특징으로 하는, 산화 실리콘막의 성막 방법.
  7. 산화 실리콘막을 성막하는 성막 장치로서,
    상기 텅스텐막 또는 산화 텅스텐막이 형성된 피처리체를 수용하는 처리실과,
    상기 처리실 내에, 아미노실란계 가스 및 실리콘 원료 가스 중 적어도 한쪽, 또한 산화제를 포함하는 가스를 공급하는 가스 공급 기구와,
    상기 처리실 내를 가열하는 가열 장치와,
    상기 처리실 내를 배기하는 배기 장치와,
    상기 가스 공급 기구, 상기 가열 장치, 상기 배기 장치를 제어하는 컨트롤러를 구비하고,
    상기 컨트롤러가, 상기 처리실 내에 있어서, 제1항 내지 제6항 중 어느 한 항에 기재된 산화 실리콘막의 성막 방법이, 상기 피처리체에 대하여 행해지도록, 상기 가스 공급 기구, 상기 가열 장치, 상기 배기 장치를 제어하는 것을 특징으로 하는 성막 장치.
KR1020150143592A 2010-12-27 2015-10-14 산화 실리콘막의 성막 방법 및 성막 장치 KR20150122108A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2010290565A JP2012138500A (ja) 2010-12-27 2010-12-27 タングステン膜又は酸化タングステン膜上への酸化シリコン膜の成膜方法及び成膜装置
JPJP-P-2010-290565 2010-12-27

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020110131584A Division KR20120074207A (ko) 2010-12-27 2011-12-09 텅스텐막 또는 산화 텅스텐막 상으로의 산화 실리콘막의 성막 방법 및 성막 장치

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020170061254A Division KR101759157B1 (ko) 2010-12-27 2017-05-17 산화 실리콘막의 성막 방법

Publications (1)

Publication Number Publication Date
KR20150122108A true KR20150122108A (ko) 2015-10-30

Family

ID=46317396

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020110131584A KR20120074207A (ko) 2010-12-27 2011-12-09 텅스텐막 또는 산화 텅스텐막 상으로의 산화 실리콘막의 성막 방법 및 성막 장치
KR1020150143592A KR20150122108A (ko) 2010-12-27 2015-10-14 산화 실리콘막의 성막 방법 및 성막 장치
KR1020170061254A KR101759157B1 (ko) 2010-12-27 2017-05-17 산화 실리콘막의 성막 방법

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020110131584A KR20120074207A (ko) 2010-12-27 2011-12-09 텅스텐막 또는 산화 텅스텐막 상으로의 산화 실리콘막의 성막 방법 및 성막 장치

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020170061254A KR101759157B1 (ko) 2010-12-27 2017-05-17 산화 실리콘막의 성막 방법

Country Status (5)

Country Link
US (2) US20120164327A1 (ko)
JP (1) JP2012138500A (ko)
KR (3) KR20120074207A (ko)
CN (1) CN102534615A (ko)
TW (1) TWI532871B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190046845A (ko) 2016-09-15 2019-05-07 소니 주식회사 정보 처리 장치 및 방법, 그리고 프로그램

Families Citing this family (336)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5588856B2 (ja) * 2010-12-27 2014-09-10 東京エレクトロン株式会社 カーボン膜上への酸化物膜の成膜方法及び成膜装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8784951B2 (en) 2012-11-16 2014-07-22 Asm Ip Holding B.V. Method for forming insulation film using non-halide precursor having four or more silicons
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
JP5925673B2 (ja) * 2012-12-27 2016-05-25 東京エレクトロン株式会社 シリコン膜の成膜方法および成膜装置
JP6030455B2 (ja) * 2013-01-16 2016-11-24 東京エレクトロン株式会社 シリコン酸化物膜の成膜方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
JP6211941B2 (ja) * 2014-01-28 2017-10-11 東京エレクトロン株式会社 成膜方法および成膜装置
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
CN105779943A (zh) * 2016-05-05 2016-07-20 厦门建霖工业有限公司 一种物理气相沉积氟硅烷制备疏水膜的方法
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
JP6175541B2 (ja) * 2016-06-03 2017-08-02 東京エレクトロン株式会社 シード層の形成方法、シリコン膜の成膜方法および成膜装置
CN109417022B (zh) * 2016-06-28 2023-08-11 应用材料公司 用于3d nand存储器器件的基于cvd的氧化物-金属多结构
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
JP6840051B2 (ja) * 2017-08-02 2021-03-10 東京エレクトロン株式会社 タングステン膜上へシリコン酸化膜を形成する方法および装置
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
JP6895352B2 (ja) * 2017-09-12 2021-06-30 東京エレクトロン株式会社 被加工物を処理する方法
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
KR102396170B1 (ko) 2017-12-01 2022-05-10 도쿄엘렉트론가부시키가이샤 반도체 장치의 제조 방법 및 성막 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11230474B2 (en) 2018-10-11 2022-01-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Process for producing isomer enriched higher silanes
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020132904A (ja) * 2019-02-13 2020-08-31 東京エレクトロン株式会社 基板処理方法及び基板処理装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0831816A (ja) * 1994-07-13 1996-02-02 Sony Corp 有機Siソースを用いた成膜方法、同成膜装置、及び半導体装置の製造方法
JPH11307633A (ja) * 1997-11-17 1999-11-05 Sony Corp 低誘電率膜を有する半導体装置、およびその製造方法
US6515350B1 (en) * 2000-02-22 2003-02-04 Micron Technology, Inc. Protective conformal silicon nitride films and spacers
JP4196517B2 (ja) * 2000-03-28 2008-12-17 三菱電機株式会社 半導体素子製造方法
EP1535321A4 (en) * 2002-08-18 2009-05-27 Asml Us Inc LOW TEMPERATURE DEPOSITION OF OXIDES AND SILICON OXYNITRIDES
JP4595702B2 (ja) * 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP2006261434A (ja) * 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
WO2007083651A1 (ja) * 2006-01-17 2007-07-26 Hitachi Kokusai Electric Inc. 半導体装置の製造方法
TWI462179B (zh) * 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
JP2010183069A (ja) * 2009-01-07 2010-08-19 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP5467007B2 (ja) * 2009-09-30 2014-04-09 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
JP5573772B2 (ja) * 2010-06-22 2014-08-20 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5490753B2 (ja) * 2010-07-29 2014-05-14 東京エレクトロン株式会社 トレンチの埋め込み方法および成膜システム
JP5675331B2 (ja) * 2010-12-27 2015-02-25 東京エレクトロン株式会社 トレンチの埋め込み方法
JP5829196B2 (ja) * 2011-10-28 2015-12-09 東京エレクトロン株式会社 シリコン酸化物膜の成膜方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190046845A (ko) 2016-09-15 2019-05-07 소니 주식회사 정보 처리 장치 및 방법, 그리고 프로그램

Also Published As

Publication number Publication date
US20120164327A1 (en) 2012-06-28
CN102534615A (zh) 2012-07-04
KR20120074207A (ko) 2012-07-05
KR101759157B1 (ko) 2017-07-18
TW201241226A (en) 2012-10-16
US20140199839A1 (en) 2014-07-17
JP2012138500A (ja) 2012-07-19
KR20170057870A (ko) 2017-05-25
TWI532871B (zh) 2016-05-11

Similar Documents

Publication Publication Date Title
KR101759157B1 (ko) 산화 실리콘막의 성막 방법
US8753984B2 (en) Method and apparatus for forming silicon nitride film
US8431494B2 (en) Film formation method and film formation apparatus
KR101534638B1 (ko) 어모퍼스 실리콘막의 성막 방법 및 성막 장치
KR101813312B1 (ko) 실리콘막의 성막 방법, 박막의 성막 방법 및 단면 형상 제어 방법
US8455369B2 (en) Trench embedding method
US7964516B2 (en) Film formation apparatus for semiconductor process and method for using same
JP6953480B2 (ja) 半導体装置の製造方法、基板処理装置、およびプログラム
KR101475557B1 (ko) 카본막 상으로의 산화물막의 성막 방법 및 성막 장치
KR20110091456A (ko) 어모퍼스 카본막을 포함하는 적층 구조를 형성하는 방법 및 이를 위한 장치
KR20140085343A (ko) 시드층의 형성 방법, 실리콘막의 성막 방법 및 성막 장치
JP2018157095A (ja) 半導体装置の製造方法、基板処理装置およびプログラム
US9460913B2 (en) Film-forming method for forming silicon oxide film on tungsten film or tungsten oxide film
US9466476B2 (en) Film-forming method for forming silicon oxide film on tungsten film or tungsten oxide film
TW202323562A (zh) 基板處理方法、半導體裝置之製造方法、基板處理裝置及程式
JP2024042235A (ja) 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
A107 Divisional application of patent
J201 Request for trial against refusal decision
J301 Trial decision

Free format text: TRIAL NUMBER: 2017101002404; TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20170517

Effective date: 20190318