KR20140111913A - 개선된 비아 랜딩 프로파일을 위한 신규한 패터닝 방법 - Google Patents

개선된 비아 랜딩 프로파일을 위한 신규한 패터닝 방법 Download PDF

Info

Publication number
KR20140111913A
KR20140111913A KR20130080864A KR20130080864A KR20140111913A KR 20140111913 A KR20140111913 A KR 20140111913A KR 20130080864 A KR20130080864 A KR 20130080864A KR 20130080864 A KR20130080864 A KR 20130080864A KR 20140111913 A KR20140111913 A KR 20140111913A
Authority
KR
South Korea
Prior art keywords
metal body
layer
dielectric
forming
interconnect layer
Prior art date
Application number
KR20130080864A
Other languages
English (en)
Other versions
KR101476544B1 (ko
Inventor
치유안 팅
중문 오
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20140111913A publication Critical patent/KR20140111913A/ko
Application granted granted Critical
Publication of KR101476544B1 publication Critical patent/KR101476544B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

본 발명개시는 스페이서 엘리먼트가 제1 상호연결층의 제1 유전체층 내에 임베딩되어 있는 금속 바디에 인접하여 형성되어 있는 반도체 구조물 및 이러한 반도체 구조물을 제조하는 방법에 관한 것이다. 금속 바디의 엣지에 대해 오정렬되어 있는 비아는 제1 상호연결층 위에 배치된 제2 상호연결층 내의 제2 유전체 물질 내에서 형성되고 금속 바디에 전기적으로 결합된 도전성 물질로 채워진다. 본 방법은 제1 상호연결층의 유전체 물질에서의 비아 서브구조 결함들에 의해 제공되는 다양한 문제점들에 직면하지 않으면서 통상적인 갭 충전 금속화 쟁점들을 제거시키는 상호연결 구조물의 형성을 가능하게 해준다.

Description

개선된 비아 랜딩 프로파일을 위한 신규한 패터닝 방법{NOVEL PATTERNING APPROACH FOR IMPROVED VIA LANDING PROFILE}
본 발명은 개선된 비아 랜딩 프로파일을 위한 신규한 패터닝 방법에 관한 것이다.
반도체 디바이스들에서는 유전체 물질속을 에칭하여 유전체 물질에 의해 덮혀 있는 도전성 또는 반도체 피처에 대한 전기적 접촉을 이루어내는 것이 알려져 있다. 전기적 접촉은 예컨대 비아를 통해, 또는 다마신 방법에 의해 형성된 컨덕터의 형성에 의해 행해질 수 있다.
도 1에서는, 예컨대 금속화 구조물 상에 비아를 갖는 반도체 기판이 도시된다. 도 1에서, 제1 에칭 저지층(104)을 갖는 기판(102)이 제공된다. 에칭 저지층(104) 위에는 금속 바디(118), 예컨대, 제1 유전체층(106) 내에 임베딩된 구리 금속 바디를 포함한 제1 상호연결층(101)이 위치한다. 배리어 층(116)은 금속 바디(118)의 바닥면과 측벽들을 에워싼다. 제1 상호연결층(101)은 제2 에칭 저지층(104')에 의해 제2 상호연결층(101')으로부터 분리된다. 제2 상호연결층(101')은 도전성 물질(134)로 채워지는 비아 개구부(140)를 갖는 제2 유전체 물질(106')을 포함한다. 제2 배리어층(132)은 제2 유전체 물질(106')로부터 도전성 물질(134)을 분리시킨다.
반도체 집적 회로 디바이스들의 임계 치수가 축소됨에 따라, 비아 개구부(140)와 구리 금속 바디(118)간의 오정렬은 보다 현저해진다. 오정렬이 발생할 때, 랜딩 금속 바디(118)를 캡슐화하고 있는 유전체층(106)은 에칭되는데, 그 결과 금속 바디(118) 옆에 비아 서브구조 결함(150)을 야기시킨다. 이러한 결함들은 총체적인 배선 신뢰성의 저하뿐만이 아니라 비아의 배선 연결 강도의 저하를 초래시킨다.
상호연결 구조물들을 위한 현재 방법들과 관련된 문제점들을 살펴보면, 유전체 물질 내에 손상된 영역들을 도입시키는 것 없이, 비아와 배선간 연결의 강도와 신뢰성을 개선시키는 새롭고 개선된 집적 기법을 개발하기 위한 끊임없는 요구가 존재한다.
따라서, 본 발명개시는 반도체 구조물 및 반도체 구조물의 제조 방법에 관한 것이다. 본 방법은 통상적인 갭 충전(gap-fill) 금속화 쟁점들을 제거시키는 상호연결 구조물들을 정의할 뿐만이 아니라, 비아가 자신이 전기적으로 결합되어 있는 금속 바디와 완벽하게 정렬되지 않을 때 보다 나은 비아 랜딩 프로파일을 제공하기 위한 공정을 제공한다.
그러므로, 본 발명개시는 금속 바디가 내부에 구비되어 있는 제1 유전체층을 포함한 제1 상호연결층을 포함하는 반도체 구조물에 관한 것이다. 금속 바디는 제1 엣지와 제2 엣지를 갖는 대향 측벽들 및 바닥면에 의해 정의된다. 반도체 구조물은 금속 바디에 인접해 있는 스페이서 엘리먼트를 더 포함하며, 스페이서 엘리먼트는 금속 바디의 측벽과 접해 있는 측벽을 갖는다. 반도체 구조물은 적어도 하나의 개구부가 내부에 구비되어 있는 제2 유전체 물질을 포함하고 제1 상호연결층 위에 놓여있는 제2 상호연결층을 더 포함한다. 개구부는 제1 상호연결층의 금속 바디에 전기적으로 결합된 도전성 물질로 채워진다.
본 발명개시는 또한 금속 바디가 내부에 임베딩되어 있는 제1 유전체층을 형성함으로써 제1 상호연결층을 제공하는 단계를 포함하는 반도체 구조물을 형성하는 방법에 관한 것이며, 금속 바디는 제1 엣지와 제2 엣지를 갖는 대향 측벽들과 바닥면을 포함한다. 본 방법은 제1 유전체층 내에 제2 개구부를 형성하는 단계를 더 포함하며, 제2 개구부는 금속 바디에 인접해 있고 금속 바디와 접해 있는 측벽을 갖는다. 본 방법은 제2 개구부 내에 스페이서 엘리먼트를 형성하는 단계를 더 포함한다. 본 방법은 제2 유전체층을 형성하고 제2 유전체층 내에 적어도 하나의 듀얼 다마신 트렌치 및 비아 구조물을 형성하고, 이러한 구조물을 도전성 물질로 채움으로써 제2 상호연결층을 제공하는 단계를 더 포함하고, 비아 구조물은 제1 상호연결층 내의 금속 바디와 연결되며, 도전성 물질은 제1 상호연결층의 금속 바디와 전기적으로 결합된다.
본 발명개시는 또한 반도체 바디 위에 있는 제1 유전체층과 제1 유전체층 내에 위치하는 금속 바디를 포함하는 반도체 구조물에 관한 것이며, 금속 바디는 제1 엣지를 정의하는 제1 측벽과, 제2 엣지를 정의하는 반대쪽의 제2 측벽을 갖는다. 반도체 구조물은 금속 바디의 제1 엣지에 접하게 형성되어 제1 엣지와 계면을 형성하는 스페이서 엘리먼트와, 제1제1 유전체층 위에 있고, 상기 계면 위에 걸쳐 있는 바닥면을 갖는 도전성 다마신 구조물을 갖는 제2 유전체층을 더 포함하며, 도전성 다마신 구조물은 금속 바디와 도전성 접촉을 이룬다.
제1 상호연결층(201)의 유전체 물질(206) 내에서 상당히 감소된 비아 구조 결함(250)을 가지면서, 통상적인 갭 충전 금속화 쟁점들을 제거시키는 반도체 구조물이 제공된다.
도 1은 통상적인 공정에 따라 형성된 반도체 구조물을 도시하는 부분적 단면도이다.
도 2a 내지 도 2j는 본 발명개시에 따라 반도체 디바이스를 형성하는 하나의 실시예의 단계들을 도시하는 부분적 단면도들이다.
도 3은 본 발명개시에 따른 반도체 디바이스의 제조 방법의 몇가지 실시예들의 흐름도를 도시한다.
본 설명은 도면을 참조하여 이루어지며, 도면에서 동일한 참조 부호는 일반적으로 도면 전체에 걸쳐 동일한 엘리먼트들을 가리키기 위해 사용되며, 다양한 구조물들은 반드시 실척도로 작도될 필요는 없다. 이하의 설명에서, 설명을 목적으로, 본 발명의 이해를 원활하게 하기 위해 다수의 특정 세부사항들이 설명된다. 하지만, 여기서 설명된 하나 이상의 양태들은 이러한 특정한 상세사항들의 보다 덜한 수준으로 실시될 수 있다는 것은 본 발명분야의 당업자에게 자명할 수 있다. 다른 예시들에서, 공지된 구조물들 및 디바이스들은 본 발명의 이해를 원활하게 하기 위해 블록도 형태로 도시된다.
트랜지스터 및 상호연결 엘리먼트들을 생성하기 위해 반도체 디바이스들은 복수의 상이한 처리 단계들을 이용하여 반도체 웨이퍼들 상에서 제조되거나 제작된다. 반도체 웨이퍼와 연관된 트랜지스터 단자들을 전기적으로 연결하기 위해, 도전성(예컨대, 금속) 트렌치들, 비아들 등이 반도체 디바이스의 일부로서 유전체 물질들에서 형성된다. 트렌치들과 비아들은 반도체 디바이스들의 내부 회로들, 트랜지스터들, 및 반도체 디바이스 외부의 회로들 사이에 전기적 신호들 및 전력을 커플링시킨다.
상호연결 엘리먼트들을 형성할 때에, 반도체 웨이퍼는 반도체 디바이스들의 희망하는 전자 회로를 형성하기 위해 예컨대 마스킹, 에칭, 및 증착 공정들 처리를 겪을 수 있다. 특히, 상호연결부들을 위한 트렌치들과 비아들로서 역할을 하는, 반도체 웨이퍼 상의 로우 k 유전체층과 같은 유전체층 내의 리세싱된 영역들의 패턴을 형성하기 위해 다수의 마스킹 및 에칭 단계들이 수행될 수 있다. 그 후 반도체 웨이퍼 위에 금속층을 퇴적시키기 위해 퇴적(deposition) 공정이 수행될 수 있고 이로써 트렌치들 및 비아들 둘 다 내에 그리고 반도체 웨이퍼의 리세싱되지 않은 영역들 상에 금속을 퇴적시킨다. 패턴화된 트렌치들 및 비아들과 같은, 상호연결부들을 격리시키기 위해, 반도체 웨이퍼의 리세싱되지 않은 영역들 상에 퇴적된 금속은 제거된다.
집적 회로들의 피처 크기들의 계속되는 감소는 후속하는 금속화 레벨들이 서로에 대해 훨씬 더 정확하게 정렬되어야 한다라는 일반적인 문제를 불러일으킨다. 하지만, 하나의 레벨이 다른 레벨 상으로 리소그래피방식으로 매핑될 때 일정한 본질적인 오정렬은 불가피하다. 그러므로, 하나의 비아 레벨의 콘택트들이 그 아래에 있는 금속화 레벨의 각각의 상호연결부들 상에 신뢰적으로 랜딩(land)할 수 있도록 보장하는 일정한 허용치들을 설계는 병합시켜야 한다.
도 2a 내지 도 2j는 본 발명개시에 따른 제조 공정 내 스테이지들에서의 반도체 구조물을 형성하는 방법의 하나의 실시예를 나타내는 복수의 부분적 단면도들을 도시한다. 도 2a를 참조하면, 개구부(214)가 형성되어 있는 반도체 기판(202) 상에 제1 상호연결층(201)이 제공된다. 기판(202)은 실리콘 또는 게르마늄, 또는 실리콘 온 절연체 구조물(silicon on insulator structure; SOI)과 같은 반도체 물질로 구성된, 반도체 웨이퍼 또는 기판을 포함한다는 것으로 이해될 것이다. 반도체 구조물은 (금속 또는 실리콘과 같은) 하나 이상의 도전층들 및/또는 절연층들, 기판(예컨대, 액정 디스플레이(liquid crystal display; LCD), 플라즈마 디스플레이, 전기 발광(electro-luminescence; EL) 램프 디스플레이, 또는 발광 다이오드(light emitting diode; LED) 기판과 같은 디스플레이 기판) 내 또는 이러한 기판 위에 형성된 하나 이상의 능동 또는 수동 디바이스들 등을 더 포함할 수 있다.
제1 상호연결층(201)은 제1 에칭 저지층(204)을 포함한다. 에칭 저지층(204)은, 일 실시예에서, 유전체 에칭 저지 물질들, 예컨대 SiO2, SiN, SiC, SiCN을 포함한다. 또다른 실시예에서, 에칭 저지 물질은 AlN을 포함할 수 있다. 에칭 저지층은 비제한적인 예시로서 화학적 기상 증착(chemical vapor deposition; CVD) 방법, 플라즈마 강화 화학적 기상 증착(plasma enhanced chemical vapor deposition; PECVD) 방법 및 물리적 기상 증착(physical vapor deposition; PVD) 스퍼터링 방법을 포함한 방법들을 활용하여 퇴적될 수 있다. 하나의 실시예에서, 에칭 저지층(204) 두께는 약 10 옹스트롬에서부터 약 500 옹스트롬까지의 범위에 있을 것이다.
제1 상호연결층(201)은 제1 에칭 저지층(204) 위에 위치하는 제1 유전체층(206)을 더 포함한다. 하나의 실시예에서, 제1 유전체층(206)은 3.0 미만의 유전상수를 갖는 로우 k 유전체 물질을 포함한다. 로우 k 유전체는 약 3 보다 작은 k를 갖는 유전체들을 포함한다. 이러한 유전체들은, 예컨대 탄소 도핑 실리콘 이산화물(이것은 또한 OSG(organosilicate glass)라고도 부른다) 및 탄소 산화물을 포함한다. 로우 k 물질들은 또한 다른 것들 중에서도, BPSG(borophosphosilicate glass), BSG(borosilicate glass), 및 PSG(phosphosilicate glass)를 포함할 수 있다. 제1 유전체층(206)은 예컨대, TEOS(tetraethyl orthosilicate), 화학적 기상 증착(CVD), 플라즈마 강화 CVD(PECVD), 저압 CVD(LPCVD), 또는 스핀 온 코팅 기술들을 이용하여 형성될 수 있다. 제1 유전체층은 SiCOH 또는 SiO2와 같은 물질을 포함할 수 있다. 제1 유전체층(206)은 약 600 옹스트롬에서부터 약 3000 옹스트롬까지의 두께를 가질 것이다.
캡핑(capping) 층(208)이 제1 유전체층(206) 위에서 형성된다. 캡핑층(208)은 실리콘 산화카바이드, 실리콘 질화물, 실리콘 산화질화물 등과 같은, 탄소, 실리콘, 질소, 및 산소의 조합들을 포함한다. 형성 방법은 화학적 기상 증착(CVD), 물리적 기상 증착(PVD), (스핀 온 글래스용) 스핀 온, 원자층 증착(atomic layer deposition; ALD) 등과 같은 통상적으로 이용되는 방법들을 포함한다. 캡핑층의 두께는 약 50 옹스트롬 내지 약 500 옹스트롬 사이에 있다.
하드 마스크층(210)은 캡핑층(208) 위에 위치한다. 하드 마스크층(208)은 후속 포토리소그래피 공정에서 제1 유전체층(206) 내에 개구부(214)를 형성하기 위해 이용된다. 몇몇의 실시예들에서, 하드 마스크층(210)은 티타늄 질화물과 같은 물질을 포함한다. 하나의 실시예에서 하드 마스크층(210)은 약 100 옹스트롬에서부터 약 500 옹스트롬까지의 두께를 가질 것이다.
도 2b에서, 제1 유전체층(206) 내의 개구부(214)를 채워서 제1 유전체층(206) 내에 임베딩되는 금속 바디(218)를 형성하도록 금속이 퇴적된다(미도시됨). 금속 바디(218)는 제1 및 제2 엣지들(223(a), 223(b))을 갖는 대향 측벽들(222(a), 222(b))과 바닥면(221)에 의해 정의된다. 하나의 실시예에서, 금속 바디(218)는 Al, W, Cu, Cu 합금들 등과 같은 원소들로 형성될 수 있다. 금속 바디(218)는 예컨대 다른 것들 중에서도, 플라즈마 기상 증착 기술을 이용하여 형성될 수 있다. 하나의 실시예에서, 금속 바디(218)는 약 300 옹스트롬에서부터 약 1500 옹스트롬까지의 높이를 가질 수 있다.
개구부(214)를 금속 바디(218)로 채우기 전에, 제1 배리어층(216)이 퇴적되어 개구부(214)를 라이닝(line)하고 금속 바디(218)의 대향 측벽들(222(a), 222(b))과 바닥면(221)을 에워싼다. 하나의 실시예에서, 제1 배리어층(216)은 Ta, TaN, Co, W, TiSiN, TaSiN, 또는 이들의 조합과 같은 물질을 포함할 수 있다. 제1 배리어층(216)은 약 15 Å 내지 약 1500 Å의 두께로 형성될 수 있다. 그런 후 화학적 기계적 폴리싱(chemical mechanical polishing; CMP) 공정(미도시됨)이 수행되어, 도 2b에서 도시된 바와 같이, 과잉의 금속을 제거하여 금속 바디(218)의 윗면(219)을 노출시킨다.
CMP 공정에 이어서, 도 2c에서 도시된 바와 같이, 제2 하드 마스크층(208')이 금속 바디(218)와 제1 유전체층(206) 위에 퇴적된다. 도 2d에서 도시된 바와 같이, 하드 마스크층(208') 내에 개구부(224)를 제공하도록 하드 마스크층(208')은 포토리소그래피방식으로 패턴화된다. 개구부(224)는 금속 바디(218)의 제1 엣지(223(a)) 위에 배치되도록 위치한다. 그런 후 에칭 공정(미도시됨)이 수행되어 제1 유전체층(206)과 금속 바디(218)의 측벽(222(a))을 에칭함으로써, 도 2e에서 도시된 바와 같이, 제1 유전체층(206)과 금속 바디(218) 내에 제2 개구부(226)를 형성한다. 제2 개구부(226)는 금속 바디(218)에 인접해 있고, 제2 개구부(226)는 금속 바디(218)와 접해 있는 측벽(219)을 포함한다.
그런 후 스페이서 물질(230)의 퇴적에 의해, 제2 개구부(226)를 채우고 하드 마스크층(208') 위에 위치한 스페이서 엘리먼트(228)가 도 2f에서 형성된다. 하나의 실시예에서, 스페이서 물질은 유전체 물질들, 예컨대 SiO2, SiN, SiC, SiCN을 포함할 수 있다. 또다른 실시예에서, 스페이서 물질(230)은 AlN을 포함할 수 있다. 하나의 실시예에서, 스페이서 엘리먼트(228)는 에칭 저지층(204)과 동일한 물질로 형성될 수 있다. 또다른 실시예에서, 스페이서 엘리먼트(228)와 에칭 저지층(204)은 상이한 물질들로 형성될 수 있다. 하나의 실시예에서, 스페이서 엘리먼트(228)는 원자층 증착과 같은 방법에 의해 퇴적될 수 있다. 하나의 실시예에서, 스페이서 엘리먼트(228)는 1500 Å 이상의 높이를 가질 수 있다. 하나의 실시예에서, 스페이서 엘리먼트의 높이는 금속 바디(218)의 높이 이상일 것이다. 스페이서 엘리먼트(228)의 형성에 후속하여, 도 2g에서 도시된 바와 같이, 스페이서 엘리먼트(228), 금속 바디(218) 및 제1 배리어층(216)이 각각 실질적으로 동일 평면인 윗면을 갖도록, 하드 마스크층(208') 및 하드 마스크층(208') 위에 있는 스페이서 물질은 CMP 공정(미도시됨)에 의해서 제거된다.
제1 상호연결층(201)의 형성 이후, 도 2h에서 도시된 바와 같이, 제2 에칭 저지층(204')이 제1 상호연결층(201) 위에 퇴적된다. 제2 에칭 저지층(204')은 제1 에칭 저지층(204)과 동일한 물질을 포함할 수 있다. 그런 후 제2 에칭 저지층(204') 위에 제2 유전체 물질(206')을 퇴적시킴으로써 제2 상호연결층(201')이 제1 상호연결 구조물(201) 위에서 형성될 수 있다. 제2 유전체 물질(206')은 제1 유전체 물질(206)과 동일하거나 상이한 물질들을 포함할 수 있다. 제1 유전체 물질(206)을 위한 공정 기술들 및 두께 범위들은 제2 유전체 물질(206')에 대해 적용가능하다.
유전체 캡핑층(230)은, 도 2h에서 도시된 바와 같이, 제2 상호연결층(201') 위에 형성된다. 유전체 캡핑층(230)은 예컨대 화학적 기상 증착(CVD), PECVD 등과 같은 통상적인 공정을 활용하여 형성될 수 있다. 캡핑층(230)은 SiC, SiO2, SiN 또는 SiCN과 같은 임의의 적절한 유전체 캡핑 물질을 포함할 수 있다. 캡핑층(230)은 약 50 옹스트롬 내지 약 500 옹스트롬의 두께로 형성될 수 있다.
이전에 설명한 바와 같이, 통상적인 포토리소그래피 기술들을 활용하여 제2 유전체층(206') 내에 듀얼 다마신 트렌치 및 비아 구조물(232)과 같은 적어도 하나의 개구부가 형성된다. 하나의 실시예에서, 에칭은 반응성 이온 에칭, 이온 빔 에칭, 플라즈마 에칭 등과 같은 드라이 에칭을 포함할 수 있다. 도 2i에서 도시된 바와 같이, 에칭 공정은 제2 유전체층(206') 내에 적어도 하나의 듀얼 다마신 트렌치 및 비아 구조물(232)을 제공한다. 적어도 하나의 듀얼 다마신 트렌치 및 비아 구조물(232)은, 금속 바디(218)의 엣지(223(a))에 대해 오정렬되고 스페이서 엘리먼트(228)와 금속 바디(218)의 윗면 내로 연장하여 비아 서브구조 결함(250)을 형성하는 비아를 포함할 수 있다. 오정렬된 비아의 일부분은 금속 바디(218) 상에 랜딩되는 반면에, 오정렬된 비아의 다른 부분들은 인접한 스페이서 엘리먼트(228)에서 형성된다. 그 후, 도시된 바와 같이, 도 1과 비교하여, 도 2i의 반도체 구조물은 제1 상호연결층(201)의 유전체 물질(206) 내에서 상당히 감소된 비아 구조 결함(250)을 가지면서, 통상적인 갭 충전 금속화 쟁점들을 제거시킨다.
도 2j에서 도시된 바와 같이, 제2 배리어층은 제2 유전체 물질(206')과 도전성 물질(240) 사이에 배치된다. 제2 배리어층은 제1 배리어층(216)과 동일한 물질을 포함하거나 또는 제1 배리어층(216)과 상이한 물질을 포함할 수 있다. 그런 후 도전성 물질(240)이 듀얼 다마신 트렌치 및 비아 구조물(232)을 채우도록 퇴적되어 도전성 물질(240)은 제1 상호연결층(201)의 금속 바디(218)에 전기적으로 결합된다. 그런 후 CMP 공정이 수행되어 도전성 물질(240)을 평탄화시킬 수 있다.
도 3은 본 발명개시의 실시예에 따른 반도체 구조물의 형성을 위한 방법(300)의 몇가지 실시예들의 흐름도를 도시한다. 아래에서 방법(300)은 일련의 동작들 또는 이벤트들로서 도시되고 설명되지만, 이러한 동작들 또는 이벤트들의 나타난 순서는 제한적인 의미로서 해석되어서는 안된다는 것을 알 것이다. 예를 들어, 몇몇의 동작들은 여기서 도시되고 및/또는 설명된 것 이외에도 이와 다른 순서로 발생할 수 있고 및/또는 다른 동작들 또는 이벤트들과 동시적으로 발생할 수 있다. 또한, 여기서의 설명의 하나 이상의 양태들 또는 실시예들을 구현하기 위해 도시된 동작들 모두가 필요한 것은 아닐 수 있다. 더 나아가, 여기서 도시된 동작들 중 하나 이상은 하나 이상의 별개의 동작들 및/또는 단계들로 수행될 수 있다.
단계(302)에서, 제1 상호연결층이 제공된다. 제1 상호연결층은 금속 바디, 예컨대 구리 금속 바디가 내부에 임베딩되어 있는 유전체 물질을 포함한다. 그런 후 단계(304)에서 개구부가 제1 유전체층 내에서 형성된다.
단계(306)에서, 스페이서 엘리먼트가 개구부 내에서 형성된다. 스페이서 엘리먼트는 금속 바디의 측벽과 접해 있는 측벽을 갖는다.
단계(308)에서, 제2 상호연결층이 제1 상호연결층 위에 제공된다. 제2 상호연결층은 제2 유전체 물질을 포함한다.
단계(310)에서, 개구부가 에칭에 의해 제2 유전체층 내에 형성된다.
단계(312)에서, 개구부는 도전성 물질로 채워지고 도전성 물질은 제1 상호연결층의 금속 바디에 전기적으로 결합된다. 그 후, 방법은 종료된다.
본 명세서 및 첨부된 도면들의 판독 및/또는 이해에 기초하여 등가적인 변경들 및/또는 수정들이 본 발명분야의 당업자에게 떠오를 수 있다는 것이 이해될 것이다. 여기서의 발명개시는 이러한 모든 변경들과 수정들을 포함하며, 일반적으로 이들에 의해 제한되는 것은 아니다. 또한, 여러가지 구현예들 중 하나만의 구현예에 대한 특정한 특징 또는 양태가 개시되어왔을 수 있으나, 이러한 특징 또는 양태는 희망하는 바에 따라 다른 구현예들의 하나 이상의 다른 특징들 및/또는 양태들과 결합될 수 있다. 더 나아가, 용어들 "구비한다", "가짐", "갖는다", "함께", 및/또는 이들의 변형물들이 여기서 이용되는 범위에서, 이러한 용어들은 "포함한다"와 같이 포괄적인 의미인 것으로 받아들어야 한다. 또한, "예시적인"은 최상의 것 보다는 단지 일례를 의미하는 것에 불과하다. 여기서 도시된 피처들, 층들, 및/또는 엘리먼트들은 단순함과 이해의 편리성을 목적으로 서로에 대한 특정한 치수 및/또는 배향을 갖고 도시되었다는 것과, 실제의 치수 및/또는 배향은 여기서 도시된 것과는 실질적으로 다를 수 있다는 것을 또한 이해해야 한다.

Claims (10)

  1. 반도체 구조물에 있어서,
    반도체 기판;
    금속 바디가 임베딩되어 있는 제1 유전체 물질과 스페이서 엘리먼트를 포함하고, 상기 반도체 기판 위에 있는 제1 상호연결층; 및
    적어도 하나의 비아가 내부에 구비되어 있는 제2 유전체 물질을 포함하고, 상기 제1 상호연결층 위에 위치하는 제2 상호연결층
    을 포함하고,
    상기 금속 바디는 제1 엣지와 제2 엣지를 각각 정의하는 제1 측벽과 제2 측벽, 및 바닥면을 포함하고,
    상기 스페이서 엘리먼트는 상기 금속 바디에 인접해 있고 상기 금속 바디의 상기 제1 측벽에 접해 있는 측벽을 가지며,
    상기 비아는 상기 제1 상호연결층의 금속 바디에 전기적으로 결합된 도전성 물질로 채워지는 것인, 반도체 구조물.
  2. 제1항에 있어서, 상기 제2 유전체 물질 내의 적어도 하나의 비아는, 상기 금속 바디의 제1 엣지 위에 있고 상기 스페이서 엘리먼트의 윗면 내로 연장해 있도록 상기 금속 바디에 대해 오정렬되어 있는 비아를 포함하는 것인, 반도체 구조물.
  3. 제1항에 있어서, 상기 스페이서 엘리먼트의 높이는 상기 금속 바디의 높이 이상인 것인, 반도체 구조물.
  4. 제1항에 있어서, 상기 제1 상호연결층은, 상기 제1 유전체 물질과 상기 금속 바디 사이에 배치되어 있고 상기 금속 바디의 상기 제1 측벽과 상기 제2 측벽 및 상기 바닥면을 에워싸는 제1 배리어층을 더 포함하며,
    상기 제2 상호연결층은 상기 제2 유전체 물질과 상기 도전성 물질 사이에 배치된 제2 배리어층을 더 포함하는 것인, 반도체 구조물.
  5. 제1항에 있어서, 상기 스페이서 엘리먼트는 SiC, SiN, SiCN, SiO2, 또는 AlN을 포함하는 것인, 반도체 구조물.
  6. 제1항에 있어서, 상기 제1 유전체 물질 또는 상기 제2 유전체 물질은 3.0 미만의 k값을 갖는 로우 k 유전체 물질을 포함하는 것인, 반도체 구조물.
  7. 제4항에 있어서, 상기 반도체 기판과 상기 제1 유전체 물질 사이에 배치되어 있는 제1 에칭 저지층(etch stop layer; ESL)과 상기 제1 상호연결층과 상기 제2 상호연결층 사이에 배치되어 있는 제2 ESL을 더 포함하는, 반도체 구조물.
  8. 반도체 구조물을 형성하는 방법에 있어서,
    반도체 기판을 제공하는 단계;
    제1 유전체층을 형성하고 상기 제1 유전체층 내에 제1 개구부를 형성함으로써 상기 반도체 기판 위에 제1 상호연결층을 제공하는 단계로서, 상기 제1 개구부 내에는 금속 바디가 임베딩되어 있고, 상기 금속 바디는 바닥면, 제1 측벽 및 이에 반대쪽에 있는 제2 측벽을 포함하고, 상기 제1 측벽과 상기 제2 측벽은 제1 엣지와 제2 엣지를 각각 정의하는 것인, 상기 제1 상호연결층 제공 단계;
    상기 제1 유전체층 내에 제2 개구부를 형성하는 단계로서, 상기 제2 개구부는 상기 금속 바디에 인접해 있고, 상기 금속 바디의 제1 측벽에 접해 있는 측벽을 갖는 것인, 상기 제2 개구부 형성 단계;
    상기 제2 개구부를 채우는 스페이서 엘리먼트를 형성하는 단계; 및
    제2 유전체층을 형성하고 상기 제2 유전체층 내에 적어도 하나의 비아를 형성하며, 상기 비아를 도전성 물질로 채움으로써 제2 상호연결층을 제공하는 단계
    를 포함하고,
    상기 비아는 상기 금속 바디로 연장해 있으며,
    상기 도전성 물질은 상기 제1 상호연결층의 금속 바디와 전기적으로 결합되는 것인, 반도체 구조물 형성 방법.
  9. 제8항에 있어서, 상기 반도체 기판과 상기 제1 유전체층 사이에 제1 에칭 저지층(etch stop layer; ESL)을 형성하는 단계와 상기 제1 상호연결층과 상기 제2 상호연결층 사이에 제2 ESL을 형성하는 단계를 더 포함하는, 반도체 구조물 형성 방법.
  10. 반도체 구조물에 있어서,
    반도체 바디 위에 있는 제1 유전체층;
    상기 제1 유전체층 내에 위치하며, 제1 엣지를 정의하는 제1 측벽과 제2 엣지를 정의하는 반대쪽의 제2 측벽을 갖는 금속 바디;
    상기 금속 바디의 제1 엣지에 접하게 형성되어 상기 제1 엣지와 계면을 형성하는 스페이서 엘리먼트; 및
    상기 계면 위에 걸쳐 있는 바닥면을 갖는 도전성 다마신 구조물을 가지며 상기 제1 유전체층 위에 있는 제2 유전체층
    을 포함하며, 상기 도전성 다마신 구조물은 상기 금속 바디와 도전성 접촉을 이루는 것인, 반도체 구조물.
KR1020130080864A 2013-03-12 2013-07-10 개선된 비아 랜딩 프로파일을 위한 신규한 패터닝 방법 KR101476544B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/794,999 2013-03-12
US13/794,999 US9312222B2 (en) 2013-03-12 2013-03-12 Patterning approach for improved via landing profile

Publications (2)

Publication Number Publication Date
KR20140111913A true KR20140111913A (ko) 2014-09-22
KR101476544B1 KR101476544B1 (ko) 2014-12-24

Family

ID=51523930

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020130080864A KR101476544B1 (ko) 2013-03-12 2013-07-10 개선된 비아 랜딩 프로파일을 위한 신규한 패터닝 방법

Country Status (2)

Country Link
US (5) US9312222B2 (ko)
KR (1) KR101476544B1 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170095836A (ko) * 2014-12-24 2017-08-23 인텔 코포레이션 타이트한 피치의 금속 상호접속층들의 상부 및 하부에 비아를 자기 정렬하는 구조체 및 방법
KR20170129475A (ko) * 2016-05-17 2017-11-27 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
KR20210002324A (ko) * 2019-06-28 2021-01-07 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 백엔드 오브 라인 비아와 금속 라인간 마진 개선

Families Citing this family (317)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9685368B2 (en) 2015-06-26 2017-06-20 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure having an etch stop layer over conductive lines
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
KR102616823B1 (ko) 2015-12-16 2023-12-22 삼성전자주식회사 반도체 장치
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9711391B1 (en) 2016-01-20 2017-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
WO2017138299A1 (ja) * 2016-02-08 2017-08-17 株式会社村田製作所 高周波モジュールとその製造方法
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
WO2018125109A1 (en) * 2016-12-29 2018-07-05 Intel Corporation Subtractive plug etching
KR20190092401A (ko) 2016-12-29 2019-08-07 인텔 코포레이션 자체-정렬형 비아
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10727123B2 (en) * 2018-06-18 2020-07-28 International Business Machines Corporation Interconnect structure with fully self-aligned via pattern formation
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020155490A (ja) * 2019-03-18 2020-09-24 キオクシア株式会社 半導体装置
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
TWI801614B (zh) * 2019-06-21 2023-05-11 聯華電子股份有限公司 半導體元件及其製作方法
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11824002B2 (en) * 2019-06-28 2023-11-21 Intel Corporation Variable pitch and stack height for high performance interconnects
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11600519B2 (en) * 2019-09-16 2023-03-07 International Business Machines Corporation Skip-via proximity interconnect
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11121087B2 (en) * 2019-12-24 2021-09-14 Globalfoundries U.S. Inc. Methods of forming a conductive contact structure to an embedded memory device on an IC product and a corresponding IC product
US11158574B2 (en) 2019-12-24 2021-10-26 Globalfoundries U.S. Inc. Methods of forming a conductive contact structure to an embedded memory device on an IC product and a corresponding IC product
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
US11211291B2 (en) * 2020-04-03 2021-12-28 International Business Machines Corporation Via formation with robust hardmask removal
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US20210384072A1 (en) * 2020-06-07 2021-12-09 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor structure and forming method thereof
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11456209B2 (en) * 2020-07-31 2022-09-27 Taiwan Semiconductor Manufacturing Co., Ltd. Spacers for semiconductor devices including a backside power rails
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11776901B2 (en) 2021-03-10 2023-10-03 Taiwan Semiconductor Manufacturing Company, Ltd. Via landing on first and second barrier layers to reduce cleaning time of conductive structure
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN118198033A (zh) * 2022-12-12 2024-06-14 三星电子株式会社 布线结构和包括其的半导体器件

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR0165454B1 (ko) 1995-10-25 1999-02-01 김광호 트렌치 소자분리방법
US5756396A (en) * 1996-05-06 1998-05-26 Taiwan Semiconductor Manufacturing Company Ltd Method of making a multi-layer wiring structure having conductive sidewall etch stoppers and a stacked plug interconnect
US5899738A (en) 1997-05-23 1999-05-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method for making metal plugs in stacked vias for multilevel interconnections and contact openings while retaining the alignment marks without requiring extra masking steps
US5942801A (en) * 1997-12-18 1999-08-24 Advanced Micro Devices, Inc. Borderless vias with HSQ gap filled metal patterns having high etching resistance
MY144574A (en) * 1998-09-14 2011-10-14 Ibiden Co Ltd Printed circuit board and method for its production
US6187672B1 (en) * 1998-09-22 2001-02-13 Conexant Systems, Inc. Interconnect with low dielectric constant insulators for semiconductor integrated circuit manufacturing
JP2000174123A (ja) * 1998-12-09 2000-06-23 Nec Corp 半導体装置及びその製造方法
US6159839A (en) 1999-02-11 2000-12-12 Vanguard International Semiconductor Corporation Method for fabricating borderless and self-aligned polysilicon and metal contact landing plugs for multilevel interconnections
US6136695A (en) 1999-08-04 2000-10-24 Taiwan Semiconductor Manufacturing Company Method for fabricating a self-aligned contact
KR20030002529A (ko) 2001-06-29 2003-01-09 주식회사 하이닉스반도체 다층 배선 형성 방법
US20030038371A1 (en) * 2001-08-22 2003-02-27 Chen-Chiu Hsue Method of forming a metallic interconnect structure with a metallic spacer
US6766602B2 (en) * 2002-08-08 2004-07-27 Caterpillar Inc. Corner tooth adapter arrangement for an excavating implement
US6960529B1 (en) * 2003-02-24 2005-11-01 Ami Semiconductor, Inc. Methods for sidewall protection of metal interconnect for unlanded vias using physical vapor deposition
KR100532437B1 (ko) * 2003-05-26 2005-11-30 삼성전자주식회사 반도체 메모리 소자 및 그 제조 방법
KR100587635B1 (ko) * 2003-06-10 2006-06-07 주식회사 하이닉스반도체 반도체소자의 제조 방법
KR101005737B1 (ko) 2003-07-09 2011-01-06 매그나칩 반도체 유한회사 반도체 소자의 금속배선 형성방법
TWI281231B (en) * 2004-12-20 2007-05-11 Hynix Semiconductor Inc Method for forming storage node of capacitor in semiconductor device
US7838428B2 (en) * 2006-03-23 2010-11-23 International Business Machines Corporation Method of repairing process induced dielectric damage by the use of GCIB surface treatment using gas clusters of organic molecular species
US7800228B2 (en) * 2006-05-17 2010-09-21 International Business Machines Corporation Reliable via contact interconnect structure
US7772702B2 (en) * 2006-09-21 2010-08-10 Intel Corporation Dielectric spacers for metal interconnects and method to form the same

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170095836A (ko) * 2014-12-24 2017-08-23 인텔 코포레이션 타이트한 피치의 금속 상호접속층들의 상부 및 하부에 비아를 자기 정렬하는 구조체 및 방법
KR20170129475A (ko) * 2016-05-17 2017-11-27 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
KR20210002324A (ko) * 2019-06-28 2021-01-07 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 백엔드 오브 라인 비아와 금속 라인간 마진 개선
US11276638B2 (en) 2019-06-28 2022-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Back end of line via to metal line margin improvement

Also Published As

Publication number Publication date
US20210074636A1 (en) 2021-03-11
US20160218038A1 (en) 2016-07-28
US20170229397A1 (en) 2017-08-10
US9640435B2 (en) 2017-05-02
US9312222B2 (en) 2016-04-12
KR101476544B1 (ko) 2014-12-24
US10861788B2 (en) 2020-12-08
US10170420B2 (en) 2019-01-01
US11721624B2 (en) 2023-08-08
US20190148294A1 (en) 2019-05-16
US20140264902A1 (en) 2014-09-18

Similar Documents

Publication Publication Date Title
US10861788B2 (en) Patterning approach for improved via landing profile
TWI625802B (zh) 導線結構和製造方法
US9966336B2 (en) Hybrid interconnect scheme and methods for forming the same
US7528493B2 (en) Interconnect structure and method of fabrication of same
US10354954B2 (en) Copper etching integration scheme
US7544602B2 (en) Method and structure for ultra narrow crack stop for multilevel semiconductor device
US8728936B1 (en) Copper etching integration scheme
CN106033741B (zh) 金属内连线结构及其制作方法
US20040251549A1 (en) Hybrid copper/low k dielectric interconnect integration method and device
US11594419B2 (en) Reduction of line wiggling
US20180033723A1 (en) Capacitors with Barrier Dielectric Layers, and Methods of Formation Thereof
US8735278B2 (en) Copper etch scheme for copper interconnect structure
KR102024971B1 (ko) 반도체 디바이스 및 그 제조 방법
US9281263B2 (en) Interconnect structure including a continuous conductive body
KR20020011476A (ko) 알씨 딜레이를 개선한 반도체소자의 금속배선방법
US6277755B1 (en) Method for fabricating an interconnect
US20240096796A1 (en) Integrated circuit device
KR20020050901A (ko) 반도체장치의 배선 및 배선연결부 및 그 제조방법

Legal Events

Date Code Title Description
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20171208

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20191211

Year of fee payment: 6