US20180033723A1 - Capacitors with Barrier Dielectric Layers, and Methods of Formation Thereof - Google Patents

Capacitors with Barrier Dielectric Layers, and Methods of Formation Thereof Download PDF

Info

Publication number
US20180033723A1
US20180033723A1 US15/782,578 US201715782578A US2018033723A1 US 20180033723 A1 US20180033723 A1 US 20180033723A1 US 201715782578 A US201715782578 A US 201715782578A US 2018033723 A1 US2018033723 A1 US 2018033723A1
Authority
US
United States
Prior art keywords
insulating layer
metal line
etch stop
stop liner
metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US15/782,578
Inventor
Bernd Landgraf
Jens Hahn
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Infineon Technologies AG
Original Assignee
Infineon Technologies AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Infineon Technologies AG filed Critical Infineon Technologies AG
Priority to US15/782,578 priority Critical patent/US20180033723A1/en
Publication of US20180033723A1 publication Critical patent/US20180033723A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • H01L23/5223Capacitor integral with wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • H01L28/90Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions
    • H01L28/91Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions made by depositing layers, e.g. by depositing alternating conductive and insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • the present invention relates generally to capacitors, and, in particular embodiments, to capacitors with barrier dielectric layers, and methods of formation thereof.
  • Semiconductor devices are used in a variety of electronic and other applications.
  • Semiconductor devices comprise, among other things, integrated circuits or discrete devices that are formed on semiconductor wafers by depositing one or more types of thin films of material over the semiconductor wafers, and patterning the thin films of material to form the integrated circuits.
  • a device including a first metal feature is disposed in a first insulating layer.
  • a second metal feature is disposed in a second insulating layer and separated from the first metal feature by a portion of a first etch stop liner disposed between the first and the second insulating layers.
  • the second metal feature is capacitively coupled to the first metal feature through the first etch stop liner.
  • a method of forming a device comprises forming a first metal feature in a first insulating layer over a substrate and forming a second metal feature in a second insulating layer.
  • the second metal feature is separated from the first metal feature by a portion of a first etch stop liner between the first and the second insulating layers.
  • the second metal feature is capacitively coupled to the first metal feature through the first etch stop liner.
  • a method of forming a capacitor comprises forming a first insulating layer over a substrate, forming a first metal feature in the first insulating layer, and forming an etch stop liner over the first insulating layer.
  • the method further includes forming a second insulating layer over the etch stop liner and forming a second metal feature in the second insulating layer. The second metal feature is separated from the first metal feature by a portion of the etch stop liner.
  • FIGS. 1A and 1B illustrates a magnified view of a chip in accordance with an embodiment of the present invention, wherein FIG. 1A illustrates a cross sectional view and FIG. 1B illustrates a top view;
  • FIGS. 2A-2H illustrate a semiconductor device during various stages of fabrication in accordance with an embodiment of the present invention
  • FIGS. 3A and 3B illustrate a cross-sectional view of a semiconductor device during various stages of processing in accordance with an alternative embodiment of the present invention
  • FIGS. 4A and 4B illustrates a further embodiment of forming a capacitor, wherein the capacitor comprises an additional dielectric layer besides the etch stop liner;
  • FIGS. 5A and 5B illustrate alternative embodiments of a floating capacitive structure, wherein FIG. 5A comprises a capacitor with a floating node, and wherein FIG. 5B comprises a floating gate transistor; and
  • FIG. 6 illustrates the capacitor structure in accordance with an alternative embodiment.
  • the present invention will be described with respect to various embodiments in a specific context, namely a structure and method for forming a high density capacitor.
  • the invention may be used in a number of semiconductor components. Examples of such components include system on chip (SoC), microprocessor units (MPU's), high frequency circuits, and mixed-signal products.
  • SoC system on chip
  • MPU's microprocessor units
  • high frequency circuits high frequency circuits
  • mixed-signal products mixed-signal products.
  • MIM capacitors are planar capacitors and typically comprise two metal plates sandwiched around a capacitor dielectric that is parallel to a semiconductor wafer surface.
  • the capacitor is formed by a masking and patterning step.
  • the top capacitor metal plate is formed by a planar deposition of a conductive material, and lithographically patterning and etching the conductive material using a reactive ion etch (RIE) process.
  • RIE reactive ion etch
  • FIG. 1 A structural embodiment of the invention will be first described using FIG. 1 . Embodiments of the methods of fabrication will be described using FIGS. 2-4 . Various structural embodiments will then be described using FIGS. 5 and 6 .
  • FIGS. 1A and 1B An embodiment of the invention is illustrated in FIGS. 1A and 1B .
  • FIG. 1A illustrates a magnified cross sectional view of a chip in accordance with an embodiment of the present invention.
  • FIG. 1B illustrates a magnified top view of a chip in accordance with an embodiment of the present invention.
  • the semiconductor chip 10 (not shown to scale) contains active circuitry disposed inside it.
  • the active circuitry may be formed in and/or over a substrate no and includes the active device regions 105 and includes necessary transistors, resistors, capacitors, inductors or other components used to form integrated circuits.
  • active areas that include transistors e.g., CMOS transistors
  • isolation regions e.g., shallow trench isolation.
  • the semiconductor chip 10 may be formed on a silicon substrate 110 .
  • the semiconductor chip 10 may have been formed on silicon carbide (SiC).
  • the semiconductor chip 10 may have been formed at least partially on gallium nitride (GaN).
  • the substrate no may comprise semiconductor on insulator substrates such as SOI as well as compound semiconductors such as GaAs, InP, InSb, SbInP, and others.
  • the substrate no may include epitaxial layers including heteroepitaxial or homoepitaxial layers.
  • Some examples of the substrate no are a bulk mono-crystalline silicon substrate (or a layer grown thereon or otherwise formed therein), a layer of (110) silicon on a (100) silicon wafer, a layer of a silicon-on-insulator (SOI) wafer, or a layer of a germanium-on-insulator (GeOI) wafer.
  • other semiconductors such as silicon germanium, germanium, gallium arsenide, indium arsenide, indium gallium arsenide, indium antimonide, or others can be used as the substrate 110 .
  • the metallization is formed over the active device regions to electrically contact and interconnect the active devices.
  • the metallization and active device regions together form a completed functional integrated circuit.
  • the electrical functions of the chip 10 can be performed by the interconnected active circuitry.
  • the metallization may include many layers, e.g., nine or more, of copper or alternatively of other metals.
  • the number of metal levels may be less and may be aluminum.
  • FIG. 1A shows two metal level of metallization, which comprises a contact level (CL) (mostly containing a W plug), a first metal level M 1 , a via level V 1 , and second metal level M 2 .
  • a first insulating layer 131 is disposed over the substrate 110 .
  • the first insulating layer 131 may comprise an etch stop layer in one or more embodiments.
  • the first insulating layer 131 comprises SiO 2 such as tetra ethyl oxysilane (TEOS) or fluorinated TEOS (FTEOS), but in various embodiments may comprise insulating materials typically used in semiconductor manufacturing for inter-level dielectric (ILD) layers, such as doped glass (BPSG, PSG, BSG), organo silicate glass (OSG), carbon doped oxides (CDO), fluorinated silicate glass (FSG), spin-on glass (SOG), or low-k and low-k insulating materials, e.g., having a dielectric constant of about 4 or less, such as SiLK or porous SiCOH, or dielectric diffusion barrier layers or etchstop layers such as silicon nitride (SiN), silicon oxynitride (SiON), silicon carbide (SiC) or silicon carbo nitride (SiCN), e.g., having a dielectric constant of about 4 or or combinations or multiple layers thereof, as examples, although alternatively
  • the first insulating layer 131 may also comprise dense SiCOH or a porous dielectric having a k value of about 3 or lower, as examples.
  • the first insulating layer 131 may also comprise an ultra-low-k (ULK) material having a k value of about 2.3 or lower, for example.
  • the first insulating layer 131 may comprise a thickness of about 500 nm or less, for example, although alternatively, the first insulating layer 131 may comprise other dimensions.
  • the copper lines in case of copper BEOL may be capped by tungsten containing selective grown metal such as W x Co y P z .
  • a first etch stop liner 121 is disposed over the first insulating layer 131 and a second insulating layer is disposed over the first etch stop liner 121 .
  • a first metal level M 1 is formed within the second insulating layer 132 , each metal line comprising a first metal liner 141 , a second metal liner 142 , and with a first fill metal 143 .
  • the first via level V 1 and the second metal level M 2 may be formed within a third insulating layer 133 as a single structure comprising a first conductive liner 151 , a second conductive liner 152 , and with a second fill metal 153 .
  • the maximum capacitance is limited by the design rules for minimum pitch (minimum distance) between adjacent metal lines.
  • the maximum capacitance is limited by the design rules for the distance between these metal lines.
  • Embodiments of the present invention overcome these problems by forming a vertical capacitor 102 separated by a common second etch stop liner 122 .
  • the second etch stop liner 122 may also be a barrier layer for preventing diffusion of subsequent metal layers.
  • the first and second etch stop liners 121 and 122 may comprise the same material composition in various embodiments. However, in some embodiments, the first and second etch stop liners 121 and 122 may be different materials, for example, when the composition of the first insulating layer 131 and the third insulating layer 133 are significantly different.
  • the second metal line level M 2 and first via level V 1 are disposed in a third insulating layer 133 .
  • the third insulating layer 133 may comprise a ILD material as described above, the third insulating layer 133 is separated from the second insulating layer 132 by a second etch stop liner 122 .
  • a portion of the second etch stop liner 122 forms the capacitor dielectric of the capacitor 102 .
  • the height of the metal lines (H M ) is smaller than the height of the electrical connection of the capacitor plate (H C ).
  • the capacitor plate extends through the height of the third insulating layer 133 .
  • the length and width of the metal lines (L M and W M ) and the length and width of the capacitor plates (L C and W C ) are comparable and much bigger than the length and width of the vias or may consist of many vias.
  • FIGS. 2A-2H illustrates a semiconductor device during various stages of fabrication in accordance with an embodiment of the present invention.
  • Embodiments of the present invention may also be applied to other capacitive structures such as floating gate transistors.
  • the device region 105 is formed.
  • the device region 105 may include a transistor, diode, and other active or passive devices in various embodiments. Contacts are made to the device region 105 , which may include forming silicide regions. Next, the device undergoes back end of the line manufacturing, wherein, contacts are made to the semiconductor body and interconnected using metal lines and vias.
  • a first metal level M 1 and the contact level (CL) are formed over the substrate 110 .
  • a first insulating layer 131 is deposited over the substrate 110 .
  • the first insulating layer 131 may include one or more insulating layers and may include a etch stop liner.
  • the contacts of the CL level are formed within the first insulating layer 131 .
  • a second insulating layer 132 is deposited over the first insulating layer 131 after forming a first etch stop liner 121 .
  • the first metal level M 1 and the contact level may be metal levels that are not the lowest metal level and via levels. Other metal levels may be disposed between the first metal level M 1 and the substrate 110 , for example.
  • the first metal level M 1 and the contact level may be formed using damascene or dual damascene processes. Further in alternative embodiments, the first metal level M 1 and the contact level may be formed using a fill process, and/or silicide process.
  • One or more further level may comprise a dual-tier opening having an upper conductive line and a lower conductive via.
  • the upper conductive line may be an opening such as a trench (but may also be a hole), and may be filled with a metal.
  • Conductive via may be an opening such as a hole (but may also be a trench) and may be also filled with a metal.
  • a third insulating layer 133 is then formed over a second etch stop liner 122 .
  • the second etch stop liner 122 is deposited over the second insulating layer 132 .
  • a nitride film e.g., silicon nitride
  • the second etch stop liner 122 may comprise an oxide, a nitride, or an oxynitride such as silicon dioxide, silicon nitride, silicon oxynitride, and others.
  • the second etch stop liner 122 may comprise boron doped layers includes BPSG, boron nitride, silicon boron nitride, silicon carbon nitride, silicon germanium, germanium, carbon based layers such as amorphous carbon.
  • the second etch stop liner 122 may comprise silicon carbide including SiC:H comprising various combinations of C—H, Si—H, Si—CH 3 , Si—(CH 2 ) n , and Si—C.
  • the second etch stop liner 122 comprises an insulating material having a different etch rate than the third insulating layer 133 to be deposited thereupon.
  • the second etch stop liner 122 etches at least ten times faster than the third insulating layer 133 .
  • the second etch stop liner 122 is also a diffusion barrier layer for the metal in the underlying conductive metal lines.
  • the second etch stop liner 122 prevents the underlying copper from diffusing into the adjoining insulation regions.
  • the third insulating layer 133 comprises insulating materials including inter-level dielectric (ILD) materials, such as SiO2, tetra ethyl oxysilane (TEOS), fluorinated TEOS (FTEOS), doped glass (BPSG, PSG, BSG), organo silicate glass (OSG), fluorinated silicate glass (FSG), spin-on glass (SOG), SiN, SiON, or low k insulating materials, e.g., having a dielectric constant of about 4 or less, or combinations or multiple layers thereof, as examples, although alternatively, the third insulating layer 133 may comprise other materials.
  • ILD inter-level dielectric
  • the third insulating layer 133 may also comprise dense SiCOH or a porous dielectric having a k value of about 3 or lower, as examples.
  • the third insulating layer 133 may also comprise an ultra-low k (ULK) material having a k value of about 2.3 or lower, for example.
  • the third insulating layer 133 may comprise a thickness of about 500 nm or less, for example, although alternatively, the third insulating layer 133 may comprise other dimensions.
  • a photoresist layer 161 is deposited over the third insulating layer 133 , exposed, developed, and patterned to mask off the non-exposed regions to the etch.
  • a hard mask layer may be deposited prior to depositing the photoresist layer 161 and patterned using the photoresist layer 161 .
  • the third insulating layer 133 is then etched down to the second etch stop liner 122 using standard etch techniques such as a reactive ion etch.
  • the third insulating layer 133 etches away at a faster rate than the second etch stop liner 122 . Therefore, the reactive ion etch is stopped on the second etch stop liner 122 forming an etch opening 165 .
  • the opening 165 may be in the form of a hole.
  • the second etch stop liner 122 is not removed as in conventional processing for forming vias. Therefore, no electrical contact is possible between the conductive feature to be formed in the opening 165 with the underlying metal line in the first insulating layer 131 .
  • a first sacrificial material 170 is deposited into the etch opening 165 .
  • the first sacrificial material 170 may be a glassy material that can be deposited using a spin-on-process in one or more embodiments. Alternatively, in other embodiments, the first sacrificial material 170 may be deposited using other types of deposition process and may include other materials.
  • the first sacrificial material 170 comprises a low-k dielectric material.
  • the first sacrificial material 170 comprises a photo resist material.
  • the first sacrificial material 170 comprises an anti-reflective coating material.
  • the first sacrificial material 170 comprises a carbon containing material including amorphous carbon.
  • a hydrogen-containing carbon layer is deposited over the third insulating layer 133 to form the first sacrificial material 170 .
  • the first sacrificial material 170 may be deposited using a chemical vapor deposition (CVD), physical vapor deposition (PVD), plasma enhanced chemical vapor deposition (PE-CVD) process, spin-on coating, or other processes.
  • CVD chemical vapor deposition
  • PVD physical vapor deposition
  • PE-CVD plasma enhanced chemical vapor deposition
  • the first sacrificial material 170 comprises a material having a high etch selectivity relative to the third insulating layer 133 and the second etch stop liner 122 so that the first sacrificial material 170 may be removed without etching the third insulating layer 133 or the underlying second etch stop liner 122 .
  • the first sacrificial material 170 etches at least ten times faster relative to the third insulating layer 133 and the second etch stop liner 122 .
  • the first sacrificial material 170 may be planarized as next illustrated in FIG. 2D leaving behind a sacrificial plug 171 . Accordingly, a chemical mechanical planarizing process may be used in one embodiment.
  • an opening 180 for metal line and via are formed in an example using a dual damascene process.
  • the opening 180 may be formed by depositing a photo resist layer and patterning for the via followed by depositing another photo resist layer and patterning for metal lines.
  • the structured masking material 172 may include developed photo resist layer and one or more layers of hard mask layers underneath the photo resist layer.
  • a first conductive liner 151 and a second conductive liner 152 are deposited.
  • any masking material 172 used for forming the metal lines and vias as well as sacrificial materials such as sacrificial plug 171 are removed. This may be accomplished using an etching process such as a wet chemical etching process.
  • a first conductive liner 151 may be deposited prior to filling the openings with a conductive fill material.
  • the first conductive liner 151 is conformal, and may comprise a single layer of Ta, TaN, WN, WSi, Ti, TiN, Ru, Co and combinations thereof, as examples.
  • materials which may be used for the first conductive liner 151 include tantalum silicon nitride, tungsten, titanium tungsten or the like.
  • the first conductive liner 151 may be typically used as a barrier layer for preventing metal from diffusing into the underlying semiconductor material or second insulating layer 132 .
  • the first conductive liner 151 may be deposited, for example, using a chemical vapor deposition (CVD), physical vapor deposition (PVD) or Atomic layer Deposition (ALD) process.
  • CVD chemical vapor deposition
  • PVD physical vapor deposition
  • ALD Atomic layer Deposition
  • a second conductive liner 152 is then deposited similarly using, for example, a CVD, PVD, or ALD process over the first conductive liner 151 .
  • the second conductive liner 152 may be seed layer, for example, comprising copper, for subsequent electroplating of copper.
  • the first and the second conductive liners 151 and 152 are deposited using a conformal deposition process, leaving a conformal liner or diffusion barrier along the interior walls of openings 180 and 165 .
  • the first conductive liner 151 comprises tantalum nitride deposited by physical vapor deposition (PVD).
  • the first conductive liner 151 may comprise titanium nitride, tungsten nitride, a refractory metal or other barrier layers that may be conformally deposited, for example, using CVD, PVD processes or electro-less plating.
  • the first conductive liner 151 may comprise a bi-layer of material, including, for example, a barrier layer and a conformal seed layer, which may comprise copper, aluminum, other metals or combinations thereof.
  • the second conductive liner 152 may comprise a metallic material.
  • the second conductive liner 152 may, for example, comprise a pure metal or an alloy. It is understood that any pure metal may include some amount of trace impurities.
  • An alloy may include at least two metallic elements.
  • An alloy may include a metallic element and a non-metallic element.
  • the second conductive liner 152 may comprise one or more of the elements Cu (copper), Al (aluminum), Au (gold), Ag (silver), and W (tungsten). Examples of materials include pure copper, copper alloy, pure aluminum, aluminum alloy, pure gold, gold alloy, pure silver, silver alloy, pure tungsten and tungsten alloy.
  • the second conductive liner 152 may be formed by a physical vapor deposition or sputtering process.
  • a conductive fill material 175 is deposited over the first and the second conductive liners 151 and 152 .
  • the conductive fill material 175 comprises a conductive material in various embodiments.
  • the conductive fill material 175 may comprise a metallic material.
  • the conductive fill material 175 may comprise a pure metal or an alloy.
  • the conductive fill material 175 may comprise tungsten in one embodiment, although copper, aluminum, Al—Cu—Si, other metals and combinations thereof may also be used in other embodiments.
  • the conductive fill material 175 may comprise one or more of the elements Cu (copper), Al (aluminum), Au (gold), Ag (silver), and W (tungsten). Examples of materials include pure copper, copper alloy, pure aluminum, aluminum alloy, pure gold, gold alloy, pure silver, silver alloy, pure tungsten and tungsten alloy.
  • the conductive fill material 175 may be formed by an electroplating (or electro-deposition) process.
  • the conductive fill material 175 comprises tungsten, preferably a bi-layer seed layer comprising CVD titanium nitride and silicon doped tungsten are used as the first and second conductive liners 151 and 152 .
  • the openings are filled with copper.
  • excess portions of the conductive fill material 175 are removed from the top surface of the third insulating layer 133 , e.g., using a chemical-mechanical polishing (CMP) process forming metal lines, vias, and the capacitor 102 .
  • CMP chemical-mechanical polishing
  • the CMP process may also remove the exposed first and the second conductive liners 151 and 152 disposed over the top surface of the third insulating layer 133 .
  • a capacitor 102 is formed simultaneously with the metal lines and vias while adding only a single extra mask process.
  • most of the process steps are commonly shared with the metal line and via processing.
  • FIGS. 3A and 3B illustrate a cross-sectional view of a semiconductor device during various stages of processing in accordance with an alternative embodiment of the present invention.
  • the opening for the capacitor is performed after forming the openings for the metal lines and vias. Therefore, after forming the openings for the metal lines and vias, a sacrificial fill material 210 is formed within them.
  • the sacrificial fill material 210 may be similar to the material in the sacrificial fill material 175 in one or more embodiments.
  • a masking layer 211 is formed and patterned for forming a etch mask for the capacitor opening pattern.
  • the underlying third insulating layer 133 is etched, for example, using an anisotropic etching process.
  • a capacitor plate opening 165 is formed in the third insulating layer 133 .
  • the masking layer 211 is removed and the sacrificial fill material 210 may be removed. Subsequent processing may proceed as described in other embodiments.
  • FIGS. 4A and 4B illustrates a further embodiment of forming a capacitor, wherein the capacitor comprises an additional dielectric layer besides the etch stop liner.
  • an additional dielectric layer 310 may be formed after forming the capacitor plate opening 165 .
  • the additional dielectric layer 310 may be used to ensure any accidental shorting between the two capacitor plates. Accordingly, this embodiment may be used to overcome any yield issues without significantly increasing the capacitance.
  • the dielectric layer 310 may be any suitable dielectric layer including silicon dioxide, silicon nitride, high-k dielectric layers such as aluminum oxide, hafnium oxide, and combinations.
  • FIGS. 5A and 5B illustrate alternative embodiments of a floating capacitive structure, wherein FIG. 5A comprises a capacitor with a floating node, and wherein FIG. 5B comprises a floating gate transistor.
  • one of the capacitor plates may be floating.
  • the capacitor comprises a first plate 401 in a second insulating layer 132 , a second plate 402 in a third insulating layer 133 , and a third plate 403 in a fourth insulating layer 134 .
  • the first plate 401 is separated from the second plate 402 by the second etch stop liner 122 while the second plate 402 is separated from the third third plate 403 by the third etch stop liner 123 .
  • the second plate 402 is floating and is not coupled to a potential node.
  • the first plate 401 and the third plate 403 may be coupled to different potential nodes.
  • this feature may be used as part of a floating gate device, for example, as illustrated in FIG. 5B .
  • the first plate 401 is coupled to the floating gate in one embodiment. Accordingly, in this embodiment, the second plate 402 forms part of a control gate of a floating gate transistor 400 .
  • the first plate 401 is capacitively coupled to the second plate 402 through the second etch stop liner 122 as described in prior embodiments.
  • FIG. 6 illustrates the capacitor structure in accordance with an alternative embodiment of the present invention.
  • the third plate 403 may be coupled to the first plate 401 while the second plate 402 is coupled to another potential node. Accordingly, in this embodiment, the capacitance of the capacitive structure is doubled due to the capacitor at the top and bottom of the second plate 402 .

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

A device including a first metal feature is disposed in a first insulating layer. A second metal feature is disposed in a second insulating layer and separated from the first metal feature by a portion of a first etch stop liner disposed between the first and the second insulating layers. The second metal feature is capacitively coupled to the first metal feature through the first etch stop liner.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a divisional of Ser. No. 14/539,557 filed on Nov. 12, 2014, which application is hereby incorporated herein by reference.
  • TECHNICAL FIELD
  • The present invention relates generally to capacitors, and, in particular embodiments, to capacitors with barrier dielectric layers, and methods of formation thereof.
  • BACKGROUND
  • Semiconductor devices are used in a variety of electronic and other applications. Semiconductor devices comprise, among other things, integrated circuits or discrete devices that are formed on semiconductor wafers by depositing one or more types of thin films of material over the semiconductor wafers, and patterning the thin films of material to form the integrated circuits.
  • There is a demand in semiconductor device technology to integrate many different functions on a single chip, e.g., manufacturing analog and digital circuitry on the same die. In such applications, large capacitors are extensively used for storing an electric charge. They are rather large in size, being several hundred micrometers wide depending on the capacitance, which is much larger than a transistor or memory cell. Consequently, such large capacitors occupy valuable silicon area increasing product cost. Such large capacitors are typically used as decoupling capacitors for microprocessor units (MPU's), RF capacitors in high frequency circuits, and filter and analog capacitors in mixed-signal products.
  • Thus, what are needed in the art are cost effective ways of forming semiconductor chips with increased functionality, good reliability, but without significant utilization of chip area.
  • SUMMARY
  • In accordance with an embodiment of the present invention, a device including a first metal feature is disposed in a first insulating layer. A second metal feature is disposed in a second insulating layer and separated from the first metal feature by a portion of a first etch stop liner disposed between the first and the second insulating layers. The second metal feature is capacitively coupled to the first metal feature through the first etch stop liner.
  • In accordance with another embodiment of the present invention, a method of forming a device comprises forming a first metal feature in a first insulating layer over a substrate and forming a second metal feature in a second insulating layer. The second metal feature is separated from the first metal feature by a portion of a first etch stop liner between the first and the second insulating layers. The second metal feature is capacitively coupled to the first metal feature through the first etch stop liner.
  • In accordance with another embodiment of the present invention, a method of forming a capacitor comprises forming a first insulating layer over a substrate, forming a first metal feature in the first insulating layer, and forming an etch stop liner over the first insulating layer. The method further includes forming a second insulating layer over the etch stop liner and forming a second metal feature in the second insulating layer. The second metal feature is separated from the first metal feature by a portion of the etch stop liner.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • For a more complete understanding of the present invention, and the advantages thereof, reference is now made to the following descriptions taken in conjunction with the accompanying drawings, in which:
  • FIGS. 1A and 1B illustrates a magnified view of a chip in accordance with an embodiment of the present invention, wherein FIG. 1A illustrates a cross sectional view and FIG. 1B illustrates a top view;
  • FIGS. 2A-2H illustrate a semiconductor device during various stages of fabrication in accordance with an embodiment of the present invention;
  • FIGS. 3A and 3B illustrate a cross-sectional view of a semiconductor device during various stages of processing in accordance with an alternative embodiment of the present invention;
  • FIGS. 4A and 4B illustrates a further embodiment of forming a capacitor, wherein the capacitor comprises an additional dielectric layer besides the etch stop liner;
  • FIGS. 5A and 5B illustrate alternative embodiments of a floating capacitive structure, wherein FIG. 5A comprises a capacitor with a floating node, and wherein FIG. 5B comprises a floating gate transistor; and
  • FIG. 6 illustrates the capacitor structure in accordance with an alternative embodiment.
  • DETAILED DESCRIPTION OF ILLUSTRATIVE EMBODIMENTS
  • The present invention will be described with respect to various embodiments in a specific context, namely a structure and method for forming a high density capacitor. In various embodiments, the invention may be used in a number of semiconductor components. Examples of such components include system on chip (SoC), microprocessor units (MPU's), high frequency circuits, and mixed-signal products.
  • Large capacitors such as metal-insulator-metal (MIM) capacitors are planar capacitors and typically comprise two metal plates sandwiched around a capacitor dielectric that is parallel to a semiconductor wafer surface. The capacitor is formed by a masking and patterning step. For example, the top capacitor metal plate is formed by a planar deposition of a conductive material, and lithographically patterning and etching the conductive material using a reactive ion etch (RIE) process.
  • A structural embodiment of the invention will be first described using FIG. 1. Embodiments of the methods of fabrication will be described using FIGS. 2-4. Various structural embodiments will then be described using FIGS. 5 and 6.
  • An embodiment of the invention is illustrated in FIGS. 1A and 1B. FIG. 1A illustrates a magnified cross sectional view of a chip in accordance with an embodiment of the present invention. FIG. 1B illustrates a magnified top view of a chip in accordance with an embodiment of the present invention.
  • The semiconductor chip 10 (not shown to scale) contains active circuitry disposed inside it. The active circuitry may be formed in and/or over a substrate no and includes the active device regions 105 and includes necessary transistors, resistors, capacitors, inductors or other components used to form integrated circuits. For example, active areas that include transistors (e.g., CMOS transistors) can be separated from one another by isolation regions, e.g., shallow trench isolation. In various embodiments, the semiconductor chip 10 may be formed on a silicon substrate 110. Alternatively, in other embodiments, the semiconductor chip 10 may have been formed on silicon carbide (SiC). In one embodiment, the semiconductor chip 10 may have been formed at least partially on gallium nitride (GaN). In alternative embodiments, the substrate no may comprise semiconductor on insulator substrates such as SOI as well as compound semiconductors such as GaAs, InP, InSb, SbInP, and others. The substrate no may include epitaxial layers including heteroepitaxial or homoepitaxial layers. Some examples of the substrate no are a bulk mono-crystalline silicon substrate (or a layer grown thereon or otherwise formed therein), a layer of (110) silicon on a (100) silicon wafer, a layer of a silicon-on-insulator (SOI) wafer, or a layer of a germanium-on-insulator (GeOI) wafer. In other embodiments, other semiconductors such as silicon germanium, germanium, gallium arsenide, indium arsenide, indium gallium arsenide, indium antimonide, or others can be used as the substrate 110.
  • Next, metallization is formed over the active device regions to electrically contact and interconnect the active devices. The metallization and active device regions together form a completed functional integrated circuit. In other words, the electrical functions of the chip 10 can be performed by the interconnected active circuitry. In logic devices, the metallization may include many layers, e.g., nine or more, of copper or alternatively of other metals. In memory devices, such as DRAMs, the number of metal levels may be less and may be aluminum.
  • The illustration in FIG. 1A shows two metal level of metallization, which comprises a contact level (CL) (mostly containing a W plug), a first metal level M1, a via level V1, and second metal level M2. Referring to FIG. 1A, a first insulating layer 131 is disposed over the substrate 110. The first insulating layer 131 may comprise an etch stop layer in one or more embodiments.
  • The first insulating layer 131 comprises SiO2 such as tetra ethyl oxysilane (TEOS) or fluorinated TEOS (FTEOS), but in various embodiments may comprise insulating materials typically used in semiconductor manufacturing for inter-level dielectric (ILD) layers, such as doped glass (BPSG, PSG, BSG), organo silicate glass (OSG), carbon doped oxides (CDO), fluorinated silicate glass (FSG), spin-on glass (SOG), or low-k and low-k insulating materials, e.g., having a dielectric constant of about 4 or less, such as SiLK or porous SiCOH, or dielectric diffusion barrier layers or etchstop layers such as silicon nitride (SiN), silicon oxynitride (SiON), silicon carbide (SiC) or silicon carbo nitride (SiCN), e.g., having a dielectric constant of about 4 or or combinations or multiple layers thereof, as examples, although alternatively, the first insulating layer 131 may comprise other materials. The first insulating layer 131 may also comprise dense SiCOH or a porous dielectric having a k value of about 3 or lower, as examples. The first insulating layer 131 may also comprise an ultra-low-k (ULK) material having a k value of about 2.3 or lower, for example. The first insulating layer 131 may comprise a thickness of about 500 nm or less, for example, although alternatively, the first insulating layer 131 may comprise other dimensions. The copper lines in case of copper BEOL may be capped by tungsten containing selective grown metal such as WxCoyPz.
  • A first etch stop liner 121 is disposed over the first insulating layer 131 and a second insulating layer is disposed over the first etch stop liner 121. A first metal level M1 is formed within the second insulating layer 132, each metal line comprising a first metal liner 141, a second metal liner 142, and with a first fill metal 143.
  • In one embodiment, the first via level V1 and the second metal level M2 may be formed within a third insulating layer 133 as a single structure comprising a first conductive liner 151, a second conductive liner 152, and with a second fill metal 153.
  • In conventional lateral capacitors built by metal lines, the maximum capacitance is limited by the design rules for minimum pitch (minimum distance) between adjacent metal lines. Similarly, for vertical capacitors between metal lines, the maximum capacitance is limited by the design rules for the distance between these metal lines.
  • Embodiments of the present invention overcome these problems by forming a vertical capacitor 102 separated by a common second etch stop liner 122. The second etch stop liner 122 may also be a barrier layer for preventing diffusion of subsequent metal layers. The first and second etch stop liners 121 and 122 may comprise the same material composition in various embodiments. However, in some embodiments, the first and second etch stop liners 121 and 122 may be different materials, for example, when the composition of the first insulating layer 131 and the third insulating layer 133 are significantly different.
  • As illustrated in FIG. 1A, the second metal line level M2 and first via level V1 are disposed in a third insulating layer 133. Although the third insulating layer 133 may comprise a ILD material as described above, the third insulating layer 133 is separated from the second insulating layer 132 by a second etch stop liner 122. In various embodiment, a portion of the second etch stop liner 122 forms the capacitor dielectric of the capacitor 102.
  • As illustrated in FIG. 1A, the height of the metal lines (HM) is smaller than the height of the electrical connection of the capacitor plate (HC). As illustrated, the capacitor plate extends through the height of the third insulating layer 133. As further illustrated in FIG. 1B, the length and width of the metal lines (LM and WM) and the length and width of the capacitor plates (LC and WC) are comparable and much bigger than the length and width of the vias or may consist of many vias.
  • FIGS. 2A-2H illustrates a semiconductor device during various stages of fabrication in accordance with an embodiment of the present invention.
  • The invention will now be described with respect to embodiments in a specific context, namely a structure and method for forming a capacitor structure compatible with standard CMOS flow. Embodiments of the present invention may also be applied to other capacitive structures such as floating gate transistors.
  • Referring to FIG. 2A, the device region 105 is formed. The device region 105 may include a transistor, diode, and other active or passive devices in various embodiments. Contacts are made to the device region 105, which may include forming silicide regions. Next, the device undergoes back end of the line manufacturing, wherein, contacts are made to the semiconductor body and interconnected using metal lines and vias.
  • As illustrated in FIG. 2A, a first metal level M1 and the contact level (CL) are formed over the substrate 110. A first insulating layer 131 is deposited over the substrate 110. In various embodiments, the first insulating layer 131 may include one or more insulating layers and may include a etch stop liner. The contacts of the CL level are formed within the first insulating layer 131.
  • A second insulating layer 132 is deposited over the first insulating layer 131 after forming a first etch stop liner 121.
  • In various embodiments, the first metal level M1 and the contact level may be metal levels that are not the lowest metal level and via levels. Other metal levels may be disposed between the first metal level M1 and the substrate 110, for example.
  • In various embodiments, the first metal level M1 and the contact level may be formed using damascene or dual damascene processes. Further in alternative embodiments, the first metal level M1 and the contact level may be formed using a fill process, and/or silicide process.
  • One or more further level may comprise a dual-tier opening having an upper conductive line and a lower conductive via. The upper conductive line may be an opening such as a trench (but may also be a hole), and may be filled with a metal. Conductive via may be an opening such as a hole (but may also be a trench) and may be also filled with a metal.
  • A third insulating layer 133 is then formed over a second etch stop liner 122. The second etch stop liner 122 is deposited over the second insulating layer 132. For example, a nitride film (e.g., silicon nitride) is deposited in one embodiment. In various embodiments, the second etch stop liner 122 may comprise an oxide, a nitride, or an oxynitride such as silicon dioxide, silicon nitride, silicon oxynitride, and others. In alternative embodiments, the second etch stop liner 122 may comprise boron doped layers includes BPSG, boron nitride, silicon boron nitride, silicon carbon nitride, silicon germanium, germanium, carbon based layers such as amorphous carbon. In further embodiments, the second etch stop liner 122 may comprise silicon carbide including SiC:H comprising various combinations of C—H, Si—H, Si—CH3, Si—(CH2)n, and Si—C.
  • In various embodiments, the second etch stop liner 122 comprises an insulating material having a different etch rate than the third insulating layer 133 to be deposited thereupon. As an illustration, in one embodiment, the second etch stop liner 122 etches at least ten times faster than the third insulating layer 133.
  • In various embodiments, the second etch stop liner 122 is also a diffusion barrier layer for the metal in the underlying conductive metal lines. For example, the second etch stop liner 122 prevents the underlying copper from diffusing into the adjoining insulation regions.
  • The third insulating layer 133 comprises insulating materials including inter-level dielectric (ILD) materials, such as SiO2, tetra ethyl oxysilane (TEOS), fluorinated TEOS (FTEOS), doped glass (BPSG, PSG, BSG), organo silicate glass (OSG), fluorinated silicate glass (FSG), spin-on glass (SOG), SiN, SiON, or low k insulating materials, e.g., having a dielectric constant of about 4 or less, or combinations or multiple layers thereof, as examples, although alternatively, the third insulating layer 133 may comprise other materials. The third insulating layer 133 may also comprise dense SiCOH or a porous dielectric having a k value of about 3 or lower, as examples. The third insulating layer 133 may also comprise an ultra-low k (ULK) material having a k value of about 2.3 or lower, for example. The third insulating layer 133 may comprise a thickness of about 500 nm or less, for example, although alternatively, the third insulating layer 133 may comprise other dimensions.
  • In one exemplary process illustrated in FIG. 2A, a photoresist layer 161 is deposited over the third insulating layer 133, exposed, developed, and patterned to mask off the non-exposed regions to the etch. In one or more embodiments, a hard mask layer may be deposited prior to depositing the photoresist layer 161 and patterned using the photoresist layer 161.
  • As next illustrated in FIG. 2B, the third insulating layer 133 is then etched down to the second etch stop liner 122 using standard etch techniques such as a reactive ion etch. In this step, the third insulating layer 133 etches away at a faster rate than the second etch stop liner 122. Therefore, the reactive ion etch is stopped on the second etch stop liner 122 forming an etch opening 165. The opening 165 may be in the form of a hole. However, in various embodiments, the second etch stop liner 122 is not removed as in conventional processing for forming vias. Therefore, no electrical contact is possible between the conductive feature to be formed in the opening 165 with the underlying metal line in the first insulating layer 131.
  • Referring to FIG. 2C, a first sacrificial material 170 is deposited into the etch opening 165. The first sacrificial material 170 may be a glassy material that can be deposited using a spin-on-process in one or more embodiments. Alternatively, in other embodiments, the first sacrificial material 170 may be deposited using other types of deposition process and may include other materials. In one or more embodiments, the first sacrificial material 170 comprises a low-k dielectric material. In a further embodiment, the first sacrificial material 170 comprises a photo resist material. In one embodiment, the first sacrificial material 170 comprises an anti-reflective coating material. In a further embodiment, the first sacrificial material 170 comprises a carbon containing material including amorphous carbon. In an embodiment, a hydrogen-containing carbon layer is deposited over the third insulating layer 133 to form the first sacrificial material 170. In various embodiments, the first sacrificial material 170 may be deposited using a chemical vapor deposition (CVD), physical vapor deposition (PVD), plasma enhanced chemical vapor deposition (PE-CVD) process, spin-on coating, or other processes.
  • In various embodiments, the first sacrificial material 170 comprises a material having a high etch selectivity relative to the third insulating layer 133 and the second etch stop liner 122 so that the first sacrificial material 170 may be removed without etching the third insulating layer 133 or the underlying second etch stop liner 122. For example, the first sacrificial material 170 etches at least ten times faster relative to the third insulating layer 133 and the second etch stop liner 122.
  • The first sacrificial material 170 may be planarized as next illustrated in FIG. 2D leaving behind a sacrificial plug 171. Accordingly, a chemical mechanical planarizing process may be used in one embodiment.
  • Referring to FIG. 2E, an opening 180 for metal line and via are formed in an example using a dual damascene process. In various embodiments, the opening 180 may be formed by depositing a photo resist layer and patterning for the via followed by depositing another photo resist layer and patterning for metal lines. The structured masking material 172 may include developed photo resist layer and one or more layers of hard mask layers underneath the photo resist layer.
  • As next illustrated in FIG. 2F, a first conductive liner 151 and a second conductive liner 152 are deposited. Before depositing the first conductive liner 151 and the second conductive liner 152, any masking material 172 used for forming the metal lines and vias as well as sacrificial materials such as sacrificial plug 171 are removed. This may be accomplished using an etching process such as a wet chemical etching process.
  • As illustrated in FIG. 2F, a first conductive liner 151 may be deposited prior to filling the openings with a conductive fill material. The first conductive liner 151 is conformal, and may comprise a single layer of Ta, TaN, WN, WSi, Ti, TiN, Ru, Co and combinations thereof, as examples. In further examples of materials which may be used for the first conductive liner 151 include tantalum silicon nitride, tungsten, titanium tungsten or the like.
  • The first conductive liner 151 may be typically used as a barrier layer for preventing metal from diffusing into the underlying semiconductor material or second insulating layer 132. The first conductive liner 151 may be deposited, for example, using a chemical vapor deposition (CVD), physical vapor deposition (PVD) or Atomic layer Deposition (ALD) process.
  • A second conductive liner 152 is then deposited similarly using, for example, a CVD, PVD, or ALD process over the first conductive liner 151. The second conductive liner 152 may be seed layer, for example, comprising copper, for subsequent electroplating of copper.
  • In various embodiments, the first and the second conductive liners 151 and 152 are deposited using a conformal deposition process, leaving a conformal liner or diffusion barrier along the interior walls of openings 180 and 165. In one embodiment, the first conductive liner 151 comprises tantalum nitride deposited by physical vapor deposition (PVD). Alternatively, the first conductive liner 151 may comprise titanium nitride, tungsten nitride, a refractory metal or other barrier layers that may be conformally deposited, for example, using CVD, PVD processes or electro-less plating. The first conductive liner 151 may comprise a bi-layer of material, including, for example, a barrier layer and a conformal seed layer, which may comprise copper, aluminum, other metals or combinations thereof.
  • The second conductive liner 152 may comprise a metallic material. The second conductive liner 152 may, for example, comprise a pure metal or an alloy. It is understood that any pure metal may include some amount of trace impurities. An alloy may include at least two metallic elements. An alloy may include a metallic element and a non-metallic element. The second conductive liner 152 may comprise one or more of the elements Cu (copper), Al (aluminum), Au (gold), Ag (silver), and W (tungsten). Examples of materials include pure copper, copper alloy, pure aluminum, aluminum alloy, pure gold, gold alloy, pure silver, silver alloy, pure tungsten and tungsten alloy. The second conductive liner 152 may be formed by a physical vapor deposition or sputtering process.
  • Referring to FIG. 2G, a conductive fill material 175 is deposited over the first and the second conductive liners 151 and 152. The conductive fill material 175 comprises a conductive material in various embodiments. The conductive fill material 175 may comprise a metallic material. The conductive fill material 175 may comprise a pure metal or an alloy. The conductive fill material 175 may comprise tungsten in one embodiment, although copper, aluminum, Al—Cu—Si, other metals and combinations thereof may also be used in other embodiments. In various embodiments, the conductive fill material 175 may comprise one or more of the elements Cu (copper), Al (aluminum), Au (gold), Ag (silver), and W (tungsten). Examples of materials include pure copper, copper alloy, pure aluminum, aluminum alloy, pure gold, gold alloy, pure silver, silver alloy, pure tungsten and tungsten alloy. The conductive fill material 175 may be formed by an electroplating (or electro-deposition) process.
  • If the conductive fill material 175 comprises tungsten, preferably a bi-layer seed layer comprising CVD titanium nitride and silicon doped tungsten are used as the first and second conductive liners 151 and 152. In other embodiments, the openings are filled with copper.
  • As next illustrated in FIG. 2H, excess portions of the conductive fill material 175 are removed from the top surface of the third insulating layer 133, e.g., using a chemical-mechanical polishing (CMP) process forming metal lines, vias, and the capacitor 102. The CMP process may also remove the exposed first and the second conductive liners 151 and 152 disposed over the top surface of the third insulating layer 133.
  • Accordingly, a capacitor 102 is formed simultaneously with the metal lines and vias while adding only a single extra mask process. Advantageously, most of the process steps are commonly shared with the metal line and via processing.
  • FIGS. 3A and 3B illustrate a cross-sectional view of a semiconductor device during various stages of processing in accordance with an alternative embodiment of the present invention.
  • In this embodiment, the opening for the capacitor is performed after forming the openings for the metal lines and vias. Therefore, after forming the openings for the metal lines and vias, a sacrificial fill material 210 is formed within them. The sacrificial fill material 210 may be similar to the material in the sacrificial fill material 175 in one or more embodiments.
  • After planarizing the sacrificial fill material 210, a masking layer 211 is formed and patterned for forming a etch mask for the capacitor opening pattern. Using the patterned masking layer 211 as an etch mask, the underlying third insulating layer 133 is etched, for example, using an anisotropic etching process. Thus, a capacitor plate opening 165 is formed in the third insulating layer 133.
  • Subsequently, as illustrated in FIG. 3B, the masking layer 211 is removed and the sacrificial fill material 210 may be removed. Subsequent processing may proceed as described in other embodiments.
  • FIGS. 4A and 4B illustrates a further embodiment of forming a capacitor, wherein the capacitor comprises an additional dielectric layer besides the etch stop liner.
  • In this embodiment, an additional dielectric layer 310 may be formed after forming the capacitor plate opening 165. The additional dielectric layer 310 may be used to ensure any accidental shorting between the two capacitor plates. Accordingly, this embodiment may be used to overcome any yield issues without significantly increasing the capacitance.
  • Referring to FIG. 4 A, the capacitor plate opening 165 after lining with the dielectric layer 310 is shown. The dielectric layer 310 may be any suitable dielectric layer including silicon dioxide, silicon nitride, high-k dielectric layers such as aluminum oxide, hafnium oxide, and combinations.
  • FIGS. 5A and 5B illustrate alternative embodiments of a floating capacitive structure, wherein FIG. 5A comprises a capacitor with a floating node, and wherein FIG. 5B comprises a floating gate transistor.
  • In an alternative embodiment, one of the capacitor plates may be floating. Referring to FIG. 5A, in this embodiment, the capacitor comprises a first plate 401 in a second insulating layer 132, a second plate 402 in a third insulating layer 133, and a third plate 403 in a fourth insulating layer 134. The first plate 401 is separated from the second plate 402 by the second etch stop liner 122 while the second plate 402 is separated from the third third plate 403 by the third etch stop liner 123. In the illustrated embodiment of FIG. 5A, the second plate 402 is floating and is not coupled to a potential node. The first plate 401 and the third plate 403 may be coupled to different potential nodes.
  • In an alternative embodiment, this feature may be used as part of a floating gate device, for example, as illustrated in FIG. 5B. The first plate 401 is coupled to the floating gate in one embodiment. Accordingly, in this embodiment, the second plate 402 forms part of a control gate of a floating gate transistor 400. The first plate 401 is capacitively coupled to the second plate 402 through the second etch stop liner 122 as described in prior embodiments.
  • FIG. 6 illustrates the capacitor structure in accordance with an alternative embodiment of the present invention.
  • As illustrated in FIG. 6, the third plate 403 may be coupled to the first plate 401 while the second plate 402 is coupled to another potential node. Accordingly, in this embodiment, the capacitance of the capacitive structure is doubled due to the capacitor at the top and bottom of the second plate 402.
  • While this invention has been described with reference to illustrative embodiments, this description is not intended to be construed in a limiting sense. Various modifications and combinations of the illustrative embodiments, as well as other embodiments of the invention, will be apparent to persons skilled in the art upon reference to the description. It is therefore intended that the appended claims encompass any such modifications or embodiments.

Claims (20)

What is claimed is:
1. A device comprising:
a first metal feature disposed in a first insulating layer; and
a second metal feature disposed in a second insulating layer and separated from the first metal feature by a portion of a first etch stop liner disposed between the first and the second insulating layers, the second metal feature being capacitively coupled to the first metal feature through the first etch stop liner.
2. The device of claim 1, further comprising:
a second etch stop liner disposed over the second metal feature and the second insulating layer;
a third insulating layer disposed over the second etch stop liner; and
a third metal feature disposed in the third insulating layer and separated from the second metal feature by a portion of the second etch stop liner, the third metal feature capacitively coupled to the second metal feature through the portion of the second etch stop liner.
3. The device of claim 2, wherein the first metal feature and the third metal feature are coupled to the same potential node.
4. The device of claim 1, wherein the first metal feature is coupled to a floating gate and the second metal feature is coupled to a control node.
5. The device of claim 1, wherein the etch stop liner comprises a silicon nitride layer.
6. The device of claim 1, wherein the etch stop liner separates the first insulating layer from the second insulating layer.
7. The device of claim 1, wherein the second metal feature comprises copper.
8. A device comprising:
a first insulating layer disposed over a semiconductor substrate;
a first metal line and a second metal line disposed in the first insulating layer;
a first etch stop liner disposed over the first insulating layer;
a second insulating layer disposed over the first etch stop liner;
a first via disposed in the second insulating layer, the first via physically contacting the first metal line; and
a third metal line and a fourth metal line disposed in the second insulating layer, the third metal line physically contacting the first via at a first major surface, the fourth metal line extending beyond the first major surface to the first etch stop liner, the fourth metal line being capacitively coupled to the second metal line through the first etch stop liner.
9. The device of claim 8, further comprising a capacitor dielectric disposed over the first etch stop liner, the capacitor dielectric covering sidewalls of the fourth metal line.
10. The device of claim 8, further comprising:
a second etch stop liner disposed over the second insulating layer;
a third insulating layer disposed over the second etch stop liner;
a second via disposed in the third insulating layer, the second via physically contacting the third metal line; and
a fifth metal line and a sixth metal line disposed in the third insulating layer, the fifth metal line physically contacting the second via at a second major surface, the sixth metal line extending beyond the second major surface to the second etch stop liner, the sixth metal line being capacitively coupled to the fourth metal line through the second etch stop liner.
11. The device of claim 8, wherein the fifth metal line extends to and physically contacts the sixth metal line.
12. The device of claim 8, wherein the fourth metal line is wider than the first via.
13. The device of claim 8, wherein the fourth metal line is longer than the first via.
14. The device of claim 8, wherein the first etch stop liner comprises silicon nitride.
15. The device of claim 8, wherein the first etch stop liner comprises doped glass, boron nitride, silicon boron nitride, silicon carbon nitride, silicon germanium, germanium, or amorphous carbon.
16. A device comprising:
a first insulating layer disposed over a semiconductor substrate;
a first contact and a second contact disposed in the first insulating layer;
a first etch stop liner disposed over the first insulating layer;
a second insulating layer disposed over the first etch stop liner;
a first metal line and a second metal line disposed in the second insulating layer, the first metal line physically contacting the first contact and the second metal line physically contacting the second contact;
a second etch stop liner disposed over the second insulating layer;
a third insulating layer disposed over the second etch stop liner;
a first via disposed in the third insulating layer, the first via physically contacting the first metal line; and
a third metal line and a fourth metal line disposed in the third insulating layer, the third metal line physically contacting the first via at a first major surface, the fourth metal line extending beyond the first major surface to the second etch stop liner, the fourth metal line being capacitively coupled to the second metal line through the second etch stop liner.
17. The device of claim 16, wherein the first etch stop liner and the second etch stop liner are different materials.
18. The device of claim 16, further comprising:
a third etch stop liner disposed over the third insulating layer;
a fourth insulating layer disposed over the third etch stop liner;
a second via disposed in the fourth insulating layer, the second via physically contacting the third metal line; and
a fifth metal line and a sixth metal line disposed in the fourth insulating layer, the fifth metal line physically contacting the second via at a second major surface, the sixth metal line extending beyond the second major surface to the third etch stop liner, the sixth metal line being capacitively coupled to the fourth metal line through the third etch stop liner.
19. The device of claim 18, wherein the fifth metal line extends to and physically contacts the sixth metal line.
20. The device of claim 16, wherein the fourth metal line is wider than the first via, wherein the fourth metal line is longer than the first via.
US15/782,578 2014-11-12 2017-10-12 Capacitors with Barrier Dielectric Layers, and Methods of Formation Thereof Abandoned US20180033723A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US15/782,578 US20180033723A1 (en) 2014-11-12 2017-10-12 Capacitors with Barrier Dielectric Layers, and Methods of Formation Thereof

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/539,557 US9831171B2 (en) 2014-11-12 2014-11-12 Capacitors with barrier dielectric layers, and methods of formation thereof
US15/782,578 US20180033723A1 (en) 2014-11-12 2017-10-12 Capacitors with Barrier Dielectric Layers, and Methods of Formation Thereof

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US14/539,557 Division US9831171B2 (en) 2014-11-12 2014-11-12 Capacitors with barrier dielectric layers, and methods of formation thereof

Publications (1)

Publication Number Publication Date
US20180033723A1 true US20180033723A1 (en) 2018-02-01

Family

ID=55803016

Family Applications (2)

Application Number Title Priority Date Filing Date
US14/539,557 Active US9831171B2 (en) 2014-11-12 2014-11-12 Capacitors with barrier dielectric layers, and methods of formation thereof
US15/782,578 Abandoned US20180033723A1 (en) 2014-11-12 2017-10-12 Capacitors with Barrier Dielectric Layers, and Methods of Formation Thereof

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US14/539,557 Active US9831171B2 (en) 2014-11-12 2014-11-12 Capacitors with barrier dielectric layers, and methods of formation thereof

Country Status (3)

Country Link
US (2) US9831171B2 (en)
CN (1) CN105590967A (en)
DE (1) DE102015119536A1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11011469B2 (en) 2018-07-24 2021-05-18 Samsung Electronics Co., Ltd. Semiconductor device
US11164815B2 (en) * 2019-09-28 2021-11-02 International Business Machines Corporation Bottom barrier free interconnects without voids
TWI814864B (en) * 2019-07-12 2023-09-11 聯華電子股份有限公司 Magnetic tunnel junction (mtj) device

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10032828B2 (en) 2016-07-01 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor memory device and method for fabricating the same
US20210126103A1 (en) * 2019-10-29 2021-04-29 Micron Technology, Inc. Apparatus comprising wordlines comprising multiple metal materials, and related methods and electronic systems
US11610999B2 (en) * 2020-06-10 2023-03-21 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Floating-gate devices in high voltage applications
US20220328237A1 (en) * 2021-04-09 2022-10-13 Qualcomm Incorporated Three dimensional (3d) vertical spiral inductor and transformer
CN113870699B (en) * 2021-09-09 2023-06-16 惠科股份有限公司 Display panel and test terminal thereof

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020177287A1 (en) * 2000-01-21 2002-11-28 Lucent Technologies Inc. Capacitor for integration with copper damascene processes and a method of manufacture therefore
US20020179955A1 (en) * 2001-05-30 2002-12-05 Mitsubishi Denki Kabushiki Kaisha Semiconductor device comprising MIM-type capacitor and method of manufacturing the same
US20020197844A1 (en) * 2001-06-07 2002-12-26 Institute Of Microelectronics Single damascene method for RF IC passive component integration in copper interconnect process
US20040152256A1 (en) * 2003-01-08 2004-08-05 Renesas Technology Corp. Semiconductor device manufacturing method
US20050016176A1 (en) * 2003-07-25 2005-01-27 Michael Griffiths Engine fuel control
US20050233519A1 (en) * 2004-04-20 2005-10-20 Ko-Hsing Chang Method of manufacturing semiconductor device
US20120181657A1 (en) * 2011-01-17 2012-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Forming Metal-Insulator-Metal Capacitors Over a Top Metal Layer
US20130270676A1 (en) * 2011-12-14 2013-10-17 Nick Lindert Metal-insulator-metal (mim) capacitor with insulator stack having a plurality of metal oxide layers
US20140252620A1 (en) * 2013-03-08 2014-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Material and process for copper barrier layer
US20150316503A1 (en) * 2014-04-30 2015-11-05 Freescale Semiconductor, Inc. Differential Pair Sensing Circuit Structures
US20150380302A1 (en) * 2014-06-30 2015-12-31 Lam Research Corporation Selective formation of dielectric barriers for metal interconnects in semiconductor devices

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6115233A (en) * 1996-06-28 2000-09-05 Lsi Logic Corporation Integrated circuit device having a capacitor with the dielectric peripheral region being greater than the dielectric central region
JP2002009248A (en) * 2000-06-26 2002-01-11 Oki Electric Ind Co Ltd Capacitor and its manufacturing method
WO2002029892A2 (en) * 2000-10-03 2002-04-11 Broadcom Corporation High-density metal capacitor using dual-damascene copper interconnect
US6399495B1 (en) * 2000-11-06 2002-06-04 Ling-Hsu Tseng Copper interconnections for metal-insulator-metal capacitor in mixed mode signal process
US6803306B2 (en) * 2001-01-04 2004-10-12 Broadcom Corporation High density metal capacitor using via etch stopping layer as field dielectric in dual-damascence interconnect process
US6939800B1 (en) * 2002-12-16 2005-09-06 Lsi Logic Corporation Dielectric barrier films for use as copper barrier layers in semiconductor trench and via structures
US6693017B1 (en) * 2003-04-04 2004-02-17 Infineon Technologies Ag MIMcap top plate pull-back
US6963503B1 (en) * 2003-07-11 2005-11-08 Altera Corporation. EEPROM with improved circuit performance and reduced cell size
US20070080426A1 (en) * 2005-10-11 2007-04-12 Texas Instruments Incorporated Single lithography-step planar metal-insulator-metal capacitor and resistor
US20070173029A1 (en) * 2006-01-26 2007-07-26 International Business Machines Corporation Method for fabricating high performance metal-insulator-metal capacitor (MIMCAP)
US7973271B2 (en) * 2006-12-08 2011-07-05 Sony Corporation Solid-state image pickup device, method for manufacturing solid-state image pickup device, and camera
DE102008006962B4 (en) * 2008-01-31 2013-03-21 Advanced Micro Devices, Inc. Process for the production of semiconductor devices with a capacitor in the metallization system
US7919368B2 (en) * 2009-05-29 2011-04-05 Texas Instruments Incorporated Area-efficient electrically erasable programmable memory cell
US8946854B2 (en) * 2011-11-09 2015-02-03 United Microelectronics Corporation Metal-insulator-metal capacitor structure and method for manufacturing the same

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020177287A1 (en) * 2000-01-21 2002-11-28 Lucent Technologies Inc. Capacitor for integration with copper damascene processes and a method of manufacture therefore
US20020179955A1 (en) * 2001-05-30 2002-12-05 Mitsubishi Denki Kabushiki Kaisha Semiconductor device comprising MIM-type capacitor and method of manufacturing the same
US20020197844A1 (en) * 2001-06-07 2002-12-26 Institute Of Microelectronics Single damascene method for RF IC passive component integration in copper interconnect process
US20040152256A1 (en) * 2003-01-08 2004-08-05 Renesas Technology Corp. Semiconductor device manufacturing method
US20050016176A1 (en) * 2003-07-25 2005-01-27 Michael Griffiths Engine fuel control
US20050233519A1 (en) * 2004-04-20 2005-10-20 Ko-Hsing Chang Method of manufacturing semiconductor device
US20120181657A1 (en) * 2011-01-17 2012-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Forming Metal-Insulator-Metal Capacitors Over a Top Metal Layer
US20130270676A1 (en) * 2011-12-14 2013-10-17 Nick Lindert Metal-insulator-metal (mim) capacitor with insulator stack having a plurality of metal oxide layers
US20140252620A1 (en) * 2013-03-08 2014-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Material and process for copper barrier layer
US20150316503A1 (en) * 2014-04-30 2015-11-05 Freescale Semiconductor, Inc. Differential Pair Sensing Circuit Structures
US20150380302A1 (en) * 2014-06-30 2015-12-31 Lam Research Corporation Selective formation of dielectric barriers for metal interconnects in semiconductor devices

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11011469B2 (en) 2018-07-24 2021-05-18 Samsung Electronics Co., Ltd. Semiconductor device
US11574871B2 (en) 2018-07-24 2023-02-07 Samsung Electronics Co., Ltd. Semiconductor device
TWI814864B (en) * 2019-07-12 2023-09-11 聯華電子股份有限公司 Magnetic tunnel junction (mtj) device
US11164815B2 (en) * 2019-09-28 2021-11-02 International Business Machines Corporation Bottom barrier free interconnects without voids

Also Published As

Publication number Publication date
CN105590967A (en) 2016-05-18
US20160133560A1 (en) 2016-05-12
US9831171B2 (en) 2017-11-28
DE102015119536A1 (en) 2016-05-12

Similar Documents

Publication Publication Date Title
US10861788B2 (en) Patterning approach for improved via landing profile
US20180033723A1 (en) Capacitors with Barrier Dielectric Layers, and Methods of Formation Thereof
US9269762B2 (en) Metal-insulator-metal (MIM) capacitor within topmost thick inter-metal dielectric layers
US10373905B2 (en) Integrating metal-insulator-metal capacitors with air gap process flow
US7436016B2 (en) MIM capacitor with a cap layer over the conductive plates
US6744090B2 (en) Damascene capacitor formed in metal interconnection layer
US7332428B2 (en) Metal interconnect structure and method
TWI727516B (en) Semiconductor device and method of forming same
US8728936B1 (en) Copper etching integration scheme
US11848267B2 (en) Functional component within interconnect structure of semiconductor device and method of forming same
US7452804B2 (en) Single damascene with disposable stencil and method therefore
US20200286777A1 (en) Interconnect structure and method for preparing the same
CN118175921A (en) Manufacturing method of trench capacitor and trench capacitor

Legal Events

Date Code Title Description
STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION