KR20120089752A - 플라즈마 소스 디자인 - Google Patents

플라즈마 소스 디자인 Download PDF

Info

Publication number
KR20120089752A
KR20120089752A KR1020127015719A KR20127015719A KR20120089752A KR 20120089752 A KR20120089752 A KR 20120089752A KR 1020127015719 A KR1020127015719 A KR 1020127015719A KR 20127015719 A KR20127015719 A KR 20127015719A KR 20120089752 A KR20120089752 A KR 20120089752A
Authority
KR
South Korea
Prior art keywords
plasma
core element
generating region
processing chamber
coil
Prior art date
Application number
KR1020127015719A
Other languages
English (en)
Inventor
디미트리 루보미르스키
장규 양
매튜 엘. 밀러
제이 디. 3세 핀슨
키엔 엔. 추크
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20120089752A publication Critical patent/KR20120089752A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Abstract

본 발명의 실시예는 일반적으로 전자기 에너지 소스의 사용에 의해 자기 코어 요소의 둘레에 대칭적으로 배치되는 플라즈마 발생 영역에 라디칼 및/또는 가스 이온을 발생시킬 수 있는 플라즈마 소스 장치와 그 사용 방법을 제공한다. 일반적으로, 플라즈마 발생 영역 및 자기 코어의 배향과 형상으로 인해 플라즈마 발생 영역에 배치된 가스에 전달된 전자기 에너지의 효과적이고 균일한 결합이 가능해진다. 일반적으로, 플라즈마 발생 영역에 형성된 플라즈마의 개선된 특성은 기판상에서 수행되거나 또는 플라즈마 발생 영역의 하류에 배치된 프로세싱 챔버의 일부상에서 수행되는 증착, 에칭 및/또는 세정 프로세스를 개선시킬 수 있다.

Description

플라즈마 소스 디자인{PLASMA SOURCE DESIGN}
본 발명의 실시예는 일반적으로 플라즈마 프로세싱 시스템과 재료 및 플라즈마 프로세싱 시스템의 플라즈마 균일도를 제어하기 위한 장치에 관한 것이다.
플라즈마 프로세싱 챔버는 에칭 프로세스, 화학적 기상 증착(CVD) 프로세스, 및 기판상의 전자 디바이스의 제조와 관련된 다른 프로세스와 같은 다양한 전자 디바이스 제조 프로세스에 정기적으로 사용된다. 종래의 플라즈마 챔버에 통상적으로 사용되는 용량결합된 또는 유도결합된 RF 소스와 같이, 프로세싱 챔버 내에서 플라즈마 밀도, 형상 및 전기 특성을 발생시키고 및/또는 제어하는데 많은 방법들이 사용되어 왔다. 예를 들어, 플라즈마의 도움을 받는 화학적 기상 증착 프로세스 동안, 프로세싱 가스는 프로세스 키트(kit)에 의해 구획되는(circumscribed) 반도체 기판 위에 배치되는 용량결합된 샤워헤드(showerhead)를 통해 프로세싱 챔버내로 도입된다. 일단 PECVD 챔버에 플라즈마가 형성되면, 플라즈마 및 프로세스 가스(들)는 그 위에 원하는 재료층을 증착하기 위해 기판과 상호작용한다.
발생된 플라즈마가 기판 표면 위에 배치되는 종래의 플라즈마 프로세싱 챔버 디자인은 플라즈마에 형성된 전자 및 이온과 기판 표면과의 상호작용으로 인해 기판 표면에 원치않는 스퍼터링 및 손상을 유발시킬 수 있다. 발생된 플라즈마에 노출되는 전기적으로 접지된 부품과 플로팅(floating)은 일반적으로 순전하(net charge)를 축적할 것이다. 형성된 순전하는 플라즈마에 형성된 전자 및/또는 이온이 기판 또는 챔버 부품의 노출된 표면에 충격을 가하여(bombard) 손상을 입힐 수도 있게 한다. 따라서, 일부 적용예에 있어서, 챔버 부품 또는 기판의 표면에 활동적으로(energetically) 충격을 가하지 않으면서, 기판 표면 또는 챔버 부품의 표면과 용이하게 반응하여 반응 속도를 향상시키기에 충분한 에너지를 갖는 가스 라디칼(radical)을 형성하는 것이 바람직한데, 그 이유는 이온화되지 않은 가스 라디칼이 기판 또는 부품 표면상에 형성된 전하에 의해 영향을 받지 않기 때문이다.
따라서, 기판 및 챔버 부품과의 플라즈마 상호작용을 방지하거나 최소화하기 위해, 원격 플라즈마 소스(RPS) 디자인이 사용되어 왔다. 전형적인 원격 플라즈마 소스 디자인은 기판이 배치되는 프로세싱 챔버의 프로세싱 영역으로부터 원격으로 배치되는 플라즈마 발생 영역을 포함한다. 이 방법으로, RPS 디바이스의 플라즈마 발생 영역에 발생된 플라즈마는 일반적으로 기판 표면과 상호작용하지 않을 것이다.
그러나, 통용하고 있는 종래의 RPS 디자인은 전형적으로 마이크로파와, 협소한 플라즈마 발생 영역을 갖는 용량결합된 또는 유도결합된 에너지 소스를 활용하고 있으며, 이것은 이들 디바이스로 하여금 원하는 플라즈마 프로세싱 윈도우(window)보다 작은 플라즈마 프로세싱 윈도우를 갖게 할 것이며, 이러한 작은 플라즈마 프로세싱 윈도우는 종래의 RPS 디바이스의 플라즈마 발생 영역에 형성되는 형성된 가스 라디칼 및 가스 이온의 에너지의 범위를 제한한다. 발행된 미국 특허 제6,150,628호의 도 3인 도 1에 도시된 바와 같은 일 예에 있어서, 종래의 RPS 디자인은 일반적으로 제 1 및 제 2 코어(104, 106)로의 에너지의 전달에 의해 플라즈마가 발생되는 금속 플라즈마 챔버(100)의 영역(112, 114)을 포함할 것이다. 본 기술분야의 숙련자라면 플라즈마가 형성되는 종래의 RPS 디자인의 영역으로 전달되는 전자기 에너지가 균일하지 않을 것이며 플라즈마 발생 디바이스(들)(즉, 코일)가 배치되는 영역 "PR"(도 1)에서 높은 활성도 또는 "핫 스팟(hot spot)"을 가질 것임을 인식할 것이다. 영역(112, 114)의 다른 모든 부분은 플라즈마 유도 요소(예를 들어, 제 1 및 제 2 코어(104, 106))에 대한 그들의 거리 및 위치로 인해, "PR" 영역들의 바깥쪽에 미약한 또는 존재하지 않는 전력 결합(power coupling)을 가질 것이다. 도 1에 개략적으로 도시된 바와 같이, 종래의 RPS 디자인은 전통적으로 플라즈마 발생 영역의 일부를 에워싸는 폐쇄된 투자성(透磁性; magnetically permeable) 코어의 둘레에 감기는(wrapped) 권선을 갖는 폐쇄 루프 RF 소스 구성을 사용한다. 영역 "PR"에 대한 코어(104, 106)의 위치 및 형상에 의해 집중되는 발생된 필드(field)는 상대적으로 작은 면적을 가지며, 종래의 RPS 디바이스를 통해 흐르는 가스에 RF 에너지를 전달하기에는 매우 제한된 시간을 갖는다. 따라서, 작은 플라즈마 발생 영역을 갖는 종래의 RPS 디자인은 형성된 가스 라디칼 및/또는 가스 이온의 에너지를 발생 및/또는 제어하기에는 매우 제한된 능력을 갖는다.
에너지 결합 효율 문제를 해결하기 위해, 전형적으로 RPS 디바이스 제조자는 일반적으로 발생된 플라즈마를 그 내부에 더욱 용이하게 형성 및 지속시키기 위해, 플라즈마 발생 영역을 통해 음전기(electro-negative) 타입 가스(예를 들어, 암모니아(NH3)) 및 양전기(electro-positive) 타입 가스(예를 들어, 아르곤(Ar))를 동시에 흐르게 할 것이다. 그러나, 일부 경우에는 프로세싱 속도나 플라즈마 프로세싱 결과를 개선시키기 위해 단지 단일의 음전기 가스 또는 단일의 양전기 가스를 전달하는 것이 바람직하다. 또한, 플라즈마 발생 영역의 압력이 낮은(예를 들어, < 200m Torr) 곳과 같은, 낮은 플라즈마 임피던스를 갖는 체계(regimes) 내에 플라즈마를 형성 및 지속시키는 것이 종종 바람직하다. 플라즈마 에너지를 프로세싱 가스에 비효율적으로 결합하는 종래의 RPS 디자인은 현재 반도체 프로세싱 산업의 요구를 충족시킬 수 없다. 따라서, 전달된 RF 에너지를 프로세싱 가스에 더욱 효과적으로 결합하고, 보다 넓은 프로세스 윈도우를 가지며, 보다 광범위한 플라즈마 임피던스로 작업할 수 있는 RPS 디자인이 요망되고 있다.
또한, RPS 디바이스의 플라즈마 발생 영역에 플라즈마를 형성하기 위해 종래의 RPS 디자인은 전환식(switching) 전력 공급부를 사용하는 것이 전형적이다. 종래의 디자인에서는 전환식 전력 공급부의 사용이 바람직한데, 그 이유는 RPS 디바이스의 플라즈마 발생 영역으로 에너지를 전달하기 위해 전환식 전력 공급부가 라인 절연 회로 또는 임피던스 매칭 네트워크를 요구하지 않기 때문이다. 전환모드의 전력 공급부는 출력 전압이나 전류를 펄스식 또는 듀티사이클(duty cycle) 타입 전달 구성으로 조정함으로써, 전달된 RF 에너지를 조절한다. 그러나, RPS 디자인에서 전환식 전력 공급부의 사용은 전달된 RF 에너지를 플라즈마에 효율적으로 결합하기에는 비효과적인 것으로 밝혀졌다. 따라서, RPS 디바이스를 통해 전달되는 가스에 전달된 RF 에너지를 더욱 효율적으로 결합시키는 것이 요망되고 있다.
또한, 종래의 RPS 디자인은 RPS 디바이스의 플라즈마 발생 영역을 둘러싸기 위해 전형적으로 금속 부품을 사용하고 있다. 그러나, RPS 활동화 가스(RPS energized gas)에 의한 금속 부품의 공격을 방지하기 위해, 플라즈마 및 활동적인 가스에 노출될 표면상에 코팅을 증착(deposit)하는 것이 전형적이다. 전형적으로, RPS 플라즈마에 발생된 매우 활동적인 종(species)에 의한 베이스 알루미늄 표면의 공격을 방지하기 위해 알루미늄 부분상에는 양극산화된 알루미늄 코팅이 사용되어 왔다. 그러나, RPS 디바이스의 플라즈마 형성 영역에 코팅을 사용할 때 상당한 프로세스 결과 드리프트(drift)가 발생할 것임이 밝혀졌다. 프로세스 드리프트는 코팅 다공성 또는 균열과 같은 코팅의 결함을 통해 RPS 여기(RPS excited) 가스와 구조적 금속 부품의 표면과의 상호작용에 의해 생성될 수 있다. 코팅 문제는 가장 통상적으로 사용되는 금속 재료를 공격하려는 경향을 갖는 산화 종(oxidizing species) 또는 불화계(fluorinated) 종을 플라즈마가 함유할 때 특히 문제가 될 수 있다. 따라서, RPS 디바이스에서 발생된 플라즈마를 함유하는 요소의 공격으로 인한 입자 형성 및 프로세스 드리프트를 피하는 RPS 디자인이 요망되고 있다.
또한, 본 기술분야에서 프로세싱 비용 또는 하드웨어 비용을 상당히 증가시키지 않고, 플라즈마 균일도를 더욱 효과적으로 발생시키고 제어하며, 보다 큰 프로세싱 윈도우를 갖는 장치 및 프로세스에 대한 필요성이 존재한다.
본 발명의 실시예는 일반적으로 프로세싱 챔버에 결합되는 플라즈마 소스를 제공하며, 상기 플라즈마 소스는 제 1 단부와 제 2 단부 및 코어 요소 중심 축선을 갖는 코어 요소와, 환형의 제 1 플라즈마 발생 영역을 적어도 부분적으로 둘러싸는 하나 또는 둘 이상의 표면을 갖는 제 1 플라즈마 블록과, 그리고 상기 코어 요소의 일부의 위에 배치되는 코일을 포함하며, 상기 환형의 제 1 플라즈마 발생 영역은 코어 요소의 제 1 부분의 둘레에 배치된다.
또한, 본 발명의 실시예는 프로세싱 챔버에 결합되는 플라즈마 소스를 제공할 수 있으며, 상기 플라즈마 소스는 제 1 단부, 제 2 단부, 및 코어 요소 중심 축선을 갖는 코어 요소와, 환형의 제 1 플라즈마 발생 영역을 적어도 부분적으로 둘러싸는 하나 또는 둘 이상의 표면을 갖는 제 1 플라즈마 블록과, 환형의 제 2 플라즈마 발생 영역을 적어도 부분적으로 둘러싸는 하나 또는 둘 이상의 표면을 갖는 제 2 플라즈마 블록과, 그리고 상기 코어 요소의 일부의 위에 배치되는 코일을 포함하며; 상기 환형의 제 1 플라즈마 발생 영역은 코어 요소의 제 1 부분의 둘레에 배치되고 일반적으로 상기 코어 요소 중심 축선과 일치하는 중심 축선을 가지며, 상기 환형의 제 2 플라즈마 발생 영역은 코어 요소의 제 2 부분의 둘레에 배치되고 일반적으로 상기 코어 요소 중심 축선과 일치하는 중심 축선을 갖는다.
또한, 본 발명의 실시예는 활동적인 가스 원자를 형성하는 방법을 제공할 수 있으며; 상기 방법은 제 1 플라즈마 블록의 하나 또는 둘 이상의 표면에 의해 적어도 부분적으로 둘러싸이며 코어 요소의 일부의 둘레에 배치되는 환형의 제 1 플라즈마 발생 영역내로 프로세스 가스를 흐르게 하는 단계와, 그리고 상기 코어 요소의 일부의 둘레에 권취된 코일에 RF 전력을 전달함으로써 환형의 제 1 플라즈마 발생 영역에 플라즈마를 발생시키는 단계를 포함한다.
다른 양태에 있어서, 기판을 플라즈마 프로세싱하기 위한 복수의 플라즈마 제어 디바이스를 갖는 플라즈마 챔버가 제공된다. 복수의 플라즈마 제어 디바이스 각각은 내측링과 외측링 및 상기 내측링과 외측링 사이에 플라즈마 발생 영역을 한정하기 위하여 상기 내측링과 외측링을 결합하는 측판을 갖는 플라즈마 블록과, 상기 외측링의 일부를 통해 형성되며 제 1 외부 가스 소스에 연결되는 가스 입구와, 상기 외측링의 일부를 통해 형성되며 기판이 내부에 배치되는 플라즈마 챔버내의 프로세싱 영역과 유체소통되는 가스 출구와, 그리고 플라즈마 블록 각각의 내측링을 통해 배치되는 투자성 코어를 포함한다.
또한, 본 발명의 실시예는 프로세싱 챔버에 결합되는 플라즈마 소스를 제공할 수 있으며; 상기 플라즈마 소스는 제 1 단부와 제 2 단부 및 코어 요소 중심 축선을 갖는 코어 요소와, 환형의 제 1 플라즈마 발생 영역을 적어도 부분적으로 둘러싸는 하나 또는 둘 이상의 표면을 갖는 제 1 플라즈마 블록과, 상기 코어 요소의 제 1 부분 위에 배치되는 제 1 코일과, 그리고 상기 코어 요소의 제 2 부분 위에 배치되는 제 2 코일을 포함하며; 상기 환형의 제 1 플라즈마 발생 영역은 코어 요소의 제 1 부분의 둘레에 배치되고, 상기 제 1 플라즈마 블록은 코어 요소의 제 1 부분과 코어 요소의 제 2 부분 사이에 배치된다.
본 발명의 상술한 특징이 상세히 이해될 수 있도록, 상기에 간단히 요약된 본 발명의 보다 상세한 설명이 실시예를 참조하여 이루어질 수 있으며, 실시예의 일부가 첨부의 도면에 도시되어 있다. 그러나, 본 발명이 다른 동등하게 유효한 실시예를 허용할 수 있으므로, 첨부의 도면은 단지 본 발명의 전형적인 실시예만을 도시하고 있으며, 그에 따라 본 발명의 범위를 제한하는 것으로 간주되지 않음에 유의한다.
도 1은 종래의 원격 플라즈마 소스 디바이스의 횡단면도를 도시한다.
도 2a는 본 발명의 일 실시예에 따른 프로세싱 챔버에 결합되는 플라즈마 소스의 등각도이다.
도 2b는 본 발명의 일 실시예에 따른 도 2a에 도시된 플라즈마 소스의 측단면도이다.
도 2c는 본 발명의 일 실시예에 따른 도 2a에 도시된 플라즈마 블록의 측단면도이다.
도 2d는 본 발명의 일 실시예에 따른 플라즈마 블록의 측단면도이다.
도 2e는 본 발명의 일 실시예에 따른 플라즈마 블록의 등각도이다.
도 2f는 본 발명의 일 실시예에 따른 플라즈마 소스의 플라즈마 발생 영역에 플라즈마를 형성하는데 사용되는 소스 조립체의 개략적인 측단면도이다.
도 2g는 본 발명의 일 실시예에 따른 코어 요소의 둘레에 권취된 둘 또는 셋 이상의 코일을 갖는 플라즈마 소스의 측단면도이다.
도 2h는 본 발명의 일 실시예에 따른 플라즈마 소스에 사용될 수 있는 코어 요소의 단면 형상의 3개의 예를 도시한다.
도 2i는 본 발명의 일 실시예에 따른 소스 조립체의 개략적인 측단면도이다.
도 2j는 본 발명의 일 실시예에 따른 소스 조립체의 개략적인 측단면도이다.
도 3은 본 발명의 일 실시예에 따른 플라즈마 블록의 등각 횡단면도이다.
도 4는 본 발명의 일 실시예에 따른 플라즈마 발생 영역의 적어도 일부의 둘레에 권취된 코일을 갖는 플라즈마 제어 디바이스의 측단면도이다.
도 5는 본 발명의 일 실시예에 따른 프로세스 챔버에 결합되는 2개의 플라즈마 제어 디바이스를 갖는 플라즈마 챔버의 개략적인 횡단면도를 도시한다.
도 6a 및 도 6b는 본 발명의 다른 실시예에 따른 프로세스 챔버에 결합되는 적어도 3개의 플라즈마 제어 디바이스를 갖는 플라즈마 챔버의 개략적인 횡단면도이다.
도 7a는 본 발명의 다른 실시예에 따른 온도제어된 코어 요소를 갖는 플라즈마 챔버의 측단면도이다.
도 7b는 본 발명의 다른 실시예에 따른 도 7a에 도시된 온도제어된 코어 요소의 일부의 측단면도이다.
본 발명의 실시예는 일반적으로 전자기 에너지 소스의 사용에 의해 자기 코어 요소의 둘레에 대칭적으로 배치되는 플라즈마 발생 영역에 라디칼 및/또는 가스 이온을 발생시킬 수 있는 플라즈마 소스 장치와, 이를 사용하는 방법을 제공한다. 일반적으로, 플라즈마 발생 영역 및 자기 코어의 배향 및 형상으로 인해 플라즈마 발생 영역에 배치되는 가스로 전달된 전자기 에너지의 효과적이고 균일한 결합이 가능해진다. 여기에 개시되는 플라즈마 소스의 구성으로 인해, 자기 코어로 전달된 전자기 에너지는 현재 시장에서 발견되는 종래의 플라즈마 소스 디자인보다 가스 라디칼 및/또는 가스 이온을 더욱 효율적으로 형성할 수 있고, 가스 라디칼 및/또는 가스 이온을 형성하는데 보다 넓은 프로세스 윈도우를 제공할 수 있으며, 그리고 보다 넓은 범위의 가스 라디칼 에너지 및/또는 이온 밀도를 형성할 수 있는 것으로 여겨진다. 일반적으로, 플라즈마 발생 영역에 형성된 플라즈마의 개선된 특징은 기판 또는 플라즈마 발생 영역의 하류에 배치되는 프로세싱 챔버의 일부상에서 수행되는 증착, 에칭 및/또는 세정 프로세스를 개선시킬 수 있다.
도 2a는 플라즈마 소스(1000)에서 발견되는 플라즈마 제어 디바이스(1072)의 플라즈마 발생 영역(1118)(도 2b)에 형성된 가스 라디칼 및/또는 가스 이온이 프로세스 챔버(1020)의 프로세싱 영역(1128)(도 2b)으로 전달될 수 있도록, 프로세스 챔버(1020)에 결합되는 플라즈마 소스(1000)의 일 실시예의 등각도이다. 플라즈마 발생 영역(1118)에 형성된 가스 라디칼 및/또는 가스 이온은 그 후 프로세스 챔버 부품 및/또는 그 내부에 배치된 기판상에서 수행되는 증착, 에칭 및/또는 세정 프로세스(들)를 향상시키는데 사용된다. 도 2b는 코어 요소(1130)의 중심 축선(1130S)을 통과하는 X-Y 평면(도 2a)을 따라 플라즈마 소스(1000)를 절단함으로써 형성되는 도 2a에 도시된 플라즈마 소스(1000)의 횡단면도이다. 이 구성에 있어서, 플라즈마 소스(1000)는 여기에 서술되는 하나 또는 둘 이상의 실시예를 실행하는데 유용한 플라즈마 제어 디바이스(1072) 및 프로세스 챔버(1020)를 포함한다. 일부의 플라즈마 소스 구성에 있어서, 프로세스 챔버(1020)의 프로세싱 영역(1128)에 배치되는 기판 또는 챔버 부품에 대한 플라즈마 발생 영역(1118)의 구성 및/또는 위치조정(positioning)으로 인해, 플라즈마 발생 영역(1118)(예를 들어, 도 2b 내지 도 2d의 참조번호 "A")으로부터 확산되는 하전된 종(예를 들어, 이온, 전자)의 양은 프로세싱 중에 기판 또는 챔버 부품에 바람직하게 최소한으로만 도달하여 그와 상호작용할 것이다. 또한, 플라즈마 발생 영역(1118)으로부터 프로세싱 영역(1128)으로의 가스 라디칼 및/또는 가스 이온의 확산 또는 플럭스(flux)는 가스 소스(1124)로부터 플라즈마 발생 영역(1118)을 통해 프로세싱 압력 및/또는 가스 원자의 흐름을 조정함으로써 제어될 수 있다. 그러나, 일부 경우에 있어서 플라즈마 소스(1000)는 에칭 또는 CVD 타입 증착 프로세스를 더욱 촉진시키기 위해 프로세스 챔버(1020)의 프로세싱 영역(1128)에 배치되는 기판의 표면과 소량의 하전된 종과의 상호작용이 발생하도록 형성된다.
도 2b에 도시된 바와 같이, 프로세스 챔버(1020)는 챔버 측벽(1005) 및 챔버 뚜껑(1010)과 같은 프로세싱 영역(1128)을 밀봉가능하게 둘러싸는 하나 또는 둘 이상의 벽을 갖는 챔버 본체(1021)를 포함할 수 있다. 일부 구성에 있어서, 기판(1030)을 지지하는데 사용되는 기판 받침대(1015)는 챔버 뚜껑(1010)에 형성되는 입구(1011)에 인접하여 배치된다. 일반적으로, 입구(1011)는 플라즈마 소스(1000)의 플라즈마 발생 영역(1118)과 유체소통된다. 일부 실시예에서, 샤워헤드 조립체(1027)는 샤워헤드 조립체(1027)에 형성된 구멍(1026)을 통해 기판(1030)의 표면(1031)으로 전달될 플라즈마 발생 영역에 형성된 가스 라디칼 및/또는 가스 이온의 균일한 분포를 제공하기 위해, 입구(1011)와 기판 받침대(1015) 사이에 배치된다. 샤워헤드 조립체(1027)는 전기적으로 접지되거나 플로팅될 수 있으며, 구멍(1026)의 크기 및 밀도는 프로세싱 영역(1128)에 들어가는 가스 라디칼 및/또는 가스 이온의 양 및 균일도를 조정하도록 선택될 수 있다. 온도제어된 기판 받침대(1015)와 기판(1030) 사이의 열전도를 개선시키기 위해 기판(1030)의 후면과 기판 받침대(1015) 사이에 형성된 간극(도시되지 않음)에 아르곤 또는 헬륨과 같은 가스를 공급하도록 후면 가스 공급부(1016)가 또한 제공될 수 있다. 프로세스 가스를 프로세싱 영역(1128) 내로 공급하는 프로세스 가스 공급부(1025)가 사용될 수 있다. 터보 펌프, 러프(rough) 펌프 및/또는 다른 유사한 디바이스를 포함하는 진공 펌프 시스템(1035)은 프로세스 챔버(1020) 및/또는 플라즈마 소스(1000) 내의 압력을 제어한다. 일 예에 있어서, 프로세싱 중에 프로세싱 영역(1128) 및/또는 플라즈마 발생 영역(1118) 내의 압력은 약 0.5 milliTorr(mT) 내지 약 1 Torr와 같은 진공 압력으로 유지된다. 일부 실시예에서, 플라즈마 제어 디바이스(1072)를 수용하기 위해 실드(shield)(1129)가 챔버 뚜껑(1010) 상에 직접적으로 또는 간접적으로 장착된다. 실드(1129)의 상부는 가스 입구(1120)의 위치에 대응하는 개구(도시되지 않음)를 구비한다. 실드(1129)는 플라즈마 제어 디바이스(1072)에 의해 생성되는 필드의 효과를 감소시키도록 설계되며, 바람직하게는 높은 뮤(Mu) 재료로 제조되어서, 발생된 모든 필드는 실드(1129)의 내부 영역에 유지된다.
본 발명의 일부 실시예에서, 플라즈마 제어 디바이스(1072)는 프로세스 챔버(1020)의 챔버 뚜껑(1010) 상에 부착되거나 장착되며, 가스 라디칼 및/또는 이온화된 가스 원자를 발생시켜 프로세스 챔버(1020)의 프로세싱 영역(1128) 내로 도입하도록 구성된다. 플라즈마 제어 디바이스(1072)는 유전 재료(예를 들어, 세라믹 재료)로 제조되는 절연판(1145)에 의해 프로세스 챔버(1020) 내의 부품들로부터 이격되어 있으며 이들로부터 전기적으로 절연될 수 있다. 플라즈마 제어 디바이스(1072)는 일반적으로 자기 코어, 또는 이후로는 코어 요소(1130)와, 상기 코어 요소(1130)에 결합되는 소스 조립체(1038)와, 그리고 프로세싱 영역 조립체(1073)를 포함한다. 일반적으로 코어 요소(1130)의 둘레에 대칭적으로 분포되는 플라즈마 발생 영역(1118)은 프로세싱 영역 조립체(1073)에서 발견되는 하나 또는 둘 이상의 부품에 의해 둘러싸일 수 있다. 일 실시예에서, 프로세싱 영역 조립체(1073)는 플라즈마 발생 영역(1118)을 둘러싸는 돔(dome) 부분(1110), 플라즈마 블록(1116), 냉각판(1134), 및 측부 커버(1140)를 포함한다.
플라즈마 소스(1000)의 작동중, 기판(1030)은 프로세싱을 위해 프로세스 챔버(1020) 내의 기판 받침대(1015) 상에 배치된다. 그 후, 플라즈마 소스(1000)의 플라즈마 발생 영역(1118)은 진공 펌프 시스템(1035)에 의해 미리 결정된 압력/진공으로 당겨질 수 있다. 일단 미리 결정된 압력이 달성되면, 진공 펌프 시스템(1035)이 플라즈마 소스(1000)를 계속 펌핑하는 동안, 증착, 에칭, 또는 세정 가스(들)가 가스 입구(1120)를 통해 플라즈마 제어 디바이스(1072) 내로 도입되어, 가스 확산기 본체(1122)로부터 플라즈마 발생 영역(1118)을 통해 흐를 수 있으며, 그에 따라 평형 프로세싱 압력이 얻어진다. 프로세싱 압력은 예를 들어 플라즈마 소스(1000)에 대한 진공 시스템의 소통의 교축(throttling)을 통해, 또는 가스 입구(1120)를 통해 플라즈마 소스(1000) 내로 도입되는 프로세스 가스 또는 세정 가스의 유량(flow rate)의 조정을 통해 조정될 수 있다. 일단 압력 및 가스 흐름이 설정되면, 전력 소스가 작동된다. 소스 조립체(1038)와 같은 RF 전력 소스가 코일(1132)에 전기적으로 연결된다. 코일(1132)에 의해 발생된 필드는 가스를 플라즈마 상태로 여자하기 위해 플라즈마 발생 영역(1118)에 배치된 가스에 전달된 에너지를 유도결합시키는 코어 요소(1130) 내의 자기장을 유도적으로 형성한다. 일 실시예에서, 형성된 플라즈마의 라디칼 농도 및 이온 밀도는 코일(1132)에 공급된 전력의 조정을 통해 또는 플라즈마 소스(1000)의 프로세싱 압력의 조정을 통해 증가되거나 감소될 수 있다.
도 2b, 2d 및 2e에 도시된 바와 같이, 플라즈마 블록(1116)은 그 내부에 형성된 환형 플라즈마 발생 영역(1118)의 적어도 일부를 갖는 부품을 포함한다. 환형 플라즈마 발생 영역(1118)은 일반적으로 코어 요소(1130) 둘레에 형성된다. 일 실시예에서, 도 2b에 도시된 바와 같이, 환형 플라즈마 발생 영역(1118)은 직사각형 형상의 단면을 갖는다. 그러나, 플라즈마 발생 영역(1118)의 횡단면의 형상은 원형, 타원형 또는 다른 유용한 형상과 같은 임의의 원하는 형상일 수 있으며, 따라서 직사각형 형상의 플라즈마 발생 영역이 여기에 서술된 본 발명의 범위에 관해 제한하는 것으로 의도되지 않는다. 일 구성에 있어서, 플라즈마 발생 영역(1118)은 플라즈마 블록(1116)에 형성된 내벽(1150), 외벽(1156), 및 측벽(1158)(도 2b)에 의해 적어도 부분적으로 둘러싸인다. 일 실시예에서, 플라즈마 발생 영역(1118)은 종래의 파스너(도시되지 않음)의 사용에 의해 플라즈마 블록(1116)의 벽(1150, 1156, 1158) 및 플라즈마 블록(1116)에 부착된 측부 커버(1140)에 의해 완전히 둘러싸인다. 일 구성에 있어서, 도 2e에 도시된 바와 같이, 환형 플라즈마 발생 영역(1118)이 중심 축선(1116S) 둘레에 형성되는 체적을 포함한다. 일 구성에 있어서, 환형 플라즈마 발생 영역(1118)의 체적은, 출구 포트(1126) 및 입구 포트(1127) 영역의 추가된 체적을 무시하고, 일반적으로 중심 축선(1116S)을 중심으로 회전된 플라즈마 발생 영역의 횡단면(예를 들어, 직사각형 단면)의 영역(area)과 동일하다. 일부 실시예에서, 환형 플라즈마 발생 영역(1118)은 중심 축선(1116S)을 중심으로 완전히 대칭적이거나 또는 실질적으로 대칭적일 수 있다.
도 2b에 도시된 바와 같이, 코어 요소(1130)는 일반적으로 플라즈마 블록(1116)의 내벽(1150)의 인접한 표면(1150A) 근처에서 플라즈마 블록(1116)의 일부를 통해 배치된다. 일 양태에 있어서, 코어 요소(1130)는 예를 들어 페라이트 로드와 같은 투자율이 높은 로드나 튜브를 포함하지만, 결합 구조에 따라 다른 자성 재료일 수 있다. 코어 요소(1130)가 형성되는 자성 재료는 일반적으로 다음의 특징들: 1) 높은 인가 주파수에서의 낮은 코어 손실 밀도를 갖고, 2) 높은 퀴리(Curie) 온도를 가지며, 그리고 3) 높은 벌크 비저항(bulk resistivity)을 가질 것이다. 일반적으로, 코어 요소(1130)는 소스 조립체(1038)에서 발견되는 하나 또는 둘 이상의 코일(예를 들어, 코일(1132))을 통한 RF 전류의 흐름에 의해 생성되는 발생된 필드(예를 들어, 자기장)가 우선적으로 흐를 경로를 제공하는데 사용할 수 있는 임의의 재료로 형성될 수 있다. 일 실시예에서, 코어 요소(1130)는 페라이트 함유 요소를 포함한다. 본 발명에서는 "페라이트 요소" 및 "페라이트 재료"라는 용어가 사용되고 있지만, 이들 용어는 여기에 서술된 본 발명의 범위에 대해 한정하는 것으로 의도되지 않는다. 또한, 일 실시예에서 코어 요소(1130)는 도 2b에 도시된 X 축선과 일치하는 중심 축선(1130S)과 같은 중심 축선을 중심으로 정렬되는 소직경의 실린더 또는 로드의 다발(bundle)을 포함한다.
도 2c는 코어 요소(1130)에 자기장(즉, B-필드 "B")을 유도하는 소스 조립체(1038)로부터 에너지를 전달함으로써 플라즈마 발생 영역(1118)에 유도결합된 플라즈마 "P"가 형성되는 본 발명의 일 실시예를 일반적으로 개략적으로 도시하는 도 2b에 도시된 플라즈마 제어 디바이스(1072)의 확대된 횡단면도이다. 따라서, 유도된 자기장은 가스 라디칼 및/또는 이온을 포함할 플라즈마를 형성하기 위해 그 내부에 배치된 가스 원자를 여기된 상태로 여기시키는데 사용되는 플라즈마 발생 영역(1118)에 전기장을 생성한다. 플라즈마 프로세싱 중에, 프로세싱 가스는 플라즈마 블록(1116)의 외벽(1156)에 형성된 입구 포트(1127)를 통해 플라즈마 발생 영역(1118) 내로 전달되며, 여기된 가스 원자는 플라즈마 블록(1116)의 외벽(1156)에 또한 형성되는 출구 포트(1126)를 통해 플라즈마 발생 영역(1118)을 빠져나간다. 따라서, 출구 포트(1126)는 프로세스 챔버(1020)의 프로세싱 영역(1128)에 플라즈마 발생 영역(1118)을 유체결합시킨다. 따라서, 프로세스 챔버(1020)의 프로세싱 영역(1128)에 전달된 가스의 화학 작용은 프로세스 가스 공급부(1124)에 의해 제어될 수 있으며, 가스 원자의 에너지는 (예를 들어, 유량에 비례하는) 플라즈마 발생 영역(1118)에서의 가스 원자 잔류 시간과, 전달된 RF 전력과, 플라즈마 발생 영역(1118)의 가스의 조성물과, 그리고 플라즈마 발생 영역(1118)의 압력에 의해 제어될 수 있다.
플라즈마 프로세싱 중에, RF 전류(I1)(도 2c)는 코어 요소(1130)의 일부의 둘레에 권취되는 코일(1132)을 통해 전달되며, 이는 플라즈마 발생 영역(1118)("+" 및 "-" 참조)을 통과하고 그 내부에 배치된 가스 원자로 하여금 플라즈마 "P"를 형성하게 하는 전기장을 생성하는 코어 요소(1130)를 통해 흐르는 자기장 "B"를 생성한다. 일 실시예에서, 가스가 약 0.5 sccm 내지 약 100 lpm의 유량으로 전달되고 압력이 약 1 mTorr 내지 약 500 Torr에서 유지되는 동안, 코일(1132)에 전달되는 전력은 약 400 kHz의 RF 주파수에서 약 0.1 Watt 내지 약 10 kWatt로 변화할 수 있다. RF 전력 소스에 의해 전달된 전력의 주파수는 약 400 kHz의 주파수로 제한되지 않으며, 적용예에 따라 약 10 kHz 내지 40 MHz 초과와 같은 원하는 주파수에서 작동될 수 있는 것이 예상된다. 일부 경우, RF 전력 소스로부터 약 13.56 MHz 미만 또는 그와 동일한 주파수로 RF 전력을 전달하는 것이 바람직하다.
여기에 서술된 플라즈마 소스(1000) 구성의 사용에 의해, 종래의 플라즈마 발생 디바이스에 비해 프로세스 윈도우, 활동적인 가스 원자 생성 효율, 및 가스 라디칼 에너지의 범위가 크게 개선될 수 있는 것으로 여겨진다. 투자성 코어 요소(예를 들어, 코어 요소(1130))의 둘레에 대칭적으로 형성되는 둘러싸인 플라즈마 발생 영역(1118)의 사용은 다른 종래의 디자인에 비해 많은 장점을 갖는 것으로 여겨진다. 첫째로, 코어 요소(1130)의 형상과 플라즈마 발생 영역(1118)의 대칭적 배향으로 인해, 도 2c 및 도 2d에 개략적으로 도시된 바와 같이 균일한 밀도를 갖는 플라즈마가 내부에 형성될 수 있다. 대칭적으로 형성된 플라즈마 발생 영역(1118)은 상술한 바와 같이 종래의 RPS 디자인에서 발견되는 공통적인 "핫 스팟" 문제점에 의해 발생되는 효율 문제를 회피하고 RF 에너지를 보다 넓은 영역에 걸쳐 전달함으로써, 전달된 RF 전력의 결합 효율을 개선시키는데 사용된다. 둘째로, 코어 요소(1130) 둘레의 플라즈마 발생 영역(1118)의 대칭적인 형상으로 인해, 정사각형 플라즈마 발생 영역(도 1) 및 국부적인 작은 플라즈마 결합 영역(예를 들어, 도 1의 "PR" 영역)과 같은, 종래의 RPS 디자인에서 발견되는 플라즈마 발생 영역의 상이한 영역들에 불균일한 필드 밀도를 갖는다는 공통적인 문제가 제거된다. 종래의 RPS 디자인에서 발견되는 불균일한 필드 밀도는 라디칼 발생 효율과, 여기된 가스 원자와 플라즈마 발생 영역의 표면의 부분과의 원치않는 상호작용에 영향을 끼칠 수 있다.
본 발명의 일부 실시예에 있어서, "개방 루프" 자기장 또는 자기장은 투자성 코어 요소(1130)의 중심 축선 둘레에 총 360°로 균일하게 분포될 수 있으며, 코어 요소(1130)에 대한 코일(1132)의 결합으로 인해 발생된다. 따라서, "개방 루프" 자기장은 플라즈마 발생 영역(1118) 내에 플라즈마 밀도를 균일하게 하는데 도움을 줄 것이다. 일부 실시예에서, 본 발명의 투자성 코어 요소(1130)는 플라즈마가 발생되는 도관을 에워싸는 폐쇄된 투자성 코어의 둘레에 권선 또는 코일 부분이 감기는 종래의 도넛형 플라즈마 챔버 안테나 또는 RPS 디자인에서 전형적으로 보이는 바와 같은 "폐쇄 루프" 디자인 대신에, 플라즈마 블록(1116)을 통해 일반적으로 곧게 연장되는 "개방 루프" 디자인이다. 상술한 바와 같이, 종래의 "폐쇄 루프" 디자인은 폐쇄 루프 코어 요소가 배치되는 도관 둘레의 특정 영역에서만 높은 자기장을 가질 것이다.
도 2d는 플라즈마 "P"가 플라즈마 소스(1000)의 부분을 통과하는 필드(B-필드 "B")에 의해 형성되는 플라즈마 블록(1116)에 형성된 플라즈마 발생 영역(1118)의 개략적인 측단면도이다. 도 2d에 도시된 바와 같이, 페이지(page) 내로 흐르는(즉, "_" 표시) 코어 요소(1130)에 형성된 B-필드와 페이지의 외부로 흐르는(즉, "X" 표시) 복귀하는 B-필드는 코어 요소(1130)의 축선을 중심으로 원주방향으로 균일하게 분포된다(예를 들어, 일정한 반경방향 위치에서 360°균일함). 본 기술분야의 숙련자라면 접지된 챔버 뚜껑(1010)에 대한 플라즈마 제어 디바이스(1072)의 위치 및 플라즈마 발생 영역(1118)에 형성된 플라즈마와 대칭적으로 발생된 필드가 일반적으로 프로세스 챔버(1020)의 프로세싱 영역(1128)의 바깥쪽에 남아 있지만, 발생된 가스 라디칼 및 일부 가스 이온의 프로세싱 영역(1128) 내로의 이동을 허용하여, 이들이 기판 및 프로세스 챔버 부품과 상호작용할 수 있음을 인식할 것이다. 일 실시예에서, 플라즈마 발생 영역(1118)에 발생된 플라즈마가 기판의 표면(1031)과 상호작용하지 않도록 플라즈마 발생 영역(1118)을 기판(1030)으로부터 먼 거리에 배치하는 것이 바람직하며, 이것은 이온 충격 및 손상을 유발시킬 수 있는 상당한 플라즈마 포텐셜 및 플라즈마 시스(sheath)를 기판상에 형성하는 경향이 있을 것이다.
코어 요소 디자인
도 2a 내지 도 6b는 일반적으로 둘러싸인 플라즈마 발생 영역에 균일한 플라즈마를 형성하는데 유용한 단일의 코어 요소(1130)를 개략적으로 도시하고 있다. 상술한 바와 같이, 일부 실시예에서 코어 요소(1130)는 단일의 단결정 요소일 필요는 없으며, 발생된 필드(예를 들어, 자기장)가 우선적으로 흐를 것이거나 또는 하기에 서술되는 바와 같이 여러가지 상이한 형상 및 구성으로 형성될 수 있는 경로를 제공하기 위해 함께 다발로 형성되는 일련의 작은 요소들을 포함할 수 있다.
일 실시예에서, 도 2a 내지 도 6b에 도시된 바와 같이, 코어 요소(1130)는 플라즈마 블록(1116)을 통해 배치되는 바아(bar) 형상의 요소를 포함한다. 이 구성에 있어서, 코어 요소(1130)는 종래의 디자인에서 통상적으로 발견되는 바와 같이 링, 정사각형, 또는 플라즈마 블록(1116)을 에워싸는 다른 둘러싸인 형상과 대조적으로 바아 형상, 또는 곧은(straight) 길이 형상을 갖는다. 코어 요소의 곧은 바아 형상은 플라즈마 발생 영역(1118)(도 2b)의 이온 밀도를 상당히 개선시키는데, 그 이유는 유도적으로 발생된 필드가 우선적으로 흐르게 될 둘러싸인 코어 요소를 갖지 않기 때문에, 유도적으로 발생된 필드가 전개될 수 있기 때문이다. 따라서, 곧은 바아 형상 코어 요소로부터 발생된 필드는 플라즈마 발생 영역의 작은 영역으로 제한되지 않는다. 따라서, 균일하게 분포된 자기장은 대칭적으로 형성된 플라즈마 발생 영역(1118)을 통해 균일한 플라즈마 밀도를 형성하는 경향이 있을 것이다.
일부 구성에 있어서, 코어 요소(1130)는 바아 형상을 취하며, 원형 또는 원통형 횡단면과 같은, 중심 축선(1130S)을 중심으로 규칙적인 또는 대칭적인 횡단면을 갖는다. 일 예에 있어서, 코어 요소(1130)의 횡단면은 원형이며, 약 0.5 인치 내지 약 2 인치의 직경과 약 3 인치 내지 약 20 인치의 길이를 갖는다. 일 예에 있어서, 코어 요소(1130)의 직경(d)에 대한 길이(L)의 비율(L/d)은 약 1.5 내지 약 40, 가령 약 2 내지 약 10이다. 여기에서는 코어 요소(1130)가 원통형으로 서술되어 있지만, 코어 요소(1130)의 횡단면 형상은 정사각형, 6각형, 직사각형과 같은 비 원형 또는 비 원통형일 수 있거나 또는 규칙적이거나 불규칙적인 임의의 다른 원하는 형상일 수 있는 것으로 생각된다. 도 2h는 플라즈마 소스(1000)에 사용될 수 있는 상이한 코어 요소의 3개의 단면 형상의 예를 도시하고 있다. 이 예에서, 코어 요소(1130)는 "횡단면 Ⅰ"로 도시된 바와 같이 횡원형의 단면 형상, "횡단면 Ⅱ"로 도시된 바와 같이 관형의 횡단면 형상, 또는 "횡단면 Ⅲ"로 도시된 바와 같이 중심 축선을 중심으로 규칙적으로 형성되는 6각형의 횡단면 형상을 가질 수 있다. 다른 예에 있어서, 코어 요소(1130)는 도 7b의 코어 요소(1721)와 같은, 그 내부에 하나 또는 둘 이상의 특징부가 형성된 원형의 횡단면 형상을 가질 수 있다. 일 실시예에 있어서, 바아 형상의 코어 요소(1130)는 코어 요소(1130)의 반대측 단부에 배치되는 2개의 단부(1131A 및 1131B)(도 2b)를 갖는다. 따라서, 곧은 바아 형상의 코어 요소 구성에 있어서, 코어 요소(1130)의 중심 축선(1130S)과 일치하는 직선은 2개의 단부(1131A 및 1131B)를 통과할 것이다.
코어 요소의 물리적 또는 전기적 성질의 변화는 플라즈마 소스의 효율, 안정성, 및 신뢰성에 상당한 영향을 끼칠 수 있는 것으로 밝혀졌다. 본 기술분야의 숙련자라면 코어 요소(1130)의 온도가 증가함에 따라 포화 플럭스 밀도 및 투자율이 모두 감소되는 것을 인식할 것이다. 따라서, "와전류" 가열로 인한 플라즈마 프로세싱 중의 코어 요소(1130)의 온도의 상승을 극복하기 위해, 일반적으로 코어 요소(1130)의 능동적인 냉각이 요구된다.
도 7a는 온도제어된 코어 요소(1130)를 갖는 플라즈마 소스(1000)의 일 실시예의 측단면도이다. 도 7b는 본 발명의 실시예에 따른 코어 요소(1130)의 측단면도이다. 일 실시예에서, 플라즈마 소스(1000)는 코어 요소(1130) 및 열교환기 조립체(1710)를 포함한다. 일 실시예에서, 플라즈마 소스(1000)의 작동중에 온도제어된 열교환 유체가 열교환기 조립체(1710)로부터 코어 요소(1130)의 일부를 통해 전달되고, 그 후 열교환기 조립체(1710)로 다시 복귀된다. 일반적으로, 열교환기 조립체(1710)는 유체 재순환 칠러(chiller)와 같은 종래의 유체 열교환 디바이스를 포함한다. 일반적으로, 열교환 유체는 탈이온수(deionized water)와 같은 높은 전기 비저항 및 양호한 열전달 특성을 가질 것이다. 일 실시예에서, 도 7a에 도시된 바와 같이, 코어 요소(1130)는 일반적으로 하우징(1711) 내에 둘러싸이는 투자성 코어 요소(1721)를 포함한다. 이 구성에 있어서, 열교환기 조립체(1710)로부터 전달된 열 교환 유체는 투자성 코어 요소(1721)의 부분의 둘레에서 또한 투자성 코어 요소(1721)의 부분을 통해 하우징(1711) 내로 흘러서, 그 내부에 형성되는 발생된 열을 제거한다. 투자성 코어 요소(1721)는 일반적으로 도 2b 내지 도 2g와 함께 상술한 바와 같이 페라이트 로드와 같은 투자율이 높은 재료를 포함한다.
일 실시예에서, 하우징(1711)은 열교환 유체가 코어 요소(1721)의 외면과 하우징(1711)의 내면 사이에 형성된 간극(1723)(도 7b) 내로 통과하게 하는 크기를 갖는다. 코어 요소(1721)는 중심 채널(1722) 및/또는 코어 요소의 표면에 형성되는 홈과 같은 복수의 피쳐(1724)를 포함할 수 있다. 중심 채널(1722) 및/또는 복수의 피쳐(1724)는 흐르는 유체와 코어 요소(1721) 사이의 열전달을 개선시키기 위해 일반적으로 흐르는 열교환 유체를 수용하도록 형성된다. 일 구성에 있어서, 코어 요소(1721)는 중심 채널(1722) 또는 피쳐(1724)와 같은, 코어 요소에 형성된 피쳐와 결합하는 피쳐(도시되지 않음)를 지지함으로써 하우징(1711) 및 플라즈마 블록(1116) 내에 지지 및 정렬된다. 일부 구성에 있어서, 다공성 판과 같은 유체 흐름 분포 피쳐(미도시)는 코어 요소(1721)의 둘레에서 그를 통해 열교환 유체의 균일한 흐름을 촉진시키는 것을 돕기 위해 입구 포트(1711B) 및/또는 출구 포트(1711C)에 배치될 수 있다.
도 7b를 참조하면, 일 실시예에서, 하우징(1711)은 열교환 유체가 하우징(1711)의 내부 영역(1725)을 통해 전달될 때 하우징(1711)의 외면(1711A)이 눈에 띄게 편향되지 않도록 형성된다. 이 구성에 있어서, 하우징(1711)은 알루미나(Al2O3), 석영, 알루미늄 질화물과 같은 경질의(rigid) 유전체 재료, 복합물 재료 또는 다른 적절한 재료로 형성된다. 대안적인 실시예에서, 하우징(1711)은 내부 영역(1725)을 통해 열교환 유체가 전달될 때 하우징(1711)의 외면(1711A)이 편향되게 구성되도록 형성되며, 그에 따라 외면(1711A)이 팽창되어서 플라즈마 블록(1116)의 내면(1150A)의 일부와 하우징(1711)의 외면(1711A) 사이에 접촉이 이루어지게 할 수 있다. 플라즈마 블록(1116)의 내면(1150A)과 하우징(1711)의 외면(1711A) 사이에 생성된 접촉은 플라즈마 발생 영역(1118)에 발생된 열이 하우징(1711)을 통해 흐르는 열교환 유체로 전달되게 할 것이다. 이 구성에 있어서, 하우징(1711)은 플라스틱 재료와 같은 가요성 유전 재료, 섬유 함침형 수지 재료, 탄성중합체(elastomeric) 재료, 복합물 재료, 또는 가요성이며 원하는 열전도율을 갖는 다른 적절한 재료로 형성된다.
하기에 더 서술되는 플라즈마 소스(1000)의 일 실시예에 있어서, 액추에이터(1047) 및 시스템 제어기(1001)는 그 내부에 배치된 가스에 대한 RF 결합을 개선시키기 위해 플라즈마 발생 영역(1118)에 대해, 하우징(1711) 및 코어 요소(1721)를 포함할 수 있는 코어 요소(1130)의 위치를 조정 및 튜닝하는데(tune) 사용된다. 일 구성에 있어서, 코일(1132)에 에너지를 전달하고 전력 전달 회로의 다른 영역에 부착되거나, 플라즈마 발생 영역(1118)과 소통되는 전력 공급부의 센서는 플라즈마 발생 영역(1118)에 발생된 플라즈마의 상태에 대한 정보를 시스템 제어기(1001)로 피드백하는데 사용되며, 그에 따라 시스템 제어기(1001)에 의해 플라즈마에 대한 에너지 결합이 조정될 수 있다. 센서에 의해 측정되고 시스템 제어기(1001)로 복귀되는 정보는 반사된 전력의 양, 챔버 임피던스, 플라즈마 밀도, 플라즈마의 광학 방출, 또는 플라즈마 발생 영역(1118)에 형성된 플라즈마의 상태에 대한 다른 유용한 정보를 포함할 수 있다.
플라즈마 블록
종래의 도넛형 또는 RPS 디자인에서 발견되는 재료 양립성 문제를 피하기 위해, 상술한 바와 같이, 플라즈마 블록(1116)은 플라즈마 발생 영역(1118)에 형성된 가스 라디칼 또는 이온과 반응하지 않거나 또는 반응성 프로세스 가스와 반응하지 않을 재료로 형성된다. 일반적으로, 플라즈마 블록(1116)은 코어 요소(1130) 둘레에 대칭적인 형태로 형성되고, 플라즈마의 화학적 성질에 의해 눈에 띄게 공격받지 않을 재료를 포함하며, 플라즈마에 의해 발생된 열을 열교환 디바이스로 전달하기 위해 높은 열전도율을 갖는다. 일 실시예에서, 플라즈마 블록(1116)은 원하는 대칭적 형상으로 형성되는 높은 열전도율의 유전체 재료를 포함한다. 중실형(solid) 유전 재료를 포함하는 플라즈마 블록(1116)이 종래의 디자인에 비해 많은 장점을 갖는데, 그 이유는 종래의 RPS 디자인에서 통상적으로 발견되는 코팅 결함 및 가능한 손상 문제를 피하기 때문이다. 내면상에 코팅을 갖는 2개의 절반부들을 접합함으로써 완전히 둘러싸인 플라즈마 프로세싱 영역을 생성하는 것이 일반적으로 어려운데, 그 이유는 절반부들 사이의 접합부의 표면 코팅이 형성 프로세스 중에 손상되지 않은 채 남아 있을 것을 보장하기가 어렵기 때문이다. 또한, 중실형 유전 재료의 사용은 종래의 금속을 함유하는 플라즈마로 둘러싸인 영역의 부분들 사이에 전형적으로 배치되어 이들 금속 함유 영역에 와전류의 형성을 배제하는 절연 블록(예를 들어, 도 1의 참조 번호 108, 110)에 대한 필요성을 배제한다. 일 실시예에서, 플라즈마 블록(1116)은 알루미늄 산화물(Al2O3), 알루미늄 질화물(AlN), 베릴륨 산화물(BeO), 붕소 질화물(BN), 석영, 또는 다른 유사한 재료로 제조된다. 일 예에 있어서, 플라즈마 블록(1116)은 약 2 인치 두께(도 2b의 X 방향)인 알루미늄 질화물 재료로 제조된다. 일 실시예에서, 내벽(1150)의 내면(1150A)은 직경이 약 2 인치 내지 약 5 인치일 수 있으며, 외벽(1156)의 내면은 직경이 약 5 인치 내지 약 10 인치일 수 있고, 그리고 플라즈마 블록(1116)의 둘레는 적용예에 따라 약 10 인치 내지 약 30 인치의 범위에 속할 수 있다.
다시 도 2b를 참조하면, 프로세싱 중에 플라즈마 블록(1116) 및 관련 부품이 냉각 상태로 유지되는 것을 추가로 보장하기 위한 노력으로, 일반적으로 냉각판(1134)이 플라즈마 블록의 측벽(1158)에 부착된다. 따라서, 냉각판(1134)은 임의의 밀봉부 또는 연결된 부품들이 프로세싱 중에 손상되게 되는 것을 방지하기 위해 프로세싱 중에 발생된 열을 제거하도록 구성된다. 일 실시예에서, 냉각판(1134)은 열 교환 소스(1139)로부터 전달된 물과 같은 냉각 매체를 수용 및 순환시키기 위해 그 내부에 매립되는 실질적으로 원형인 냉각 채널(1138)을 갖는다. 도 2a에는 단 하나의 냉각판 및 채널(1134, 1138)이 도시되었지만, 본 발명의 일 실시예에서는 유사한 냉각 채널을 갖는 제 2 냉각판(1146)(도 2b)이 측부 커버(1140)에 부착되는, 냉각 채널(1133)의 측벽(1158)의 반대측에 배열될 수 있다. 일 실시예에서, 온도제어된 냉각 매체는 냉각제 입구(1143)(도 2a)로 전달되고, 열교환 소스(1139)의 사용에 의해 제 1 및 제 2 냉각판(1134, 1146)에 형성된 냉각제 출구(1144)(도 2a)로부터 복귀된다.
플라즈마 형성 및 전력 전달
상술한 바와 같이, 코어 요소(1130)는 일반적으로 플라즈마 발생 영역(1118)에 플라즈마를 생성하기 위해 소스 조립체(1038)에서 발견되는 하나 또는 둘 이상의 코일(예를 들어, 코일(1132))을 통한 RF 전류의 유동에 의해 생성되는 발생된 필드(예를 들어, 자기장)가 우선적으로 흐를 경로를 제공한다. 일 구성에 있어서, 코일(1132)은 코어 요소(1130)에 코일을 유도결합시키기 위해 코어 요소(1130)의 외측 부분의 둘레에 감긴다. 일 실시예에서, 코일(1132)은 소스 조립체(1038)에 연결되는 구리 스트립 또는 와이어와 같은 도전성 재료의 복수의 감김(turn)을 포함한다. 일 예에 있어서, 코일(1132)은 코일의 약 1회 내지 20회 감김, 가령 약 3회 내지 5회 감김을 포함한다.
소스 조립체(1038)는 RF 전력을 코일(1132)에 효율적으로 전달하기 위해 하나 또는 둘 이상의 다이나믹 임피던스 매칭 요소를 사용할 수 있다. 일 구성에 있어서, 소스 조립체(1038)는 반사된 전력을 최소화하고 RF 에너지를 코일(1132) 및 플라즈마 발생 영역(1118)에 효율적으로 전달하기 위해 주파수 튜닝 요소, 임피던스 매칭 네트워크 튜닝, 또는 순방향 전력 서보잉(servoing)과의 주파수 튜닝을 사용할 수 있다. 일 실시예에서, 소스 조립체(1038)는 임피던스 매칭 요소(1037) 및 RF 전력 소스(1039)를 포함한다. 플라즈마 제어 디바이스(1072)의 외부에 있을 수 있는 RF 전력 소스(1039)는 임피던스 매칭 요소(1037)를 통해 코일(1132)에 전기적으로 결합되고, 코일(1132)에 RF 에너지를 제공한다.
플라즈마 소스(1000)의 일 실시예에서, 점화 촉진 전극을 사용하여 플라즈마 발생 영역(1118)의 일부에 RF 전력을 전달하기 위해 제 2 RF 전력 소스 조립체(1042)가 추가로 제공될 수 있으며, 그에 따라 그 내부에서 플라즈마가 더욱 용이하게 점화될 수 있다. 일 실시예에서, 제 2 RF 전력 소스 조립체(1042)는 임피던스 매칭 요소(1041) 및 RF 전력 소스(1043)를 포함한다. 플라즈마 제어 디바이스(1072)의 외부에 있을 수 있는 RF 전력 소스(1043)는 전극에 전달된 에너지를 플라즈마 발생 영역(1118)에 배치된 가스 원자에 용량결합시키기 위해, 제 1 냉각판(1134) 및/또는 제 2 냉각판(1146)(도 2b)과 같은 점화 촉진 전극에 전기적으로 결합된다. 일 예에 있어서, 도 2b에 도시된 바와 같이 RF 전력 소스(1043)는 플라즈마 발생 영역(1118)의 플라즈마를 점화시키기 위해 약 200 볼트 내지 약 10 킬로볼트와 같은, RF 바이어스(bias)를 제 2 냉각판(1146)에 제공하도록 구성된다. 제 1 및 제 2 냉각판(1134, 1146)은 플라즈마 발생 영역(1118)에 인접하여 병렬로 배치되는 도전성 재료(예를 들어, 금속)로 형성될 수 있다. 코어 요소(1130)의 적어도 일부는 환형의 제 1 및 제 2 냉각판(1134, 1146)의 중심을 통과할 수 있다. 일 구성에 있어서, 제 1 및 제 2 냉각판(1134, 1146) 각각은 프로세싱 중에 코어 요소(1130)에 의해 발생된 필드로 인해 환형 요소에서의 와전류의 형성을 억제하는 반경방향 "절단부" 또는 "파단부"(예를 들어, 도 2a의 참조번호 1146A)를 갖는다. 일반적으로, 플라즈마 제어 디바이스(1072)와 챔버 뚜껑(1010) 사이에 배치되는 절연판(1145)은 플라즈마 점화 및 프로세싱 중에 플라즈마 발생 영역(1118)에 배치된 가스에 대한 용량결합을 개선시키기 위해 RF "핫"(RF "hot")인 넓은 표면적을 갖는 점화 촉진 전극(들)의 사용을 가능하게 한다. 절연판(1145)은 프로세싱 챔버(1020)에서 발견되는 접지된 부품을 통해 전극이 지면에 단락되는 것을 방지한다.
다른 구성에 있어서, RF 전력 소스(1043)는 전극(1142)에 전달된 에너지를 플라즈마 발생 영역(1118)에 배치된 가스 원자에 용량결합시키기 위해 측부 커버(1140) 내에 매립되는 전극(1142)(도 2b)에 전기적으로 결합된다. 전극(1142)은 플라즈마 발생 영역(1118)에 인접하여 병렬로 배치되는 표면(1142A)을 갖는 도전성 환형판(예를 들어, 금속)일 수 있다. 코어 요소(1130)의 적어도 일부는 환형 전극(1142)의 중심을 통과할 수 있다. 일 구성에 있어서, 전극(1142)은 유전 재료에 의해 플라즈마 발생 영역(1118)에 형성된 플라즈마로부터 분리되고 물리적으로 절연되며, 또한 프로세싱 중에 코어 요소(1130)에 의해 발생된 필드로 인해 환형 요소에 와전류의 형성을 억제하는 반경방향 "절단부" 또는 "파단부"를 포함할 수 있다.
대안적인 실시예에서, 임피던스 매칭은 코어 요소(1130)의 둘레에 권취된 코일(1132)에 부착되는 튜닝 커패시터(1036)의 사용에 의해 달성될 수 있다. 튜닝 커패시터(1036)의 커패시턴스는 RF 전력 소스(1039)로부터 전달된 에너지의 주파수로 코일(1132)을 공진시키도록 선택된다. 일 실시예에서, 튜닝 커패시터(1036)의 구성과 함께 다이나믹 임피던스 매칭이 사용된다. 이 경우, 플라즈마 소스(1000)에 전달된 RF 에너지는 전환식 전력 공급부를 사용하는 종래의 전력 전달 체계보다 플라즈마 발생 영역에 더욱 효율적으로 전달되는데, 그 이유는 프로세싱 가스로의 전력의 전달을 제어하여 플라즈마를 형성하기 위해 전달된 에너지가 듀티 사이클(즉, 시간에 대해 분포된 펄스형 온/오프 전력)의 사용을 요구하지 않기 때문이다. 플라즈마로의 전력의 비 간헐적 전달은 플라즈마 발생 프로세스의 효율을 개선시키며, 플라즈마에 전달된 전력 레벨을 조정하는 능력을 개선시킨다.
도 2f에 도시된 바와 같이, 일부 구성에 있어서 소스 조립체(1038)는 플라즈마 발생 영역(1118)에 플라즈마를 형성하기 위해 RF 전력 소스(1039)에 의해 구동되는 일련의 고정된 전기 요소를 포함할 수 있다. 능동적인 RF 매치(match)의 사용과 대비하여 전력 전달 회로에 고정된 전기 요소의 사용이 유리한데, 그 이유는 소스 조립체(1038) 및 플라즈마 소스(1000)의 복잡성을 감소시킬 수 있기 때문이다. 일 실시예에서, 도 2f에 도시된 바와 같이 커패시터(1033)는 한쪽 단부에서 지면과 결합되고 다른쪽 단부에서 선택적 튜닝 커패시터(1036)를 통해 RF 전력 소스(1039)에 결합된다. RF 전력 소스(1039) 및 선택적 튜닝 커패시터(1036)는 또한 공급 회로(1034) 및 점화 회로(1044)에 결합된다. 이 구성에 있어서, 단일의 RF 전력 소스(1039)는 점화 촉진 전극에 결합되는 점화 회로(1044)를 사용하여 플라즈마 발생 영역(1118)에 플라즈마를 형성할 수 있으면서, 또한 공급 회로(1034)의 사용을 통해 플라즈마 발생 영역(1118)에 플라즈마를 지속시킬 수 있다. 공급 회로(1034)는 도 2f에 유도 코일 타입 요소로서 개략적으로 도시된 코일(1132)에 결합된다. 이 경우, 제 1 냉각판(1134), 제 2 냉각판(1146) 및/또는 전극(1142)과 같은 점화 촉진 전극(들)에 대한 점화 회로(1044) 연결의 추가로 인해, 제 2 RF 전력 소스 조립체(1042)가 필요치 않다. 일 예에 있어서, 커패시터(1033) 및 튜닝 커패시터(1036)는 형성된 RF 회로가 니어 공진(near resonance)을 수행하게 하도록 선택되고, 일 예에 있어서 각각은 0.5 내지 300 나노-패럿 커패시터를 포함할 수 있다. 반사된 전력 문제를 피하기 위해, 전력을 코일(1132) 및 플라즈마 발생 영역(1118)에 효율적으로 전달하도록 RF 전력 소스(1039)가 주파수 튜닝 모드로 사용될 수 있다.
소스 조립체(1038)로부터 플라즈마 발생 영역(1118)으로 전달된 RF 에너지의 결합을 추가로 개선시키기 위해, 플라즈마 발생 영역(1118)에 대한 코어 요소(1130)의 위치를 조정 및 튜닝시켜 그 내부에 배치된 가스에 대한 RF 결합을 개선시키도록 액추에이터(1047) 및 시스템 제어기(1001)가 사용된다. 플라즈마 발생 영역(1118)에 대해 코어 요소(1130)의 위치를 조정함으로써 전기 특성 및 결합 효율이 조정될 수 있는 것으로 밝혀졌다. 따라서, 일 실시예에 있어서 플라즈마 소스(1000)의 초기 셋업 중에는 전력 결합을 최대로 하기 위해 플라즈마 발생 영역(1118)에 대한 코어 요소(1130)의 위치를 조정할 수 있고 그 후 이 위치로 코어 요소(1130)를 고정할 수 있다.
대안적으로, 코어 요소(1130)의 위치는 액추에이터(1047) 및 시스템 제어기(1001)의 사용에 의해 프로세스 챔버(1020)에서 수행된 기판 프로세싱 시퀀스 중 하나 또는 둘 이상의 단계 동안 플라즈마 발생 영역(1118)에 대해 조정될 수 있다. 일 구성에 있어서, 액추에이터(1047)는 액추에이터(예를 들어, 모터 인코더)에 결합된 센서, 전달된 순방향 RF 전력 및 반사된 RF 전력의 변화를 검출할 수 있는 센서와, 그리고 시스템 제어기(1001)의 사용에 의해, +X-방향 또는 -X-방향(도 2f)으로 코어 요소(1130)의 위치를 조정, 폐쇄 루프 제어, 및/또는 최적화할 수 있는 서보모터 또는 스테퍼 모터이다. 일 실시예에서, 시스템 제어기(1001)는 일반적으로 플라즈마 소스(1000) 내에 포함된 모든 부품들을 제어하도록 구성된다. 시스템 제어기(1001)는 일반적으로 여기에 서술된 플라즈마 프로세싱 기술의 제어 및 자동화를 용이하게 하도록 설계되며, 전형적으로 중앙처리장치(CPU)(도시되지 않음), 메모리(도시되지 않음), 및 지원 회로(또는 I/O)(도시되지 않음)를 포함할 수 있다. CPU는 다양한 프로세스 및 하드웨어(예를 들어, 모터, 전력 공급부, 챔버 하드웨어)를 제어하기 위한 산업적 환경에 사용되고 프로세스들(예를 들어, 기판 온도, 가스 유량, 코어 요소로 전달된 에너지의 양, 코어 요소의 위치)을 모니터링하는 임의의 형태의 컴퓨터 프로세서 중 하나일 수 있다. 메모리(도시되지 않음)는 CPU에 연결되며, 로컬 또는 원격인 랜덤 액세스 메모리(RAM), 판독 전용 메모리(ROM), 플로피 디스크, 하드 디스크, 또는 임의의 다른 형태의 디지털 저장장치와 같은 용이하게 입수 가능한 메모리 중 하나 또는 둘 이상일 수 있다. 소프트웨어 명령 및 데이터는 CPU에 명령을 내리기 위해 메모리 내에 코딩 및 저장될 수 있다. 또한, 지원 회로(도시되지 않음)는 프로세서를 종래의 방식으로 지원하기 위해 CPU에 연결된다. 지원 회로는 종래의 캐시(cache), 전력 공급부, 클럭 회로, 입력/출력 회로망, 서브 시스템 등을 포함할 수 있다. 시스템 제어기에 의해 판독가능한 프로그램(또는 컴퓨터 명령)은 플라즈마 소스 및 기판상에서 어떤 작업이 수행가능한지를 결정한다.
도 2b는 도넛형 플라즈마 소스의 바깥쪽에 배치되는 단일의 유도 코일(1132)만 도시하고 있지만, 이 구성은 본 발명의 범위를 제한하도록 의도되지 않는데, 그 이유는 코일(1132)의 갯수 및 위치가 플라즈마 이온 밀도의 적용 또는 바람직한 상황에 따라 변할 수 있기 때문이다. 일부 실시예에서 도 2g에 개략적으로 도시된 바와 같이, 코일(1132)은 코어 요소(1130)의 중심부의 어느 한 단부(예를 들어, 도 5의 1132B 또는 1132D)에서 코어 요소(1130)의 외측 부분의 둘레에 권취될 수 있거나 또는 한쪽 단부로부터 다른쪽 단부까지 코어 요소(1130)의 둘레에 균일하게 감길 수 있다. 일 실시예에서, 도 2g에 도시된 바와 같이, 만일 다수의 코일이 사용되면, 각각의 코일(1132F, 1132G)은 소스 조립체(1038 및 1058)와 같은 각각의 RF 전력 소스에 별도로 연결될 수 있다. 소스 조립체(1058)는 RF 전력 소스(1059) 및/또는 임피던스 매칭 요소(1057)를 포함할 수 있다. 각각의 RF 코일(1132F, 1132G)에 전달된 각각의 RF 전력 신호의 상(phase) 및 전력 레벨은 플라즈마 발생 영역(1118)의 플라즈마 균일도를 개선시키고 및/또는 RF 전력 결합을 개선시키기 위해 서로에 대해 조정되거나 구성될 수 있다.
일부 실시예에서, 코일(1132)은 코어 요소(1130)에 평행한 방향(즉, X-방향)으로 플라즈마 발생 영역(1118)에 균일한 플라즈마를 형성하기 위해, 플라즈마 발생 영역(1118)에 대해 코어 요소(1130) 상에 대칭적으로 배치되는 둘 또는 셋 이상의 코일(예를 들어, 코일(1132F 및 1132G))을 포함할 수 있다. 일 예에 있어서, 도 2i에 개략적으로 도시된 바와 같이, 둘 또는 셋 이상의 코일은 소스 조립체(1038)에 직렬로 연결되며, 플라즈마 발생 영역(1118)의 반대측상에서 코어 요소(1130)의 둘레에 권취된다. 직렬연결된 코일로의 소스 전력의 전달은 프로세싱 장점을 갖는 것으로 여겨진다. 프로세싱 장점은 유사한 감김 횟수를 갖는 코일에 의해 코어 요소(1130)에서의 더욱 대칭적이고 균일한 자기장의 발생을 포함하는데, 그 이유는 동일한 전류가 양쪽의 직렬연결된 코일을 통해 흐르기 때문이다. 코어 요소(1130)에서의 더욱 대칭적이고 균일하게 발생된 필드는 코어 요소(1130)에 평행한 방향(즉, X-방향)으로 플라즈마 발생 영역(1118)에서 플라즈마의 측면 방향 균일도를 개선시키고, 발생된 필드의 코어 요소(1130)에 대한 결합을 개선시키는 것으로 여겨진다. 다른 예에 있어서, 도 2j에 개략적으로 도시된 바와 같이 둘 또는 셋 이상의 코일이 소스 조립체(1038)에 병렬로 연결되며, 플라즈마 발생 영역(1118)의 반대측상에서 코어 요소(1130)의 둘레에 권취된다. 일 구성에 있어서, 코일(1132F 및 1132G)과 같은 대칭적으로 배치된 코일은 동일한 방향으로 코어 요소의 둘레에 권취된다. 일부 경우에 있어서, 발생된 필드의 적어도 부분적인 소거로 인해 전형적으로 선호되지는 않지만, 코일은 코어 요소(1130)의 둘레에 반대 방향으로 권취된다. 고정된 전기 요소 타입 소스 조립체(1038)가 도 2i 및 도 2j에 도시되지만, 이 소스 조립체 구성은 여기에 서술된 본 발명의 범위에 대하여 제한하는 것으로 의도되지 않는다.
플라즈마 균일도 조정
도 2d에 개략적으로 도시된 바와 같이, 코어 요소(1130)를 통한 RF 에너지의 전달에 의해 형성된 자기장의 강도의 반경방향 차이로 인해, 플라즈마 발생 영역(1118)에 형성된 플라즈마는 플라즈마 블록(1116)의 외벽(1156)에 비해 내벽(1150) 근처에서 더 높은 플라즈마 밀도를 가질 것이다. 따라서, 플라즈마 결합을 추가로 개선시키기 위해 및/또는 플라즈마 발생 영역(1118) 내의 플라즈마 균일도를 더욱 균일하게 하기 위해, 다양한 플라즈마 조정 기술이 사용될 수 있다.
플라즈마 소스(1000)의 일 실시예에 있어서, 도 3에 도시된 바와 같이, 플라즈마 균일도를 조정하기 위해 영구 자석(1152)과 같은 하나 또는 둘 이상의 필드 성형(shaping) 요소가 플라즈마 발생 영역(1118)의 둘레에 배치된다. 도 3은 본 발명의 일 실시예에 따른 플라즈마 블록(1116)의 부분적인 등각 횡단면도이다. 도 3에서는 점선으로 도시된 자기 코어 요소(1130)와 냉각판(1134) 및 측부 커버(1140)가 모두 명확함을 위해 생략되었다. 플라즈마 제어 디바이스(1072)의 일 실시예에 있어서, 플라즈마 발생 영역(1118)에 형성된 플라즈마를 조정하기 위해 복수의 영구 자석(1152)이 플라즈마 블록(1116)의 내벽(1150)의 원주 둘레에 매립된다. 일 양태에 있어서, 플라즈마 블록(1116)의 내벽(1150)은 그 내부에 영구 자석(1152)을 수용하도록 구성된 복수의 개구(1154)를 구비한다. 영구 자석(1152)은 일반적으로 대칭적 패턴으로 이격되어 있으며, 교호하는 극성(즉, 교호하는 북극 "N" 및 남극 "S")으로 배열된다. 내벽(1150)의 원주 둘레에 배치되는 영구 자석(1152)은 플라즈마 발생 영역(1118)에 발생된 플라즈마를 플라즈마 블록(1116)의 내벽(1150)으로부터 원형 영역의 중간을 향해, 또는 외벽(1156)을 향해 "압박"하는 경향이 있을 것이다. 따라서, 필드 성형 요소의 사용은 내벽(1150)과 외벽(1156) 사이에 형성된 플라즈마 발생 영역(1118)을 통해 플라즈마 밀도를 더욱 균일하게 한다. 필드 성형 요소는 영구 자석, 전자석, 또는 플라즈마 발생 영역(1118)에 형성된 플라즈마 및 발생된 필드를 성형할 수 있는 다른 유사한 디바이스를 포함할 수 있다.
일부 경우에 있어서, 필드 성형 요소를 사용하는 대신에, 플라즈마 블록(1116)의 적어도 일부의 둘레에 코일이 권취되며, 그에 따라 이러한 권취된 코일(1432)을 통해 전류를 흐르게 함으로써 발생된 필드가 플라즈마 발생 영역(1118)에 형성된 플라즈마를 재분포시킬 것이다. 도 4는 본 발명의 일 실시예에 따른 권취된 코일 구성을 개략적으로 도시하는 플라즈마 제어 디바이스(1072)의 측단면도이다. 이 실시예에서, 하나 또는 둘 이상의 코일(1432)이 플라즈마 블록(1116)의 둘레에 원하는 감김 회수로 감긴다. 일 실시예에서, 전력 소스(1433)에 의해 전류가 코일(1432)을 통과할 때, 플라즈마 발생 영역(1118)에 형성된 플라즈마를 균일하게 분포시키기 위해, 플라즈마 블록(1116)의 내벽(1150), 측벽(1158) 및 외벽(1156)과 측벽(1140)의 둘레로 360°와 같은, 플라즈마 블록(1116)의 모든 부분의 둘레로 코일(1432)이 권취된다. 일 실시예에서, 플라즈마 발생 영역(1118)에 발생된 플라즈마를 유도결합 및 재분포시키기 위해 코일(1432)에 DC 전력이 전달된다. 보다 구체적으로, 코일(1432)을 통과하는 전류에 의해 생성된 자기장은 일반적으로 내벽(1150)의 중심 근처에서 가장 강한 자기 플럭스 밀도를 가질 것이며, 이것은 화살표로 나타낸 바와 같이 내벽(1150)의 엣지로부터 플라즈마를 끌어내고, 이를 플라즈마 발생 영역(1118)의 중간을 향해 재분포시키는 경향이 있을 것이다. 따라서 코일(1432)을 통한 전류의 전달은 플라즈마 발생 영역(1118)에 플라즈마 밀도를 더욱 균일하게 하는 경향이 있을 것이다. 일 실시예에서, 유도 코일(1432)은 RF 에너지를 전달할 수 있는 전력 소스(1433)의 사용에 의해 플라즈마 발생 영역(1118)에 형성된 플라즈마를 점화시키는데 사용된다. 만일 하나보다 많은 코일(1432)이 사용된다면, 각각의 코일(1432)은 동일한 전력 및 주파수를 사용하여 구동될 수 있거나, 또는 각각의 코일(1432)은 상이한 전력 및/또는 주파수에서 독립적으로 구동될 수 있다.
또한, 코일(1432)은 임의의 희망 위치에서 내벽 및 외벽(1150, 1156)의 둘레에 감길 수 있거나, 또는 희망 간격으로 내벽 및 외벽(1150, 1156)의 둘레를 고르게 감쌀 수 있는 것으로 예상된다. 일 실시예에서, 코일(1432)은 냉각판(1134)에 인접하여 코어 요소(1130)의 외측 부분의 둘레에 감긴다. 일 양태에 있어서, 코일(1432)은 냉각판(1134)과 지지 요소(1135) 사이에 배열된다. 지지 요소(1135)는 냉각판(1134)의 측부에 결합되며, 하나 또는 둘 이상의 지지판(예를 들어, 도 2b에 도시된 지지판(1136, 1137))을 포함하여 지지판(1136, 1137)을 연장시키는 코어 요소(1130)를 고정시킬 수 있다. 일 구성에 있어서, 도 4에 도시된 바와 같이, 코일(1432)은 동상(in-phase; 同相)으로 권취되는 것으로 도시되어 있으며, 즉 코일(1432)을 통해 흐르는 전류는 플라즈마 발생 영역(1118)의 둘레에서 동일한 방향으로 흐르도록 플라즈마를 유도한다. 대안적으로, 코일(1432)은 서로 이상(out of phase; 移相)으로 권취될 수 있다.
플라즈마 소스(1000)의 일부 구성에 있어서, 플라즈마 블록(1116)의 플라즈마 발생 영역(1118)과 접촉하는 내면들만이 플라즈마의 화학적 성질과 반응하지 않을 용융 실리카 또는 세라믹과 같은 전기 절연체로 제조될 수 있다. 따라서, 일부 구성에 있어서 플라즈마 블록은 주로 유전체 코팅된 알루미늄, 양극산화된 알루미늄 또는 스테인리스 스틸과 같은 금속으로 주로 제조될 수 있다. 내벽(1150), 측벽(1158) 및/또는 외벽(1156)과 같은 플라즈마 블록(1116)의 부분들이 전기적으로 도전성 재료(예를 들어 금속)로 형성되는 구성에 있어서, 코일(1432)로의 전류의 전달에 의해 발생된 필드로 인해 일반적으로 벽(1150, 1156, 1158)에 흐르는 와전류를 파괴 및 억제하기 위해, 절연 스페이서(도시되지 않음) 또는 유전체 파괴(dielectric break)가 제공될 필요가 있다. 도전성 벽들 사이에의 절연 스페이서의 추가는 일반적으로 플라즈마 소스(1000) 조립체를 더욱 복잡하고 값비싸게 할 것이면서, 또한 진공 기밀 구성으로 조립하는 것을 더욱 어렵게 한다. 그에 따라 내벽(1150) 또는 외벽(1156) 및 측벽(1158)이 절연 재료 또는 비도전성 재료로 제조되는 경우, 절연 스페이서(들)이 생략될 수 있다.
가스 전달 하드웨어
상술한 바와 같이, 플라즈마 프로세싱 중에, 프로세싱 가스는 가스 공급부(1124)로부터 플라즈마 블록(1116)의 입구 포트(1127)(도 2b)를 통해 플라즈마 발생 영역(1118) 내로 전달되며, 여기된 가스 원자는 플라즈마 블록(1116)에 또한 형성되어 있는 출구 포트(1126)를 통해 플라즈마 발생 영역(1118)을 떠난다. 일 실시예에서, 플라즈마 제어 디바이스(1072)의 돔 부분(1110)은 프로세싱 가스(들)를 플라즈마 블록(1116)의 입구 포트(1127) 및 그 내부에 형성된 플라즈마 발생 영역(1118)에 전달하는데 사용된다. 일 실시예에서, 돔 부분(1110)은 일반적으로 가스 입구(1120)와 가스 확산기 본체(1122)를 포함한다. 가스 확산기 본체(1122)는 일반적으로 플라즈마 블록(1116) 내의 외벽(1156) 내의 입구 포트(1127)를 통해 연장된다. 가스 입구(1120)는 프로세스 가스 또는 세정 가스(들)를 플라즈마 제어 디바이스(1072) 내로 도입하기 위해 외부 가스 소스(들), 예를 들어 프로세스 가스 공급부(1124)에 연결된다. 일 실시예에서, 돔 부분(1110)은 가스 분포 플레넘(plenum)(1123)을 포함하며, 가스 분포 플레넘(1123)은 가스 확산기 본체(1122) 내에 형성되고 상기 가스 확산기 본체(1122)에 형성된 구멍(1121)을 통해 플라즈마 발생 영역(1118) 내로의 가스 전달을 재분포시키고 제어하는데 사용된다. 플라즈마 블록(1116)의 외벽(1156)은 플라즈마 소스(1000)의 프로세싱 영역(1128)으로 이어지는 가스/플라즈마 출구 포트(1126)를 구비한다. 따라서, 가스 분포 플레넘(1123) 및 플라즈마 발생 영역(1118)이 유체소통된다.
프로세스 가스 또는 세정 가스는 NH3, N2O, NO, NO2, O2와 같은 산소 함유 또는 질소함유 가스, 또는 F2, NF3, NH4F, CF4, C3F8, SF6, 또는 C2F5H 와 같은 불소 함유 가스, 및 이들의 조합을 포함할 수 있으며, 선택적으로 아르곤, 헬륨, 또는 질소와 같은 캐리어 가스를 포함할 수 있다. 일 양태에 있어서, 프로세스 가스는 본질적으로 아르곤(Ar)을 포함한다. 다른 양태에 있어서, 프로세스 가스는 본질적으로 암모니아(NH3)를 포함한다. 다른 양태에 있어서, NH4F 플라즈마를 발생시키기 위해 암모니아(NH3) 및 3불화 질소(NF3) 가스가 플라즈마 제어 디바이스(1072) 내로 도입되며, 그 후 플라즈마 소스(1000)에 배치된 기판상의 자연 산화물을 제거하는데 사용될 수 있다. 적용예에 따라 TSA, 실란, TEOS와 같은 다른 프로세싱 전구체(precursor) 가스나 또는 다른 유용한 전구체 가스가 사용될 수 있음이 예상된다. 전구체 가스는 약 100 sccm 내지 약 1000 sccm의 유량으로 제공될 수 있다. 프로세싱 중에, 플라즈마 소스(1000)에서 프로세싱 영역(1128) 내의 압력은 약 100 mTorr 내지 약 20 Torr로 유지될 수 있다. 일 예에 있어서, 챔버의 압력은 약 100 mTorr 내지 약 1.25 Torr로 유지된다.
도 4를 참조하면, 복수의 가스 개구 또는 구멍(1121)은 가스 확산기 본체(1122)의 중심 축선(1121B)에 대해 하나 또는 둘 이상의 각도(1121A)로 형성될 수 있으며, 그에 따라 흐르는 가스가 플라즈마 발생 영역(1118) 내로 들어간 후 내벽(1150)의 내부 표면상에 충돌하게 하지 않고, 프로세스 가스 또는 세정 가스가 원형 타입의 소용돌이 유동 패턴을 형성하도록 플라즈마 발생 영역(1118) 내로 접하는 방식으로 전달되도록 한다. 일 예에 있어서, 구멍(1121)은 가스 확산기 본체(1122)를 양분하고 코어 요소 중심 축선(1130S)을 통해 연장되는 도 4에 도시된 중심 축선(1121B)과 같이, 플라즈마 발생 영역(1118)의 반경방향에 대해 각도를 이루어 형성되었다. 일 실시예에 있어서, 미리 결정된 각도는 약 20°내지 약 70°, 가령 약 45°의 범위에 속할 수 있다. 복수의 개구들 중 각각의 개구는 적용예에 따라, 희망 폭 및 길이를 가질 수 있다. 가스 확산기 본체(1122)는 희망 갯수의 개구, 예를 들어 약 10개의 개구 또는 그 초과의 개구, 가령 약 20개의 개구 또는 그 초과의 개구를 포함할 수 있다.
복수의 플라즈마 소스 구성
일부 프로세싱 구성에 있어서, 복수의 플라즈마 소스는 변화하는 혼합물 비율 및/또는 에너지를 갖는 활동적인 가스 원자를 프로세스 챔버(1020)의 프로세싱 영역(1128)에 제공하기 위해, 유사하거나 상이한 조성물을 갖는 프로세스 가스가 각각의 플라즈마 소스에 전달될 수 있도록, 병렬로 사용될 수 있다. 도 5는 본 발명의 일 실시예에 따른 프로세스 챔버(1020)의 챔버 뚜껑(1010)에 결합되는 2개의 플라즈마 제어 디바이스(1072A, 1072B)를 갖는 플라즈마 챔버의 간략화된 횡단면도를 도시한다. 용이한 도시를 위하여, 플라즈마 챔버 부품이 생략되었지만, 그러나 프로세스 챔버(1020)는 챔버가 2개의 플라즈마 제어 디바이스(1072A, 1072B)를 수용하도록 구성되는 점을 제외하고는, 도 2b에 도시된 프로세스 챔버와 동일한 모든 부품을 포함하는 것으로 예상된다. 이 실시예에서, 2개의 플라즈마 제어 디바이스(1072A, 1072B)는 가스 라디칼 및/또는 이온화된 가스(들)를 샤워헤드 조립체(1027)를 통해 하류의 프로세스 챔버(1020)로 도입하여 생산하기 위해 프로세스 챔버(1020)의 챔버 뚜껑(1010)에 부착 또는 장착된다. 2개의 플라즈마 제어 디바이스(1072A, 1072B)는 병렬로 배열되며, 플라즈마 블록(1016A, 1016B)의 내벽(1150A, 1150B)을 통해 배치되는 공통의 코어 요소(1130)를 공유한다. 이 구성의 코어 요소(1130)는 플라즈마 제어 디바이스(1072A 및 1072B) 양자를 서비스하게 하도록 약간의 추가된 길이를 요구할 수 있는 점을 제외하고, 일반적으로 상술한 부품과 유사하다. 본 발명의 일부 실시예에서, 둘 또는 셋 이상의 플라즈마 발생 영역, 예를 들어 플라즈마 발생 영역(1118A 및 1118B)은 모두 중복된 부품의 갯수를 감소시키고 둘 또는 셋 이상의 플라즈마 발생 영역을 코어 요소(1130)에 대해 정렬시키는 능력을 개선시키기 위해, 플라즈마 블록(1016A)과 같은 단일의 플라즈마 블록(도시되지 않음)에 형성된다.
도 5에 도시된 바와 같이, 코일(1132A)은 코어 요소(1130)의 외측 부분의 둘레에 권취될 수 있다. 코일(1132A)의 감김 횟수는 약 1회 내지 약 10회의 범위로 변화할 수 있다. 일부 경우에 있어서, 코일(1132A)은 10회 또는 그보다 많은 감김을 가질 수 있다. 코일(1132A)은 코어 요소(1130)를 따라 상이한 위치에 배열될 수 있다. 일 실시예에서, 코일(1132A)은 상이한 위치들에 분포되는 복수의 코일을 포함할 수 있다. 일 실시예에서, 코일은 적용예에 따라 또는 플라즈마 이온 밀도의 바람직한 상황에 따라, 하기의 방식 중 임의의 방식으로: (1) 코일 위치(1132A 및 1132E)에; (2) 코일 위치(1132B 및 1132D)에; (3) 오직 코일 위치(1132C)에만; (4) 코일 위치(1132A 및 1132C 또는 1132C 및 1132C)에; 그리고 (5) 코일 위치(1132A, 1132B, 1132C, 1132D 및 1132E)에 분포된다. 복수의 코일이 사용될 때, 코일은 각각의 RF 전력 소스 조립체(예를 들어, 도 2의 참조번호 1038, 1058)에 별도로 연결될 수 있음이 또한 예상된다. 각각의 RF 코일에 전달된 RF 전력 신호는 바람직한 플라즈마 균일도, 가스 라디칼 에너지 또는 플라즈마 이온 분포를 달성하기 위해 서로에 대해 조정되거나 구성될 수 있다. 유사하게, 발생된 플라즈마의 균일도는 프로세스 조건(예를 들어, 전력, 압력, 가스 유량, 가스 조성물)이 변화됨에 따라, 플라즈마 제어 디바이스가 배치되는 방식, 플라즈마 내의 기판의 위치 및/또는 플라즈마 제어 디바이스의 고유의 물리적 특성을 변화시킬 수 있다. 일 실시예에서, 2개의 플라즈마 제어 디바이스(1072A, 1072B)의 플라즈마 발생 영역(1118A, 1118B)에 대한 전달된 RF 에너지의 결합을 더욱 개선시키기 위해, 액추에이터(1047) 및 시스템 제어기(1001)가 사용되어, 플라즈마 발생 영역(1118A, 1118B)에 대한 코어 요소(1130)의 위치를 조정 및 튜닝시켜, 그 내부에 배치된 가스에 대한 RF 결합을 개선시킨다. 일부 구성에 있어서, 제 1 플라즈마 제어 디바이스(1072A)에 대한 코어 요소(1130)의 위치가 제어되며, 제 2 플라즈마 제어 디바이스(1072A)에 대한 코어 요소(1130)의 위치는 부차적으로 중요하다(즉, 종속 장치(slave)).
일 양태에 있어서, 플라즈마 제어 디바이스(1072A, 1072B)의 가스 입구(1110A, 1110B)는 적용예에 따라서, 플라즈마 제어 디바이스(1072A, 1072B)에 상이한 프로세스 가스 또는 세정 가스를 제공하기 위해 동일하거나 상이한 외부 가스 소스(도시되지 않음)에 각각 연결될 수 있다. 이중(dual) 플라즈마 제어 디바이스 구성은 상이한 플라즈마의 신속한 전환을 가능하게 하며, 이것은 증착 또는 세정 체계를 위해 원격 플라즈마 소스를 사용하는 PEALD(플라즈마 강화 ALD) 또는 CVD 적용예와 같은 특정 적용예에서 유리할 수 있다. 또한, 이중 플라즈마 제어 디바이스 구성은 단일의 플라즈마 제어 디바이스에서 바람직하게 여기될 수 없는 비양립성 가스로부터 활동적인 가스 종의 동시적인 전달을 허용하는데 유용하다.
도 6a 및 도 6b는 본 발명의 일 실시예에 따른 코어 요소(예를 들어, 참조번호 1130A, 1130B)에 결합되는 3개의 플라즈마 제어 디바이스(예를 들어, 참조번호 1072C, 1072D 및 1072E)를 갖는 플라즈마 소스(1000)의 횡단면도를 도시하는 개략적인 도면이다. 용이한 도시를 위하여, 프로세스 챔버(1020)의 부품들이 생략되었으며, 도 6a 및 도 6b에는 플라즈마 제어 디바이스(1072C, 1072D 및 1072E) 및 투자성 코어 요소(1130A, 1130B)가 개략적으로만 도시된다. 그러나, 이들 부품 각각은 도 2a 내지 도 2g 및 도 5와 관련하여 상술한 하드웨어 부품을 포함할 수 있다. 일 예에 있어서, 도 6a 또는 도 6b에 도시된 플라즈마 제어 디바이스(1072C, 1072D 및 1072E) 각각은 도 2b와 관련하여 상술한 부품과 동일한 모든 부품들을 포함하고 있다. 도 5와 유사하게, 3개의 플라즈마 제어 디바이스(1072C, 1072D 및 1072E)는 병렬로 배치되고, 공통의 코어 요소(1130A, 1130B)를 공유하며, 공통의 코어 요소(1130A, 1130B)는 플라즈마 블록(도시되지 않음)의 내측링을 통하여 배치되며, 각각의 플라즈마 제어 디바이스(1072C, 1072D 및 1072E)의 대향 측면들을 통하여 연장한다.
일 구성에 있어서, 코일(1132)은 코어 요소(1130A(도 6a) 또는 1130B(도 6b))의 외측 부분의 둘레에 권취된다. 유사하게, 코일의 감김 횟수 및 위치는 적용예에 따라 또는 플라즈마 이온 밀도의 바람직한 상황에 따라, 도 5와 관련하여 기재된 논의와 유사한 방식으로 조정될 수 있다. 플라즈마 제어 디바이스(1072C, 1072D 및 1072E) 각각에서 발견되는 가스 입구(도시되지 않음)는 동일하거나 상이한 외부 가스 소스(도시되지 않음)에 각각 연결될 수 있으며, 그에 따라 상술한 바와 같이 동일하거나 상이한 조성물을 갖는 플라즈마를 형성하여 바람직한 가스 라디칼 또는 가스 이온을 형성할 수 있도록 프로세스 가스의 신속한 전환을 가능하게 한다.
플라즈마 소스(1000)의 일 실시예에서, 3개의 플라즈마 제어 디바이스(1072C, 1072D 및 1072E)는 코어 요소의 상이한 부분에 변화하는 직경을 갖는 공통의 코어 요소(예를 들어, 참조번호 1130A 또는 1130B)를 공유한다. 예를 들어, 도 6a에 있어서 제 1 플라즈마 제어 디바이스(1072C)(즉, 가장 좌측에 있는 또는 가장 우측에 있는 디바이스)를 통하여 연장되는 코어 요소(1130A)의 직경은 제 2 및 제 3 플라즈마 제어 디바이스(1072D 및 1072E)를 통하여 연장되는 코어 요소(1130A)의 영역보다 클 수 있다. 대안적으로, 도 6b에 도시된 바와 같이, 제 2 플라즈마 제어 디바이스(1072D)(즉, 중간에 있는 디바이스)를 통하여 연장되는 코어 요소(1130B)의 직경은 제 1 및 제 3 플라즈마 제어 디바이스(1072C 및 1072E)를 통하여 연장되는 코어 요소(1130B)의 부분들의 직경보다 작을 수 있다. 코어 요소의 외면과 플라즈마 발생 영역 사이의 거리가 발생된 RF 필드의 플라즈마에 대한 플라즈마 결합 효율에 영향을 끼칠 것이기 때문에, 유사하게 구성된 플라즈마 블록에 대해 코어 요소의 직경을 변화시키는 것은 각각의 플라즈마 소스의 플라즈마 밀도 및 결합 효율이 상이하도록 할 것이다. 코어 요소 직경의 상이로 인해 또는 플라즈마 블록에 형성된 플라즈마 발생 영역의 형상이나 직경의 상이로 인해, 플라즈마 제어 디바이스(1072C, 1072D 및 1072E) 각각에서 발견되는 각각의 플라즈마 발생 영역에 생성된 상이한 플라즈마 특성, 각각의 플라즈마 제어 디바이스(1072C, 1072D 및 1072E)의 플라즈마 성질은 각각의 플라즈마 제어 디바이스에 전달된 특정한 타입의 프로세스 가스 또는 프로세스 가스 혼합물에 대해 최적화될 수 있다. 코어 요소의 직경에 따라 또는 플라즈마 발생 영역으로부터 코어 요소의 외면이 배치되는 상대 거리에 따라, 상이한 에너지가 플라즈마 공동으로 결합될 수 있다.
따라서, 플라즈마 제어 디바이스(1072C, 1072D 및 1072E)에서의 하드웨어 구성, 가스 조성물, 및/또는 가스 유량의 차이들로 인해, 플라즈마 발생 영역 각각에 생성된 상이한 플라즈마 특성은 상이한 특성을 갖는 가스 라디칼 및/또는 가스 이온을 챔버 뚜껑(1010)의 개구(1011C, 1011D 및 1011E)를 통해 프로세스 챔버(1020)의 프로세스 영역(1128)에 전달하고 발생시키는데 사용될 수 있다.
상술한 바는 본 발명의 실시예에 관한 것이지만, 본 발명의 기본적인 범위로부터의 일탈없이 본 발명의 다른 실시예 및 추가적인 실시예가 안출될 수 있으며, 본 발명의 범위는 하기의 청구범위에 의해 결정된다.
1000: 플라즈마 소스 1010: 챔버 뚜껑
1020: 프로세스 챔버 1027: 샤워헤드 조립체
1030: 기판 1072: 플라즈마 제어 디바이스
1118: 플라즈마 발생 영역

Claims (25)

  1. 프로세싱 챔버에 결합되는 플라즈마 소스로서,
    제 1 단부, 제 2 단부, 및 코어 요소 중심 축선을 갖는 코어 요소,
    환형의 제 1 플라즈마 발생 영역을 적어도 부분적으로 둘러싸는 하나 또는 둘 이상의 표면을 갖는 제 1 플라즈마 블록, 그리고
    상기 코어 요소의 일부의 위에 배치되는 코일을 포함하며,
    상기 환형의 제 1 플라즈마 발생 영역은 코어 요소의 제 1 부분의 둘레에 배치되는
    프로세싱 챔버에 결합되는 플라즈마 소스.
  2. 제 1 항에 있어서,
    상기 프로세싱 챔버의 벽을 더 포함하며,
    상기 프로세싱 챔버의 벽은 환형의 제 1 플라즈마 발생 영역과 유체소통되는 제 1 입구, 그리고 코어 요소의 제 2 부분의 둘레에 배치되는 환형의 제 2 플라즈마 발생 영역과 유체소통되는 제 2 입구를 가지며, 상기 제 1 및 제 2 입구는 프로세싱 챔버의 프로세싱 영역과 모두 유체소통되는
    프로세싱 챔버에 결합되는 플라즈마 소스.
  3. 제 2 항에 있어서,
    상기 코어 요소의 제 1 부분은 제 1 직경을 갖고, 코어 요소의 제 2 부분은 제 2 직경을 가지며, 상기 제 1 직경 및 제 2 직경은 상이한
    프로세싱 챔버에 결합되는 플라즈마 소스.
  4. 제 1 항에 있어서,
    입구 및 출구를 갖는 하우징, 그리고 열교환 조립체를 더 포함하고,
    상기 하우징의 내부 영역내에 코어 요소가 배치되며, 상기 열교환 조립체는 온도제어된 유체가 하우징의 입구, 내부 영역 및 출구를 통해 흐르게 하도록 구성되는
    프로세싱 챔버에 결합되는 플라즈마 소스.
  5. 제 1 항에 있어서,
    상기 코어 요소를 환형의 제 1 플라즈마 발생 영역에 대해 이동시키도록 구성되는 액추에이터를 더 포함하는
    프로세싱 챔버에 결합되는 플라즈마 소스.
  6. 제 1 항에 있어서,
    상기 제 1 플라즈마 블록은 알루미늄 산화물(Al2O3), 알루미늄 질화물(AlN), 베릴륨 산화물(BeO), 붕소 질화물(BN)로 이루어진 그룹으로부터 선택되는 재료를 포함하는
    프로세싱 챔버에 결합되는 플라즈마 소스.
  7. 제 1 항에 있어서,
    상기 코일은 제 1 단부에서 또는 상기 제 1 단부와 제 2 단부 사이에 배치되는 중간 위치에서 코어 요소의 일부의 위에 배치되는
    프로세싱 챔버에 결합되는 플라즈마 소스.
  8. 제 1 항에 있어서,
    상기 코어 요소와 환형의 제 1 플라즈마 발생 영역 사이에 배치되는 복수의 영구 자석을 더 포함하는
    프로세싱 챔버에 결합되는 플라즈마 소스.
  9. 제 1 항에 있어서,
    상기 코일에 결합되는 RF 전력 소스, 그리고 환형의 제 1 플라즈마 발생 영역의 적어도 일부의 둘레에 배치되고 DC 전력 소스에 결합되는 플라즈마 재분포 코일을 더 포함하는
    프로세싱 챔버에 결합되는 플라즈마 소스.
  10. 제 1 항에 있어서,
    상기 코어 요소는 바아 형상을 갖는
    프로세싱 챔버에 결합되는 플라즈마 소스.
  11. 제 1 항에 있어서,
    상기 환형의 제 1 플라즈마 발생 영역에 인접하여 배치되는 전극, 그리고
    코일 및 전극에 전기적으로 결합되는 제 1 전력 소스를 더 포함하는
    프로세싱 챔버에 결합되는 플라즈마 소스.
  12. 프로세싱 챔버에 결합되는 플라즈마 소스로서,
    제 1 단부, 제 2 단부, 및 코어 요소 중심 축선을 포함하는 코어 요소,
    환형의 제 1 플라즈마 발생 영역을 적어도 부분적으로 둘러싸는 하나 또는 둘 이상의 표면을 갖는 제 1 플라즈마 블록,
    환형의 제 2 플라즈마 발생 영역을 적어도 부분적으로 둘러싸는 하나 또는 둘 이상의 표면을 갖는 제 2 플라즈마 블록, 그리고
    상기 코어 요소의 일부의 위에 배치되는 코일을 포함하며,
    상기 환형의 제 1 플라즈마 발생 영역은 코어 요소의 제 1 부분의 둘레에 배치되고 상기 코어 요소 중심 축선과 일반적으로 일치하는 중심 축선을 가지며,
    상기 환형의 제 2 플라즈마 발생 영역은 코어 요소의 제 2 부분의 둘레에 배치되고 상기 코어 요소 중심 축선과 일반적으로 일치하는 중심 축선을 갖는
    프로세싱 챔버에 결합되는 플라즈마 소스.
  13. 제 12 항에 있어서,
    상기 제 1 플라즈마 블록의 표면에 결합되는 냉각판을 더 포함하는
    프로세싱 챔버에 결합되는 플라즈마 소스.
  14. 제 12 항에 있어서,
    상기 제 1 플라즈마 블록에 형성되는 제 1 가스 출구, 그리고 상기 제 2 플라즈마 블록에 형성되는 제 2 가스 출구를 더 포함하며;
    상기 제 1 가스 출구는 환형의 제 1 플라즈마 발생 영역에 형성되는 가스 라디칼을 수용하고 상기 가스 라디칼을 프로세싱 챔버의 프로세싱 영역에 전달하도록 구성되며,
    상기 제 2 가스 출구는 환형의 제 2 플라즈마 발생 영역에 형성되는 가스 라디칼을 수용하고 상기 가스 라디칼을 프로세싱 챔버의 프로세싱 영역에 전달하도록 구성되는
    프로세싱 챔버에 결합되는 플라즈마 소스.
  15. 제 12 항에 있어서,
    입구 및 출구를 갖는 하우징, 그리고 열교환 조립체를 더 포함하고,
    상기 하우징의 내부 영역내에 코어 요소가 배치되며, 상기 열교환 조립체는 온도제어된 유체가 하우징의 입구, 내부 영역 및 출구를 통해 흐르게 하도록 구성되는
    프로세싱 챔버에 결합되는 플라즈마 소스.
  16. 제 12 항에 있어서,
    상기 코어 요소를 환형의 제 1 플라즈마 발생 영역에 대해 이동시키도록 구성되는 액추에이터, 그리고
    환형의 제 1 플라즈마 발생 영역에 대해 코어 요소의 위치를 자동으로 조정하도록 구성되는 시스템 제어기를 더 포함하는
    프로세싱 챔버에 결합되는 플라즈마 소스.
  17. 제 12 항에 있어서,
    상기 제 1 플라즈마 블록 및 제 2 플라즈마 블록은 각각 알루미늄 산화물(Al2O3), 알루미늄 질화물(AlN), 베릴륨 산화물(BeO), 및 붕소 질화물(BN)로 이루어진 그룹으로부터 선택되는 재료를 포함하는
    프로세싱 챔버에 결합되는 플라즈마 소스.
  18. 제 12 항에 있어서,
    상기 코일은 제 1 단부에서 또는 상기 제 1 단부와 제 2 단부 사이에 배치되는 중간 위치에서 코어 요소의 일부의 위에 배치되는
    프로세싱 챔버에 결합되는 플라즈마 소스.
  19. 제 12 항에 있어서,
    상기 코어 요소의 일부의 위에 배치되는 코일에 결합되는 RF 전력 소스, 그리고
    환형의 제 1 플라즈마 발생 영역의 적어도 일부의 둘레에 배치되고 DC 전력 소스에 결합되는 플라즈마 재분포 코일을 더 포함하는
    프로세싱 챔버에 결합되는 플라즈마 소스.
  20. 활동적인 가스 원자 형성 방법으로서,
    제 1 플라즈마 블록의 하나 또는 둘 이상의 표면에 의해 적어도 부분적으로 둘러싸이며 코어 요소의 일부의 둘레에 배치되는 환형의 제 1 플라즈마 발생 영역에 프로세스 가스를 흐르게 하는 단계, 그리고
    상기 코어 요소의 일부의 둘레에 권취된 코일에 RF 전력을 전달함으로써 상기 환형의 제 1 플라즈마 발생 영역에 플라즈마를 발생시키는 단계를 포함하는,
    활동적인 가스 원자 형성 방법.
  21. 프로세싱 챔버에 결합되는 플라즈마 소스로서,
    제 1 단부, 제 2 단부, 및 코어 요소 중심 축선을 갖는 코어 요소,
    환형의 제 1 플라즈마 발생 영역을 적어도 부분적으로 둘러싸는 하나 또는 둘 이상의 표면을 갖는 제 1 플라즈마 블록,
    상기 코어 요소의 제 1 부분 위에 배치되는 제 1 코일, 그리고
    상기 코어 요소의 제 2 부분 위에 배치되는 제 2 코일을 포함하며,
    상기 환형의 제 1 플라즈마 발생 영역은 코어 요소의 제 1 부분의 둘레에 배치되고, 상기 제 1 플라즈마 블록은 코어 요소의 제 1 부분과 코어 요소의 제 2 부분 사이에 배치되는
    프로세싱 챔버에 결합되는 플라즈마 소스.
  22. 제 21 항에 있어서,
    상기 제 1 코일 및 제 2 코일은 RF 전력 소스에 직렬로 연결되는
    프로세싱 챔버에 결합되는 플라즈마 소스.
  23. 제 21 항에 있어서,
    상기 제 1 코일 및 제 2 코일은 RF 전력 소스에 병렬로 연결되는
    프로세싱 챔버에 결합되는 플라즈마 소스.
  24. 제 21 항에 있어서,
    상기 제 1 코일 및 제 2 코일은 동일한 방향으로 코어 요소의 둘레에 권취되는
    프로세싱 챔버에 결합되는 플라즈마 소스.
  25. 제 21 항에 있어서,
    상기 제 1 플라즈마 블록은 제 1 코일과 제 2 코일 사이에 대칭적으로 배치되는
    프로세싱 챔버에 결합되는 플라즈마 소스.
KR1020127015719A 2009-11-18 2010-11-18 플라즈마 소스 디자인 KR20120089752A (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US26250509P 2009-11-18 2009-11-18
US61/262,505 2009-11-18
US12/905,940 US8742665B2 (en) 2009-11-18 2010-10-15 Plasma source design
US12/905,940 2010-10-15
PCT/US2010/057274 WO2011063146A2 (en) 2009-11-18 2010-11-18 Plasma source design

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020177022680A Division KR101920842B1 (ko) 2009-11-18 2010-11-18 플라즈마 소스 디자인

Publications (1)

Publication Number Publication Date
KR20120089752A true KR20120089752A (ko) 2012-08-13

Family

ID=44010797

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020177022680A KR101920842B1 (ko) 2009-11-18 2010-11-18 플라즈마 소스 디자인
KR1020127015719A KR20120089752A (ko) 2009-11-18 2010-11-18 플라즈마 소스 디자인

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020177022680A KR101920842B1 (ko) 2009-11-18 2010-11-18 플라즈마 소스 디자인

Country Status (6)

Country Link
US (1) US8742665B2 (ko)
JP (1) JP2013511812A (ko)
KR (2) KR101920842B1 (ko)
CN (1) CN102714913A (ko)
TW (2) TWI544837B (ko)
WO (2) WO2011062755A2 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101498192B1 (ko) * 2013-01-11 2015-03-04 주식회사 테라텍 원격 플라즈마 발생기
KR101527374B1 (ko) * 2013-12-06 2015-06-09 주식회사 테라텍 원격 라디칼 드라이 클리닝 장치 및 이를 이용한 클리닝 방법
KR20160110183A (ko) * 2015-03-13 2016-09-21 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 스큐를 제어하기 위한 원격 플라즈마 소스

Families Citing this family (392)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9206512B2 (en) * 2011-06-21 2015-12-08 Applied Materials, Inc. Gas distribution system
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8933628B2 (en) * 2011-10-28 2015-01-13 Applied Materials, Inc. Inductively coupled plasma source with phase control
US10271416B2 (en) * 2011-10-28 2019-04-23 Applied Materials, Inc. High efficiency triple-coil inductively coupled plasma source with phase control
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8944003B2 (en) * 2012-11-16 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Remote plasma system and method
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US8970114B2 (en) * 2013-02-01 2015-03-03 Lam Research Corporation Temperature controlled window of a plasma processing chamber component
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
JP6074668B2 (ja) * 2013-03-28 2017-02-08 パナソニックIpマネジメント株式会社 プラズマ処理装置及び方法
JP5861045B2 (ja) * 2013-03-28 2016-02-16 パナソニックIpマネジメント株式会社 プラズマ処理装置及び方法
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US20150083042A1 (en) * 2013-09-26 2015-03-26 Applied Materials, Inc. Rotatable substrate support having radio frequency applicator
US9070538B2 (en) * 2013-10-25 2015-06-30 Varian Semiconductor Equipment Associates, Inc. Pinched plasma bridge flood gun for substrate charge neutralization
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9230780B2 (en) 2014-03-06 2016-01-05 Applied Materials, Inc. Hall effect enhanced capacitively coupled plasma source
US9240308B2 (en) * 2014-03-06 2016-01-19 Applied Materials, Inc. Hall effect enhanced capacitively coupled plasma source, an abatement system, and vacuum processing system
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US10192717B2 (en) 2014-07-21 2019-01-29 Applied Materials, Inc. Conditioning remote plasma source for enhanced performance having repeatable etch and deposition rates
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
JP6473889B2 (ja) * 2014-09-19 2019-02-27 パナソニックIpマネジメント株式会社 プラズマ処理装置及び方法、電子デバイスの製造方法
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US10083818B2 (en) * 2014-09-24 2018-09-25 Applied Materials, Inc. Auto frequency tuned remote plasma source
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10490429B2 (en) 2014-11-26 2019-11-26 Applied Materials, Inc. Substrate carrier using a proportional thermal fluid delivery system
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) * 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11384432B2 (en) 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10256075B2 (en) * 2016-01-22 2019-04-09 Applied Materials, Inc. Gas splitting by time average injection into different zones by fast gas valves
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10431425B2 (en) * 2016-02-23 2019-10-01 Tokyo Electron Limited Poly-phased inductively coupled plasma source
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10453657B2 (en) * 2016-07-08 2019-10-22 Applied Materials, Inc. Apparatus for depositing metal films with plasma treatment
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) * 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10435787B2 (en) 2016-11-14 2019-10-08 Applied Materials, Inc. Hydrogen partial pressure control in a vacuum process chamber
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10777394B2 (en) 2016-12-09 2020-09-15 Applied Materials, Inc. Virtual sensor for chamber cleaning endpoint
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10840068B2 (en) * 2017-02-15 2020-11-17 Yield Engineering Systems, Inc. Plasma spreading apparatus and method of spreading plasma in process ovens
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
JP6544374B2 (ja) * 2017-03-24 2019-07-17 Tdk株式会社 磁気センサ
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
DE102018204585A1 (de) * 2017-03-31 2018-10-04 centrotherm international AG Plasmagenerator, Plasma-Behandlungsvorrichtung und Verfahren zum gepulsten Bereitstellen von elektrischer Leistung
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10991550B2 (en) * 2018-09-04 2021-04-27 Lam Research Corporation Modular recipe controlled calibration (MRCC) apparatus used to balance plasma in multiple station system
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US10832893B2 (en) 2019-03-25 2020-11-10 Recarbon, Inc. Plasma reactor for processing gas
US20200312629A1 (en) * 2019-03-25 2020-10-01 Recarbon, Inc. Controlling exhaust gas pressure of a plasma reactor for plasma stability
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR102143989B1 (ko) * 2019-10-25 2020-08-12 재단법인 철원플라즈마 산업기술연구원 질화붕소 나노튜브 제조 장치
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US20210268596A1 (en) * 2020-02-28 2021-09-02 The Esab Group Inc. Electromagnetic components cooling apparatus, method, and configuration
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11710630B2 (en) * 2020-04-23 2023-07-25 Applied Materials, Inc. Plasma block with integrated cooling
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210136481A (ko) * 2020-05-07 2021-11-17 삼성전자주식회사 플라즈마 공정 시스템, 그 시스템에서의 플라즈마 제어방법, 및 그 제어방법을 포함한 반도체 소자 제조방법
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
CN111470481B (zh) * 2020-05-19 2023-09-19 四川大学 一种等离子体反应雾化制备高纯氮化铝球形粉末的方法
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN114836736A (zh) * 2021-02-01 2022-08-02 江苏菲沃泰纳米科技股份有限公司 等离子体镀膜设备和镀膜方法
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3509490A (en) * 1967-04-26 1970-04-28 Ibm Inductive excitation system for plasma
US4368092A (en) * 1981-04-02 1983-01-11 The Perkin-Elmer Corporation Apparatus for the etching for semiconductor devices
US4431898A (en) * 1981-09-01 1984-02-14 The Perkin-Elmer Corporation Inductively coupled discharge for plasma etching and resist stripping
JPS58186364A (ja) * 1982-04-21 1983-10-31 Matsushita Electric Ind Co Ltd Xyリニアモ−タ装置
NL8601824A (nl) * 1986-07-11 1988-02-01 Hauzer Holding Werkwijze en inrichting voor het met een geleidend plasmakanaal ontsteken van een boog.
US5106827A (en) * 1989-09-18 1992-04-21 The Perkin Elmer Corporation Plasma assisted oxidation of perovskites for forming high temperature superconductors using inductively coupled discharges
US5197818A (en) * 1990-06-21 1993-03-30 Johnson David W Tower constructed of pultruded composites
US5290382A (en) * 1991-12-13 1994-03-01 Hughes Aircraft Company Methods and apparatus for generating a plasma for "downstream" rapid shaping of surfaces of substrates and films
JP2972477B2 (ja) 1993-01-27 1999-11-08 日本電気株式会社 Rf・ecrプラズマエッチング装置
JPH06290897A (ja) * 1993-03-31 1994-10-18 Shibaura Eng Works Co Ltd プラズマ発生装置
KR100324792B1 (ko) * 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5435881A (en) * 1994-03-17 1995-07-25 Ogle; John S. Apparatus for producing planar plasma using varying magnetic poles
JP3585578B2 (ja) * 1995-05-30 2004-11-04 アネルバ株式会社 プラズマ処理装置
US6471822B1 (en) * 1996-01-24 2002-10-29 Applied Materials, Inc. Magnetically enhanced inductively coupled plasma reactor with magnetically confined plasma
JPH09250986A (ja) * 1996-03-16 1997-09-22 Horiba Ltd Icp発光分光分析装置の点火回路
US6214162B1 (en) * 1996-09-27 2001-04-10 Tokyo Electron Limited Plasma processing apparatus
US5969470A (en) * 1996-11-08 1999-10-19 Veeco Instruments, Inc. Charged particle source
US6158384A (en) * 1997-06-05 2000-12-12 Applied Materials, Inc. Plasma reactor with multiple small internal inductive antennas
JP2868120B2 (ja) * 1997-06-11 1999-03-10 川崎重工業株式会社 電子ビーム励起プラズマ発生装置
US7569790B2 (en) * 1997-06-26 2009-08-04 Mks Instruments, Inc. Method and apparatus for processing metal bearing gases
US7166816B1 (en) * 1997-06-26 2007-01-23 Mks Instruments, Inc. Inductively-coupled torodial plasma source
US6150628A (en) * 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6924455B1 (en) * 1997-06-26 2005-08-02 Applied Science & Technology, Inc. Integrated plasma chamber and inductively-coupled toroidal plasma source
US6815633B1 (en) * 1997-06-26 2004-11-09 Applied Science & Technology, Inc. Inductively-coupled toroidal plasma source
US6388226B1 (en) * 1997-06-26 2002-05-14 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
JPH11135296A (ja) * 1997-07-14 1999-05-21 Applied Materials Inc マルチモードアクセスを有する真空処理チャンバ
US5998933A (en) * 1998-04-06 1999-12-07 Shun'ko; Evgeny V. RF plasma inductor with closed ferrite core
US6184489B1 (en) * 1998-04-13 2001-02-06 Nec Corporation Particle-removing apparatus for a semiconductor device manufacturing apparatus and method of removing particles
US6204607B1 (en) * 1998-05-28 2001-03-20 Applied Komatsu Technology, Inc. Plasma source with multiple magnetic flux sources each having a ferromagnetic core
US6592709B1 (en) * 2000-04-05 2003-07-15 Applied Materials Inc. Method and apparatus for plasma processing
US6418874B1 (en) * 2000-05-25 2002-07-16 Applied Materials, Inc. Toroidal plasma source for plasma processing
EP1307896A2 (en) * 2000-08-11 2003-05-07 Applied Materials, Inc. Externally excited torroidal plasma source
US7137354B2 (en) * 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
US6494986B1 (en) * 2000-08-11 2002-12-17 Applied Materials, Inc. Externally excited multiple torroidal plasma source
US6453842B1 (en) * 2000-08-11 2002-09-24 Applied Materials Inc. Externally excited torroidal plasma source using a gas distribution plate
JP4717295B2 (ja) * 2000-10-04 2011-07-06 株式会社半導体エネルギー研究所 ドライエッチング装置及びエッチング方法
US6755150B2 (en) * 2001-04-20 2004-06-29 Applied Materials Inc. Multi-core transformer plasma source
JP2003323997A (ja) * 2002-04-30 2003-11-14 Lam Research Kk プラズマ安定化方法およびプラズマ装置
US20030015965A1 (en) * 2002-08-15 2003-01-23 Valery Godyak Inductively coupled plasma reactor
US7255774B2 (en) * 2002-09-26 2007-08-14 Tokyo Electron Limited Process apparatus and method for improving plasma production of an inductively coupled plasma
KR100500852B1 (ko) * 2002-10-10 2005-07-12 최대규 원격 플라즈마 발생기
KR100542740B1 (ko) * 2002-11-11 2006-01-11 삼성전자주식회사 가스 플라즈마 생성 방법 및 장치, 플라즈마 생성용 가스조성물 및 이를 이용한 반도체 장치의 제조 방법
KR100488348B1 (ko) * 2002-11-14 2005-05-10 최대규 플라즈마 프로세스 챔버 및 시스템
US6872909B2 (en) * 2003-04-16 2005-03-29 Applied Science And Technology, Inc. Toroidal low-field reactive gas and plasma source having a dielectric vacuum vessel
KR100603646B1 (ko) * 2004-08-10 2006-07-24 주식회사 뉴파워 프라즈마 대기압 다중 플라즈마 발생기 및 이를 이용한 대기압플라즈마 처리 시스템
ATE543199T1 (de) * 2005-05-23 2012-02-15 New Power Plasma Co Ltd Plasmakammer mit entladung induzierender brücke
KR100793457B1 (ko) * 2006-04-24 2008-01-14 최대규 다중 방전실을 갖는 플라즈마 반응기
JP5257917B2 (ja) * 2006-04-24 2013-08-07 株式会社ニューパワープラズマ 多重マグネチックコアが結合された誘導結合プラズマ反応器
US7405410B2 (en) * 2006-07-14 2008-07-29 Mark Morehouse Method and apparatus for confining, neutralizing, compressing and accelerating an ion field
US7837826B2 (en) * 2006-07-18 2010-11-23 Lam Research Corporation Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof
JP2008047620A (ja) 2006-08-11 2008-02-28 Mitsubishi Heavy Ind Ltd プラズマ処理方法、及び、プラズマ処理装置
KR101349195B1 (ko) 2007-01-15 2014-01-09 최대규 코어 커버를 구비한 유도 결합 플라즈마 반응기
US7872523B2 (en) * 2008-07-01 2011-01-18 Mks Instruments, Inc. Radio frequency (RF) envelope pulsing using phase switching of switch-mode power amplifiers
KR100961704B1 (ko) * 2008-07-10 2010-06-10 한국타이어 주식회사 전기 가류기 드럼의 가스 순환장치

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101498192B1 (ko) * 2013-01-11 2015-03-04 주식회사 테라텍 원격 플라즈마 발생기
KR101527374B1 (ko) * 2013-12-06 2015-06-09 주식회사 테라텍 원격 라디칼 드라이 클리닝 장치 및 이를 이용한 클리닝 방법
KR20160110183A (ko) * 2015-03-13 2016-09-21 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 스큐를 제어하기 위한 원격 플라즈마 소스

Also Published As

Publication number Publication date
US20110115378A1 (en) 2011-05-19
WO2011062755A2 (en) 2011-05-26
WO2011063146A2 (en) 2011-05-26
CN102714913A (zh) 2012-10-03
WO2011062755A3 (en) 2011-09-15
KR20170097786A (ko) 2017-08-28
TW201143551A (en) 2011-12-01
US8742665B2 (en) 2014-06-03
WO2011063146A3 (en) 2011-11-24
KR101920842B1 (ko) 2018-11-21
TW201143552A (en) 2011-12-01
JP2013511812A (ja) 2013-04-04
TWI544837B (zh) 2016-08-01

Similar Documents

Publication Publication Date Title
KR101920842B1 (ko) 플라즈마 소스 디자인
US8771538B2 (en) Plasma source design
EP1727186B1 (en) Plasma chamber with discharge inducing bridge
US10083818B2 (en) Auto frequency tuned remote plasma source
US6806437B2 (en) Inductively coupled plasma generating apparatus incorporating double-layered coil antenna
US6682630B1 (en) Uniform gas distribution in large area plasma source
JP2007317661A (ja) プラズマ反応器
KR100803794B1 (ko) 마그네틱 코어 블록에 매설된 플라즈마 방전 튜브를 구비한유도 결합 플라즈마 소스
KR100805557B1 (ko) 다중 마그네틱 코어가 결합된 유도 결합 플라즈마 소스
US6975072B2 (en) Ion source with external RF antenna
JP2004533096A (ja) 誘導結合高密度プラズマ源
KR101577272B1 (ko) 롤투롤 공정을 위한 플라즈마 처리장치
KR100743842B1 (ko) 자속 채널에 결합된 플라즈마 챔버를 구비한 플라즈마반응기
KR100793457B1 (ko) 다중 방전실을 갖는 플라즈마 반응기
KR100798351B1 (ko) 다중 원격 플라즈마 발생기를 구비한 플라즈마 처리 챔버
KR101281191B1 (ko) 유도 결합 플라즈마 반응기
KR20220116812A (ko) 플라즈마 발생 장치
JP2023506866A (ja) プラズマの生成に使用するための方法および装置
JP2023506867A (ja) プラズマの生成に使用するための方法および装置

Legal Events

Date Code Title Description
AMND Amendment
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment