JP2013511812A - プラズマ源デザイン - Google Patents

プラズマ源デザイン Download PDF

Info

Publication number
JP2013511812A
JP2013511812A JP2012540061A JP2012540061A JP2013511812A JP 2013511812 A JP2013511812 A JP 2013511812A JP 2012540061 A JP2012540061 A JP 2012540061A JP 2012540061 A JP2012540061 A JP 2012540061A JP 2013511812 A JP2013511812 A JP 2013511812A
Authority
JP
Japan
Prior art keywords
plasma
core element
coil
region
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2012540061A
Other languages
English (en)
Other versions
JP2013511812A5 (ja
Inventor
ドミトリー ルボミルスキー,
ジャン−ギョー ヤン,
マシュー エル. ミラー,
ジェイ ディー., サード ピンソン,
キーン エヌ. チュク,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2013511812A publication Critical patent/JP2013511812A/ja
Publication of JP2013511812A5 publication Critical patent/JP2013511812A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Electromagnetism (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

本発明の実施形態は、概して、プラズマ源装置、および、電磁エネルギー源の使用により磁性コア要素の周囲に対称的に位置決めされるプラズマ生成領域にラジカルおよび/またはガスイオンを生成することが可能なプラズマ源装置を使用する方法を提供する。概して、そのプラズマ生成領域および磁性コアの配向および形状により、プラズマ生成領域に位置するガスへの送出電磁エネルギーの効果的なおよび均一な結合が可能となる。概して、プラズマ生成領域に形成されるプラズマの特徴が改善されることにより、基板、またはプラズマ生成領域の下流に配設される処理チャンバの一部分に対して実施される堆積プロセス、エッチングプロセス、および/または洗浄プロセスを改善することが可能となる。

Description

本発明の実施形態は、概して、プラズマ処理システムと、プラズマ処理システムにおいてプラズマの均一性を制御するための材料および装置とに関する。
プラズマ処理チャンバは、エッチングプロセス、化学気相堆積(CVD)プロセス、および基板上における電子デバイスの製造に関連する他のプロセスなどの、様々な電子デバイス製造プロセスにおいて度々使用される。従来のプラズマチャンバ内において一般的に使用される容量結合RF源または誘導結合RF源など、多数の方式が、プラズマの密度、形状、および処理チャンバ内の電気的特徴をもたらすおよび/または制御するために用いられている。例えば、プラズマ支援化学気相堆積プロセスの際に、処理ガスが、プロセスキットにより囲まれた半導体基板の上方に配設された容量結合シャワーヘッドを通り、処理チャンバ内に導入される。プラズマが、PECVDチャンバ内に形成されると、プラズマおよびプロセスガス(または複数のプロセスガス)が、基板と相互作用することにより、所望の材料層が、この基板上に堆積される。
生成されたプラズマが基板表面の上方に位置する従来のプラズマ処理チャンバデザインは、プラズマ中に形成された電子およびイオンが基板表面と相互作用を生じることにより、基板表面に対して望ましくないスパッタリングおよび損傷を引き起こす恐れがある。生成されたプラズマに曝露される浮動構成要素および電気的に接地された構成要素は、正味電荷を概して蓄積することとなる。形成されるこの正味電荷により、プラズマ中に形成される電子および/またはイオンが、基板またはチャンバの構成要素の露出された表面に衝突し、場合によっては損傷を与える。したがって、いくつかの用途においては、基板またはチャンバ構成要素の表面に対する強力な衝突を伴うことなく、基板表面またはチャンバ構成要素の表面と容易に反応することによって反応速度を高めるのに十分なエネルギーを有する、ガスラジカルを形成することが望ましい。基板またはチャンバ構成要素の表面に対する強力な衝突が生じない理由は、非イオン化ガスラジカルが、基板または構成要素の表面上に形成された電荷に影響されないためである。
したがって、基板およびチャンバ構成要素とのプラズマ相互作用を防止するまたは最小限に抑えるために、遠隔プラズマ源(RPS)デザインが用いられてきた。典型的な遠隔プラズマ源デザインは、基板が位置決めされる処理チャンバの処理領域から遠くに位置決めされた、プラズマ生成領域を備える。このようにすることで、RPSデバイスのプラズマ生成領域において生成されるプラズマは、基板表面との間で概して相互作用を生じなくなる。
しかし、現行の従来的なRPSデザインは、典型的には、幅狭なプラズマ生成領域を有するマイクロ波容量結合エネルギー源またはマイクロ波誘導結合エネルギー源を使用するため、この幅狭なプラズマ生成領域により、これらのデバイスは、望ましい大きさよりも小さなプラズマ処理ウィンドウを有することになり、これにより、従来のRPSデバイスのプラズマ生成領域に形成される、形成されたガスラジカルおよびガスイオンのエネルギー範囲が制限される。一例においては、発行された米国特許第6,150,628号の図3に相当する図1に示すように、従来のRPSデザインは、第1のコア104および第2のコア106にエネルギーを送出することによりプラズマが生成される、金属製プラズマチャンバ100の領域112、114を概して備える。プラズマが形成される従来のRPSデザインのこの領域に送出される電磁エネルギーは、均一にならず、プラズマ生成デバイス(または複数のプラズマ生成デバイス)(すなわちコイル)が位置決めされる領域「PR」(図1)に高活性部、すなわち「ホットスポット」を有することになることが、当業者には理解されよう。領域112、114の他の部分は全て、プラズマ誘発要素(例えば第1のコア104および第2のコア106)に対するそれらの距離および位置を理由に、「PR」領域の外部の弱い電力結合部を有するか、または電力結合部を有さないことになる。図1に概略的に示すように、従来のRPSデザインは、プラズマ生成領域の一部分を囲む密封された透磁性コアの周囲に巻き付けられた巻線を有する閉ループRF源構成を伝統的に使用する。領域「PR」に対するコア104、106の位置および形状によって焦点を合わせられる生成される場は、比較的小さな区域を有し、従来のRPSデバイスを流通するガスにRFエネルギーを伝達するための時間が非常に制限される。したがって、小さなプラズマ生成領域を有する従来のRPSデザインは、形成されたガスラジカルおよび/またはガスイオンのエネルギーを生成および/または制御する能力が、非常に制限される。
一般的には、エネルギー結合効率の問題を解決するために、RPSデバイス製造業者は、概して陰電性タイプのガス(例えばアンモニア(NH))および陽電性タイプのガス(例えばアルゴン(Ar))の両方をプラズマ生成領域内に同時に流し、それにより、この領域に生成されるプラズマをより容易に形成しおよび持続させる。しかし、いくつかの例においては、処理速度およびプラズマ処理成果を向上させるために、単一の陰電性ガスまたは単一の陽電性ガスのみを送出することが望ましい。また、プラズマ生成領域内の圧力が低い(例えば<200ミリトール)場合などの、低プラズマインピーダンスを有する領域内でプラズマを形成するおよび持続させることが望ましいことがしばしばある。処理ガスにプラズマエネルギーを非効率的に結合する従来のRPSデザインは、現行においては、半導体処理業界の需要を満たすことができない。したがって、処理ガスに送出RFエネルギーをさらに効果的に結合させ、さらに幅広なプロセスウィンドウを有し、さらに広範囲のプラズマインピーダンスにて作動することが可能なRPSデザインが必要である。
また、従来のRPSデザインは、RPSデバイスのプラズマ生成領域にプラズマを形成するために、スイッチング電源を使用するのが一般的である。スイッチング電源の使用は、RPSデバイスのプラズマ生成領域にエネルギーを送出するのにライン遮断回路またはインピーダンス整合ネットワークを必要としないため、従来のデザインにおいては好ましい。スイッチングモード電源は、パルスタイプまたはデューティサイクルタイプの送出構成において出力電圧または出力電流を調節することにより、送出されるRFエネルギーを調整する。しかし、RPSデザインにおけるスイッチング電源の使用は、プラズマに送出RFエネルギーを効率的に結合させるのには有効ではないことが判明している。したがって、RPSデバイス中に送出されるガスに送出RFエネルギーをより効率的に結合させることが必要である。
また、従来のRPSデザインは、RPSデバイス内のプラズマ生成領域を密閉するために金属構成要素を一般的に使用する。しかし、RPS励起されたガスによりこの金属構成要素が侵食されるのを防止するためには、プラズマおよびエネルギーガスに曝露されることとなる表面上にコーティングを堆積するのが一般的である。一般的には、陽極アルミニウムコーティングが、RPSプラズマにより生成された高エネルギー種によるベースアルミニウム表面の侵食を防止するために、アルミニウムパーツ上において使用されてきた。しかし、RPSデバイスのプラズマ形成領域においてコーティングを使用した場合には、重大なプロセス起因ドリフトが生じることが判明している。プロセスドリフトは、コーティングの有孔またはクラックなどのコーティング中の欠陥部を介して、構造金属構成要素の表面にRPS励起されたガスが相互作用を生じることによってもたらされる恐れがある。コーティングの問題は、プラズマが、最も一般的に使用される金属材料を侵食する傾向を有する酸化種またはフッ化種を含む場合には、特に問題となる。したがって、RPSデバイス内において生成されるプラズマを収容する要素の侵食によるプロセスドリフトおよびパーティクル形成を回避させるRPSデザインが必要である。
また、処理コストまたはハードウェアコストを大幅に引き上げることなく、プラズマの均一性をさらに効果的にもたらしおよび制御し、さらに大きな処理ウィンドウを有する装置およびプロセスが、当技術において必要である。
本発明の実施形態は、処理チャンバに結合されたプラズマ源であって、第1の端部、第2の端部、およびコア要素中心軸を有するコア要素と、第1の環状形状プラズマ生成領域を少なくとも部分的に密閉する1つまたは複数の表面を有する第1のプラズマブロックであって、第1の環状形状プラズマ生成領域がコア要素の第1の部分の周囲に位置する、第1のプラズマブロックと、コア要素の一部分を覆って配設されたコイルとを備える、プラズマ源を、概して提供する。
また、本発明の実施形態は、処理チャンバに結合されたプラズマ源であって、第1の端部、第2の端部、およびコア要素中心軸を有するコア要素と、第1の環状形状プラズマ生成領域を少なくとも部分的に密閉する1つまたは複数の表面を有する第1のプラズマブロックであって、第1の環状形状プラズマ生成領域がコア要素の第1の部分の周囲に位置し、コア要素中心軸にほぼ一致する中心軸を有する、第1のプラズマブロックと、第2の環状形状プラズマ生成領域を少なくとも部分的に密閉する1つまたは複数の表面を有する第2のプラズマブロックであって、第2の環状形状プラズマ生成領域がコア要素の第2の部分の周囲に位置し、コア要素中心軸にほぼ一致する中心軸を有する、第2のプラズマブロックと、コア要素の一部分を覆って配設されたコイルとを備える、プラズマ源を提供し得る。
また、本発明の実施形態は、エネルギーガス原子を形成する方法であって、第1のプラズマブロックの1つまたは複数の表面により少なくとも部分的に密閉される第1の環状形状プラズマ生成領域内にプロセスガスを流すステップであって、第1の環状形状プラズマ生成領域がコア要素の一部分の周囲に位置する、ステップと、コア要素の一部分の周囲に巻き付けられたコイルにRF電力を送出することにより第1の環状形状プラズマ生成領域にプラズマを生成するステップとを含む、方法を提供し得る。
別の態様においては、基板をプラズマ処理するための複数のプラズマ制御デバイスを有するプラズマチャンバが提供される。複数のプラズマ制御デバイスはそれぞれ、内方リング、外方リング、ならびに内方リングおよび外方リングを結合して内方リングと外方リングとの間にプラズマ生成領域を画成する側部プレートを有するプラズマブロックと、外方リングの一部分を貫通して形成されるガス入口であって、第1の外部ガス源に連結されるガス入口と、外方リングの一部分を貫通して形成されるガス出口であって、基板が配設されるプラズマチャンバ内の処理領域と流体連通状態にあるガス出口と、プラズマブロックの各内方リングを貫通して配設される透磁性コアとを備える。
また、本発明の実施形態は、処理チャンバに結合されたプラズマ源であって、第1の端部、第2の端部、およびコア要素中心軸を有するコア要素と、第1の環状形状プラズマ生成領域を少なくとも部分的に密閉する1つまたは複数の表面を有する第1のプラズマブロックであって、第1の環状形状プラズマ生成領域がコア要素の第1の部分の周囲に位置する、第1のプラズマブロックと、コア要素の第1の部分を覆って配設された第1のコイルと、コア要素の第2の部分を覆って配設された第2のコイルであって、第1のプラズマブロックがコア要素の第1の部分とコア要素の第2の部分との間に配設される、第2のコイルとを備える、プラズマ源を提供し得る。
本発明の上記特徴を詳細に理解することが可能となるように、上記で簡潔に要約した本発明のさらに具体的な説明を、一部が添付の図面に図示される複数の実施形態を参照として行う。しかし、添付の図面は、本発明の典型的な実施形態を示すものに過ぎず、したがって本発明によって他の同様に有効な実施形態が許容され得るので、本発明の範囲を限定するものとして見なすべきではない点に留意されたい。
従来の遠隔プラズマ源デバイスの断面図である。 本発明の一実施形態による処理チャンバに結合されたプラズマ源の等角図である。 本発明の一実施形態による図2Aに図示するプラズマ源の側面断面図である。 本発明の一実施形態による図2Aに図示するプラズマブロックの側面断面図である。 本発明の一実施形態によるプラズマブロックの側面断面図である。 本発明の一実施形態によるプラズマブロックの等角図である。 本発明の一実施形態によるプラズマ源のプラズマ生成領域にプラズマを形成するために使用される源アセンブリの概略側面断面図である。 本発明の一実施形態によるコア要素の周囲に巻き付けられた2つ以上のコイルを有するプラズマ源の側面断面図である。 本発明の一実施形態によるプラズマ源において使用し得るコア要素の断面形状の3つの例を示す図である。 本発明の一実施形態による源アセンブリの概略側面断面図である。 本発明の一実施形態による源アセンブリの概略側面断面図である。 本発明の一実施形態によるプラズマブロックの等角断面図である。 本発明の一実施形態によるプラズマ生成領域の少なくとも一部分の周囲に巻き付けられたコイルを有するプラズマ制御デバイスの側面断面図である。 本発明の一実施形態によるプロセスチャンバに結合された2つのプラズマ制御デバイスを有するプラズマチャンバの概略断面図である。 本発明の別の実施形態によるプロセスチャンバに結合された少なくとも3つのプラズマ制御デバイスを有するプラズマチャンバの概略断面図である。 本発明の別の実施形態によるプロセスチャンバに結合された少なくとも3つのプラズマ制御デバイスを有するプラズマチャンバの概略断面図である。 本発明の別の実施形態による温度制御されるコア要素を有するプラズマチャンバの側面断面図である。 本発明の別の実施形態による図7Aに示す温度制御されるコア要素の部分の側面断面図である。
本発明の実施形態は、概して、プラズマ源装置、および、電磁エネルギー源の使用により磁性コア要素の周囲に対称的に位置決めされるプラズマ生成領域にラジカルおよび/またはガスイオンを生成することが可能なプラズマ源装置を使用する方法を提供する。概して、そのプラズマ生成領域および磁性コアの配向および形状により、プラズマ生成領域に位置するガスへの送出電磁エネルギーの効果的なおよび均一な結合が可能となる。本明細書に開示するプラズマ源の構成により、磁性鉄心に送出される電磁エネルギーによって、今日市場において見受けられる従来のプラズマ源デザインに比べて、ガスラジカルおよび/またはガスイオンをさらに効率的に形成し、ガスラジカルおよび/またはガスイオンを形成するためのさらに幅広なプロセスウィンドウを実現し、さらに広範なガスラジカルエネルギーおよび/またはイオン密度を形成することが可能となると考えている。概して、プラズマ生成領域に形成されるプラズマの特徴が改善されることにより、基板、またはプラズマ生成領域の下流に配設される処理チャンバの一部分に対して実施される堆積プロセス、エッチングプロセス、および/または洗浄プロセスを改善することが可能となる。
図2Aは、プラズマ源1000において見受けられるプラズマ制御デバイス1072のプラズマ生成領域1118(図2B)に形成されるガスラジカルおよび/またはガスイオンが、プロセスチャンバ1020の処理領域1128(図2B)に送出され得るように、プロセスチャンバ1020に結合されたプラズマ源1000の一実施形態の等角図である。この場合に、プラズマ生成領域1118に形成されるガスラジカルおよび/またはガスイオンは、プロセスチャンバ構成要素および/またはプロセスチャンバ内に配設される基板に対して実施される堆積プロセス、エッチングプロセス、および/または洗浄プロセスを改善するために使用される。図2Bは、コア要素1130の中心軸1130Sを貫通するX−Y平面(図2A)に沿ってプラズマ源1000を切断することにより形成される、図2Aに示すプラズマ源1000の断面図である。この構成においては、プラズマ源1000は、本明細書において説明する実施形態の1つまたは複数を実施するために有用な、プラズマ制御デバイス1072およびプロセスチャンバ1020を備える。いくつかのプラズマ源の構成においては、プロセスチャンバ1020の処理領域1128に位置する基板またはチャンバ構成要素に対する、プラズマ生成領域1118の構成および/または位置により、好ましくは、プラズマ生成領域1118(例えば図2B〜2Dの参照符号「A」)の外部に拡散する荷電種(例えばイオン、電子)の量が、処理の際に、基板またはチャンバ構成要素に最小限だけ到達し、それらと相互作用を生じることとなる。また、プラズマ生成領域1118から処理領域1128へのガスラジカルおよび/またはガスイオンの拡散または流動は、処理圧力、および/またはガス源1124からプラズマ生成領域1118を通過するガス原資の流れを調節することにより、制御することが可能となる。しかし、いくつかの例においては、プラズマ源1000は、処理チャンバ1020の処理領域1128に配設される基板の表面と少量の荷電種との間において相互作用が生じることにより、エッチングプロセスまたはCVDタイプの堆積プロセスをさらに促進させるように、構成される。
図2Bに図示するように、プロセスチャンバ1020は、チャンバ側壁部1005およびチャンバ蓋1010などの、処理領域1128をシール自在に密閉する1つまたは複数の壁部を有するチャンバ本体1021を備えることが可能である。いくつかの構成においては、基板1030を支持するために使用される基板台座1015が、チャンバ蓋1010中に形成された入口1011に隣接して配設される。概して、入口1011は、プラズマ源1000のプラズマ生成領域1118と流体連通状態にある。いくつかの実施形態においては、シャワーヘッドアセンブリ1027が、入口1011と基板台座1015との間に配設されることにより、プラズマ生成領域1118において形成されて、シャワーヘッドアセンブリ1027中に形成された穴1026を通り基板1030の表面1031に送出されることとなるガスラジカルおよび/またはガスイオンの均一な分配を可能にする。シャワーヘッドアセンブリ1027は、電気的に接地されるかまたは浮動状態であってもよく、穴1026のサイズおよび密度は、処理領域1128に進入するガスラジカルおよび/またはガスイオンの量および均一性を調節するように選択されてもよい。また、裏面ガス供給部1016が設けられて、アルゴンまたはヘリウムなどのガスを、基板130の裏面と基板台座1015との間に形成されるギャップ(図示せず)に供給することにより、温度制御される基板台座1015と基板1030との間における伝熱性を向上させてもよい。プロセスガス供給部1025は、処理領域1128内にプロセスガスを供給するために使用することが可能である。ターボポンプ、ラフポンプ、および/または他の同様のデバイスを備える真空ポンプシステム1035が、プロセスチャンバ1020および/またはプラズマ源1000内の圧力を制御する。一例においては、処理中に、処理領域1128および/またはプラズマ生成領域1118内の圧力が、約0.5ミリトール(mT)から約1トールの間などの真空圧に維持される。いくつかの実施形態においては、シールド1129が、チャンバ蓋1010の上に直接的にまたは間接的に設置されて、プラズマ制御デバイス1072を格納する。シールド1129の頂部は、ガス入口1120の位置に対応する開口(図示せず)を備える。シールド1129は、プラズマ制御デバイス1072により生成される場の効果を低減させるように設計され、好ましくは、生成される場が全てシールド1129の内部領域内に留められるようにMu材料から作製される。
本発明のいくつかの実施形態においては、プラズマ制御デバイス1072は、プロセスチャンバ1020のチャンバ蓋1010の上に装着または設置され、ガスラジカルおよび/またはイオン化ガス原子を生成し、プロセスチャンバ1020の処理領域1128内に導入するように構成される。プラズマ制御デバイス1072は、誘電材料(例えばセラミック材料)から作製された絶縁プレート1145により、プロセスチャンバ1020内の構成要素から離間され、電気的に絶縁されてもよい。プラズマ制御デバイス1072は、概して、磁性コアまたは以降においてはコア要素1130と、コア要素1130に結合される源アセンブリ1038と、処理領域アセンブリ1073とを包含する。コア要素1130の周囲に概して対称的に分布するプラズマ生成領域1118は、処理領域アセンブリ1073内に見受けられる1つまたは複数の構成要素によって密閉されてもよい。一実施形態においては、処理領域アセンブリ1073は、ドーム部分1110と、プラズマブロック1116と、冷却プレート1134と、側部カバー1140とを備え、これらは、プラズマ生成領域1118を密閉する。
プラズマ源1000の作動中に、基板1030が、処理のために、プロセスチャンバ1020内の基板台座1015の上に配置される。次いで、プラズマ源1000のプラズマ生成領域1118が、真空ポンプシステム1035により所定の圧力/真空へと引かれてもよい。所定の圧力が達成されると、堆積ガス、エッチングガス、または洗浄ガス(または複数のそれらのガス)が、ガス入口1120を経由してプラズマ制御デバイス1072内に導入され、ガス拡散器本体1122からプラズマ生成領域1118を通り流れてもよく、その一方で、真空ポンプシステム1035は、均衡処理圧力が達成されるように、プラズマ源1000に対してポンプ作動し続ける。この処理圧力は、例えばプラズマ源1000に対する真空システムの連絡を絞るか、またはガス入口1120を通りプラズマ源1000内に導入されるプロセスガスもしくは洗浄ガスの流量を調節することなどによって、調節可能である。圧力およびガス流が確立されると、電源が作動される。源アセンブリ1038などのRF電源は、コイル1132に電気的に接続される。コイル1132により生成される場は、コア要素1130内に磁場を誘導的に形成し、この磁場は、プラズマ生成領域1118に位置するガスに送出エネルギーを誘導的に結合させて、このガスをプラズマ状態に励起する。一実施形態においては、形成されたプラズマ内におけるイオン密度およびラジカル濃度は、コイル1132に供給される電力の調節により、またはプラズマ源1000における処理圧力の調節により、上昇または低減されてもよい。
プラズマブロック1116は、図2B、図2D、および図2Eに図示されるように、環状形状プラズマ生成領域1118の少なくとも一部分が中に形成された構成要素を備える。環状形状プラズマ生成領域1118は、コア要素1130の周囲に概して形成される。一実施形態においては、図2Bに図示するように、環状形状プラズマ生成領域1118は、矩形状形状断面を有する。しかし、プラズマ生成領域1118の断面の形状は、円形形状、楕円形形状、または他の有用な形状などの、任意の所望の形状であることが可能であり、したがって、矩形状形状プラズマ生成領域は、本明細書において説明する本発明の範囲に関して限定的なものとなるようには意図されない。一構成においては、プラズマ生成領域1118は、プラズマブロック1116中に形成された内方壁部1150、外方壁部1156、および側壁部1158(図2B)によって少なくとも部分的に密閉される。一実施形態においては、プラズマ生成領域1118は、プラズマブロック1116の壁部1150、1156、1158と、従来的な固定具(図示せず)の使用によりプラズマブロック1116に装着される側方カバー1140とにより、完全に密閉される。一構成においては、図2Eに図示するように、環状形状プラズマ生成領域1118が、中心軸1116Sを中心として形成された体積を備える。一構成においては、出口ポート1126の領域および入口ポート1127の領域の追加的な体積を除外した、環状形状プラズマ生成領域1118の体積は、プラズマ生成領域の断面(例えば矩形断面)が中心軸1116Sを中心として回転された区域にほぼ等しい。いくつかの実施形態においては、環状形状プラズマ生成領域1118は、中心軸1116Sを中心として完全にまたは実質的に対称的であってもよい。
コア要素1130は、図2Bに図示するように、概して、プラズマブロック1116の内方壁部1150の隣接表面1150Aの付近のプラズマブロックの一部分を貫通して配設される。一態様においては、コア要素1130は、例えばフェライトロッドなどの、高透磁性のロッドまたはチューブを備えるが、結合構造に応じて他の磁性材料であることも可能である。コア要素1130を形成するための磁性材料は、以下の特徴、すなわち1)高い印加周波数にてコア損失密度が低いこと、2)高いキュリー温度を有すること、および3)高いバルク抵抗率を有すること、を概して有することとなる。概して、コア要素1130は、源アセンブリ1038において見受けられる1つまたは複数のコイル(例えばコイル1132)を通りRF電流が流れることにより生じる生成された場(例えば磁場)が、優先的に流れることとなる経路を形成するために使用し得る任意の材料から形成することが可能である。一実施形態においては、コア要素1130は、フェライト含有要素を備える。「フェライト要素」および「フェライト材料」という用語が、本明細書において使用されるが、これらの用語は、本明細書において説明する本発明の範囲に関して限定的なものとなるようには意図されない。また、一実施形態においては、コア要素1130は、図2Bに示すX軸と一致する中心軸1130Sなどの中心軸を中心として位置合わせされた、一群の比較的小径のシリンダまたはロッドを備える。
図2Cは、コア要素1130において磁場(すなわち磁場B「B」)を誘起するエネルギーを源アセンブリ1038から送出することによって、プラズマ生成領域1118に誘導結合プラズマ「P」が形成される本発明の一実施形態を全体的に概略的に図示する、図2Bに図示されるプラズマ制御デバイス1072の拡大断面図である。したがって、誘起された磁場は、プラズマ生成領域118に電界を生成し、この電界は、その中に位置するガス原子を励起状態へと励起して、ガスラジカルおよび/またはイオンを含むこととなるプラズマを形成するために使用される。プラズマ処理の際には、処理ガスが、プラズマブロック1116の外方壁部1156中に形成された入口ポート1127を通りプラズマ生成領域1118内に送出され、励起したガス原子は、プラズマブロック1116の外方壁部1156中にやはり形成された出口ポート1126を通りプラズマ生成領域1118から出る。したがって、出口ポート1126は、プロセスチャンバ1020の処理領域1128にプラズマ生成領域1118を流体的に結合する。したがって、プロセスチャンバ1020の処理領域1128に送出されるガスの化学的性質は、プロセスガス供給部1124により制御することが可能となり、ガス原子のエネルギーは、プラズマ生成領域1118におけるガス原子滞留時間(例えば流量に比例する)、送出されるRF電力、プラズマ生成領域1118におけるガスの組成、およびプラズマ生成領域1118の圧力によって、制御することが可能となる。
プラズマ処理の際には、RF電流I(図2C)が、コイル1132を介して送出される。このコイル1132は、コア要素1132の一部分の周囲に巻き付けられ、コア要素1130を通り流れる磁場「B」を生成し、この磁場「B」は、電界を生じさせて、この電界が、プラズマ生成領域1118(「+」および「−」を参照)を通過し、その領域内に位置するガス原子にプラズマ「P」を形成させる。一実施形態においては、コイル1132に送出される電力は、約400kHzのRF周波数にて約0.1ワットから約10キロワットの間で変動し得る一方で、ガスが、約0.5sccmから約100lpmの間の流量で送出され、圧力は、約1ミリトールと約500トールとの間で維持される。RF電源により送出される電力の周波数は、約400kHzの周波数に限定されず、用途に応じて約10kHzから40MHz超までなどの所望の周波数にて実施されてもよいことが予期される。いくつかの例においては、約13.56MHz以下の周波数にてRF電源からRF電力を送出することが望ましい。
本明細書において説明するプラズマ源1000の構成を使用することにより、プロセスウィンドウ、エネルギーガス原子生成効率、およびガスラジカルエネルギーの範囲が、従来のプラズマ生成デバイスに比べて大幅に改善され得ると考えている。透磁性コア要素(例えばコア要素1130)の周囲に対称的に形成される密閉されたプラズマ生成領域1118の使用は、他の従来のデザインに比べて複数の利点を有すると考えている。第1に、コア要素1130の形状およびプラズマ生成領域1118の対称的配向により、均一な密度を有するプラズマが、図2Cおよび図2Dに概略的に図示されるように、プラズマ生成領域1118に形成され得る。対称的に形成されるプラズマ生成領域1118を使用することにより、さらに広い区域にわたってRFエネルギーを送出し、上述のように従来のRPSデザインにおいて見受けられる共通する「ホットスポット」の問題により生じる効率上の問題を回避することによって、送出されるRF電力の結合効率が向上する。第2に、コア要素1130の周囲のプラズマ生成領域1118の対称的形状により、正方形プラズマ生成領域(図1)および局所的小プラズマ結合領域(例えば図1の「PR」領域)などの、従来のRPSデザインにおいて見受けられるプラズマ生成領域の種々の領域に非均一な場密度を有するという共通の問題が、排除される。従来のRPSデザインにおいて見受けられる非均一な場密度は、ラジカル生成効率、およびプラズマ生成領域の表面の複数部分と励起されたガス原子との間の望ましくない相互作用に対して影響を及ぼす恐れがある。
本発明のいくつかの実施形態においては、「開ループ」磁場、すなわち透磁性コア要素1130の中心軸を中心として360度の全てに均一に分布する磁場が、コア要素1130へのコイル1132の結合により生成される。したがって、この「開ループ」磁場は、プラズマ生成領域1118内においてプラズマ密度を均一にするのを助ける。いくつかの実施形態においては、本発明の透磁性コア要素1130は、中でプラズマが生成される導管を囲む密閉された透磁性コアの周囲に巻線またはコイルセクションが巻き付けられる、従来のトロイド状プラズマチャンバアンテナまたはRPSデザインにおいて一般的に見受けられるような「閉ループ」デザインではなく、プラズマブロック1116を貫通して直線状に概して延在された「開ループ」デザインである。上述のように、従来の「閉ループ」デザインは、閉ループコア要素が位置決めされる導管の周囲の中央領域のみに比較的高い磁場を有することとなる。
図2Dは、プラズマ「P」が、プラズマ源1000の複数部分を貫通する場(磁場B「B」)により形成される、プラズマブロック1116において形成されるプラズマ生成領域1118の概略側面断面図である。図2Dに図示するように、ページ中へと流れる、コア要素1130において形成される磁場B(すなわち「−」記号」、およびページの外方に流れる戻り磁場B(すなわち「X」記号)は、コア要素1130の軸を中心として外周方向に均一に分布する(例えば固定ラジアル位置において均一な360°)。接地されるチャンバ蓋1010に対するプラズマ制御デバイス1072の位置、ならびにプラズマ生成領域1118に形成される対称的に生成される場およびプラズマは、概して、プロセスチャンバ1020の処理領域1128の外部に留まるが、生成されたガスラジカルおよび一部のガスイオンの処理領域1128内への移動を可能にし、それにより、これらのガスラジカルおよびガスイオンが基板およびプロセスチャンバ構成要素と相互作用することが可能となることが、当業者には理解されよう。一実施形態においては、イオン衝突および損傷を引き起こし得るかなりの程度のプラズマ電位およびプラズマシースを基板上に形成する傾向をもたらす、プラズマ生成領域1118において生成されるプラズマと基板の表面1031との間における相互作用が生じないように、基板1030からある距離だけ離してプラズマ生成領域1118を位置決めすることが望ましい。
コア要素デザイン
図2A〜図6Bは、密閉されたプラズマ生成領域に均一なプラズマを形成するのに有用な単一のコア要素1130を全体的に概略的に図示する。上述のように、コア要素1130は、単一のモノリシック要素である必要はなく、共に一括されることで、生成された場(例えば磁場)が優先的に流れる経路を形成する一連のさらに小さな要素を備えてもよく、または以下において論じるような様々な異なる形状および構成にて形成されてもよい。
一実施形態においては、図2A〜図6Bに示すように、コア要素1130は、プラズマブロック1116を貫通して配設されるバー形状要素を備える。この構成においては、コア要素1130は、プラズマブロック1116を囲むリング形状、正方形形状、または従来のデザインにおいて通常見られるような他の密閉形状とは異なり、バー形状または直線状長尺部形状を有する。コア要素が直線状バー形状であることにより、誘導的に生成された場は、それらを優先的に流すための密閉されたコア要素を有さないため、広がることが可能となることによって、プラズマ生成領域1118(図2B)におけるイオン濃度が、大幅に改善される。したがって、直線状バー形状コア要素から生成される場は、プラズマ生成領域の小さな領域に制約されない。したがって、均一に分布する磁場は、対称的に形成されるプラズマ領域1118の全体にわたり均一なプラズマ密度を形成する傾向を有することとなる。
いくつかの構成においては、コア要素1130は、バー形状を有し、円形断面または円筒状断面など、規則的なまたは中心軸1130Sを中心として対称的な断面を有する。一例においては、コア要素1130の断面は、円形であり、約0.5インチから約2インチの間の直径と、約3インチから約20インチの間の長さとを有する。一例においては、コア要素1130の直径(d)に対する長さ(L)の比(L/d)は、約2から約10の間など、約1.5から約40の間である。コア要素1130は、ここでは円筒状のものとして説明するが、コア要素1130の断面形状は、規則的なもしくは不規則的な、正方形形状、六角形状、矩形形状、または任意の他の所望の形状などの、非円形または非円筒状であってもよいことが予期される。図2Hは、プラズマ源1000において使用し得る種々のコア要素の3つの断面形状の例を示す。この例においては、コア要素1130は、「断面I」において示すような円形断面形状、「断面II」において示すような管状断面形状、または「断面III」において示すような中心軸を中心として規則的に形状設定された六角断面形状を有してもよい。別の例においては、コア要素1130は、図7Bのコア要素1721などの、1つまたは複数の特徴が中に形成された円形断面形状を有してもよい。一実施形態においては、バー形状コア要素1130は、コア要素1130の両端部に位置する2つの端部1131Aおよび1131B(図2B)を有する。したがって、直線状バー形状のコア要素構成においては、コア要素1130の中心軸1130Sに一致する直線が、2つの端部1131Aおよび1131Bを貫通することになる。
コア要素の物理特性または電気特性の変化が、プラズマ源の効率、安定性、および信頼性に対して重大な影響を及ぼし得ることが判明している。コア要素1130の温度が上昇するにつれて、飽和磁束密度および透磁率の両方が低下することが、当業者には理解されよう。したがって、プラズマ処理の際の「渦電流」加熱によるコア要素1130の温度の上昇を解消するために、コア要素1130の能動的冷却が、一般的には必要となる。
図7Aは、温度制御されるコア要素1130を有するプラズマ源1000の一実施形態の側面断面図である。図7Bは、本発明の一実施形態によるコア要素1130の側面断面図である。一実施形態においては、プラズマ源1000は、コア要素1130および熱交換器アセンブリ1710を備える。一実施形態においては、プラズマ源1000が作動する際に、温度制御された熱交換流体が、熱交換器アセンブリ1710からコア要素1130の一部分を通り送出され、次いで熱交換器アセンブリ1710に戻される。一般的には、熱交換器アセンブリ1710は、流体再循環冷却器などの従来の流体熱交換デバイスを備える。一般的には、脱イオン水などの熱交換流体は、高い電気抵抗特徴および良好な伝熱特徴を有することとなる。一実施形態においては、図7Aに示すように、コア要素1130は、ハウジング1711内に密閉された透磁性コア要素1721を概して備える。この構成においては、熱交換器アセンブリ1710から送出される熱交換流体は、ハウジング1711内を、および透磁性コア要素1721の複数部分の周囲および中を通り流れ、それにより、ハウジング1711および透磁性コア要素1721において形成される生成された熱を除去する。透磁性コア要素1721は、一般的には、図2B〜図2Gに関連して上述したようなフェライトロッドなどの高透磁性材料を含む。
一実施形態においては、ハウジング1711は、熱交換流体が、コア要素1721の外方表面とハウジング1711の内方表面との間に形成されるギャップ1723(図7B)内を通過することが可能となるようにサイズ設定される。コア要素1721は、中央チャネル1722、および/または、コア要素の表面中に形成された溝などの複数の特徴部1724を備えてもよい。中央チャネル1722および/または複数の特徴部1724は、流動流体とコア要素1721との間における熱伝達を向上させるために、流動する熱交換流体を受けるように概して構成される。一構成においては、コア要素1721は、中央チャネル1722または特徴部1724などのコア要素中に形成された特徴部と係合する支持特徴部(図示せず)により、ハウジング1711およびプラズマブロック1116の中において支持され位置合わせされる。いくつかの構成においては、有孔プレートなどの流体流分配特徴部(図示せず)が、入口ポート1711Bおよび/または出口ポート1711Cに配設されて、コア要素1721の周囲および中を通る熱交換流体の均一な流れを促進するのを補助する。
図7Bを参照すると、一実施形態においては、ハウジング1711は、熱交換流体がハウジング1711の内部領域1725を通り送出される際に、ハウジング1711の外方表面1711Aが著しく屈曲することのないように形成される。この構成においては、ハウジング1711は、アルミナ(Al)、石英、窒化アルミニウム、複合材料、または他の適切な材料などの、剛性誘電材料から形成される。代替の一実施形態においては、ハウジング1711は、ハウジング1711の外方表面1711Aが、熱交換流体が内部領域1725を通り送出される際に屈曲するように構成され、それにより外方表面1711Aが膨張し、それによりプラズマブロック1116の内方表面1150Aの一部分とハウジング1711の外方表面1711Aとの間の接触が可能となり得るように、形成される。プラズマブロック1116の内方表面1150Aとハウジング1711の外方表面1711Aとの間に生じる接触により、プラズマ生成領域1118において生成される熱が、ハウジング1711を通り流れる熱交換流体に伝達され得るようになる。この構成においては、ハウジング1711は、プラスチック材料、繊維含浸樹脂材料、エラストマー材料、複合材料、または可撓性かつ望ましい熱伝導率を有する他の適切な材料などの、可撓性誘電材料から形成される。
以下においてさらに論じるプラズマ源1000の一実施形態においては、アクチュエータ1047およびシステム制御装置1001を使用して、プラズマ生成領域1118に位置するガスに対するRF結合を向上させるために、プラズマ生成領域1118に対する、ハウジング1711およびコア要素1721を備え得るコア要素1130の位置を調節および調整する。一構成においては、電力供給回路の別の領域に装着され、プラズマ生成領域1118と通信する、コイル1132にエネルギーを送出する電源内のセンサを使用して、プラズマ生成領域1118において生成されたプラズマの状態に関する情報をシステム制御装置1001にフィードバックすることにより、システム制御装置1001がプラズマへのエネルギー結合を調節することが可能となる。センサにより測定され、システム制御装置1001に戻される情報は、反射電力量、チャンバインピーダンス、プラズマ密度、プラズマの光学発光、または、プラズマ生成領域1118において形成されるプラズマの状態に関する他の有用な情報を含んでもよい。
プラズマブロック
上述のような従来のトロイド状デザインまたはRPSデザインにおいて見受けられる材料適合性の問題を回避するために、プラズマブロック1116は、プラズマ生成領域1118において形成されるガスラジカルもしくはイオンと、または反応プロセスガスとは反応しない材料から形成される。一般的には、プラズマブロック1116は、コア要素1130を中心として対称的な形状に形成され、プラズマ化学作用による著しい侵食を被らず、プラズマにより生成された熱を熱交換デバイスに伝達するための高い熱伝導率を有する材料を含む。一実施形態においては、プラズマブロック1116は、所望の対称的形状に形成された、高い熱伝導率の誘電材料を含む。固体誘電材料を含むプラズマブロック116は、従来のRPSデザインにおいて通常見受けられるコーティング欠陥の問題および生じ得る損傷の問題を回避するので、従来のデザインに対して多数の利点を有する。一般的には、内部表面上にコーティングを有する2つの半部を接合することにより完全に密閉されたプラズマ処理領域を形成することは、この形成プロセスの際にこれらの半部間の接合部の表面コーティングが損傷を被らずに留まるようにすることが困難であるため、困難である。また、固体誘電材料を使用することにより、典型的には従来の金属含有プラズマ密閉領域の一部分同士の間に位置するブロック(例えば図1の参照数字108、110)を絶縁して、これらの金属含有領域における渦電流の形成をなくす必要性がなくなる。一実施形態においては、プラズマブロック1116は、酸化アルミニウム(Al)、窒化アルミニウム(AlN)、酸化ベリリウム(BeO)、窒化ホウ素(BN)、石英、または他の同様の材料から作製される。一例においては、プラズマブロック1116は、約2インチ厚(図2BのX方向)の窒化アルミニウム材料から作製される。一実施形態においては、用途に応じて、内方壁部1150の内方表面1150Aは、直径が約2インチから約5インチの間であってもよく、外方壁部1156の内方表面は、直径が約5インチから約10インチの間であってもよく、プラズマブロック1116の周囲は、約10インチから約30インチの間の範囲であってもよい。
図2Bに戻ると、プラズマブロック1116および関連する構成要素が処理中にさらに確実に冷温状態に留まるようにするために、一般的には、冷却プレート1134が、プラズマブロックの側壁部1158に装着される。したがって、冷却プレート1134は、処理中に生成される熱を除去することにより、処理中にシールまたは連結された構成要素が損傷を被るのを防止するように構成される。一実施形態においては、冷却プレート1134は、熱交換源1139から送出される水などの冷却媒体を受け循環させるための、中に埋め込まれた実質的に円形の冷却チャネル1138を有する。図2Aにおいては、1つのみの冷却プレート1134およびチャネル1138が示されるが、本発明の一実施形態においては、同様の冷却チャネルを有する第2の冷却プレート1146(図2B)が、冷却チャネル1133の側壁部1158の反対側に配設されて、側部カバー1140に装着されてもよい。一実施形態においては、温度制御される冷却媒体は、熱交換源1139を使用することにより、冷媒入口1143(図2A)に送出され、第1の冷却プレート1134および第2の冷却プレート1146の中に形成された冷媒出口1144(図2A)から戻される。
プラズマ形成および電力送出
上述のように、コア要素1130は、一般的には、源アセンブリ1038において見受けられる1つまたは複数のコイル(例えばコイル1132)を通り流れるRF電流によって生じる生成された場(例えば磁場)が、優先的に流れることにより、プラズマ生成領域1118にプラズマを生じさせるための経路を形成する。一構成においては、コイル1132は、コア要素1130の外方部分の周囲に巻き付けられて、コア要素1130にコイルを誘導的に結合する。一実施形態においては、コイル1132は、源アセンブリ1038に連結される、銅ストリップまたは銅ワイヤなどの複数ターンの導電性材料を備える。一例においては、コイル1132は、約3から5ターンの間などの、約1から20ターンの間のコイルを備える。
源アセンブリ1038は、コイル1132にRF電力を効率的に送出するために、1つまたは複数の動的インピーダンス整合要素を使用してもよい。一構成においては、源アセンブリ1038は、反射動力を最小限に抑え、コイル1132およびプラズマ生成領域1118にRFエネルギーを効率的に送出するために、周波数同調要素、インピーダンス整合ネットワーク同調、または順方向動力サーボを伴う周波数同調を利用してもよい。一実施形態においては、源アセンブリ1038はインピーダンス整合要素1037およびRF電源1039を備える。プラズマ制御デバイス1072の外部に位置してもよいRF電源1039は、インピーダンス整合要素1037を経由してコイル1132に電気的に結合し、コイル1132にRFエネルギーを供給する。
プラズマ源1000の一実施形態においては、プラズマがプラズマ生成領域1118においてさらに容易に点火され得るように、点火促進電極を使用してプラズマ生成領域1118の一部分にRF電力を送出するために、第2のRF電源アセンブリ1042がさらに設けられてもよい。一実施形態においては、第2のRF電源アセンブリ1042は、インピーダンス整合要素1041およびRF電源1043を備える。プラズマ制御デバイス1072の外部に位置してもよいRF電源1043は、第1の冷却プレート1134および/または第2の冷却プレート1146(図2B)などの点火促進電極に電気的に結合されて、プラズマ生成領域1118に位置するガス原子に対して電極に送出されるエネルギーを誘導的に結合する。一例においては、図2Bに示すように、RF電源1043は、プラズマ生成領域1118においてプラズマを点火するために、約200ボルトから約10キロボルトの間などのRFバイアスを第2の冷却プレート1146に印加するように構成される。第1の冷却プレート1134および第2の冷却プレート1146は、プラズマ生成領域1118に隣接しておよびプラズマ生成領域1118に対して平行に配設された導電性材料(例えば金属)から形成されてもよい。コア要素1130の少なくとも一部分が、環状形状の第1の冷却プレート1134および第2の冷却プレート1146の中心を貫通してもよい。一構成においては、第1の冷却プレート1134および第2の冷却プレート1146はそれぞれ、ラジアル方向の「切れ目」または「割れ目」(例えば図2Aの参照数字1146A)を有し、これは、処理中にコア要素1130により生成される場による環状形状要素における渦電流の形成を阻止する。概してプラズマ制御デバイス1072とチャンバ蓋1010との間に配設される絶縁プレート1145により、プラズマ点火および処理の際にプラズマ生成領域1118に位置するガスへの容量結合を向上させるために、RFが「強い」大面積部分を有する点火促進電極(または複数の点火促進電極)の使用が可能となる。絶縁プレート1145は、これらの電極が、処理チャンバ1020内において見受けられる接地された構成要素を介して接地に短絡されるのを防ぐ。
別の構成においては、RF電源1043は、側部カバー1140内に埋め込まれた電極1142(図2B)に電気的に結合されることにより、プラズマ生成領域1118に位置するガス原子に対して電極1142に送出されるエネルギーを容量的に結合する。電極1142は、プラズマ生成領域1118に隣接しておよびプラズマ生成領域1118に対して平行に配設された表面1142Aを有する導電性環状プレート(例えば金属)であってもよい。コア要素1130の少なくとも一部分が、環状形状電極1142の中心を貫通してもよい。一構成においては、電極1142は、誘電材料により、プラズマ生成領域1118において形成されるプラズマから分離され、物理的に絶縁され、処理の際にコア要素1130により生成される場による環状形状要素における渦電流の形成を阻止するラジアル方向の「切れ目」または「割れ目」をやはり備えてもよい。
代替の一実施形態においては、インピーダンス整合が、コア要素1130の周囲に巻き付けられたコイル1132に装着される同調コンデンサ1036の使用により達成されてもよい。同調コンデンサ1036の静電容量は、RF電源1039から送出されるエネルギーの周波数にてコイル1132を共振させるように選択される。一実施形態においては、動的インピーダンス整合が、この同調コンデンサ1036の構成との組合せで利用される。この例においては、プラズマ源1000に送出されるRFエネルギーは、送出されるエネルギーが、処理ガスへの電力の送出を制御することによりプラズマを形成するためにデューティサイクル(すなわち経時的に分配されるパルスオン/オフ電力)の使用を必要としないため、スイッチング電源を使用する従来の電力送出方式よりもさらに効率的にプラズマ生成領域に送出される。この非断続的なプラズマへの電力送出により、プラズマ生成プロセスの効率が改善され、プラズマに送出される電力レベルを調節する能力が高まる。
図2Fに図示するように、いくつかの構成においては、源アセンブリ1038は、プラズマ生成領域1118にプラズマを形成するための、RF電源1039により駆動される一連の固定された電気要素を備えてもよい。電力供給回路において固定された電気要素を使用することは、源アセンブリ1038およびプラズマ源1000の複雑性を低減させることが可能であるため、能動RF整合の利用に比べて有利である。一実施形態においては、図2Fに示すように、コンデンサ1033が、オプションの同調コンデンサ1036を経由して、一方の端部においては接地に、他方の端部においてはRF電源1039に結合される。また、RF電源1039およびオプションの同調コンデンサ1036は、饋電回路1034および点火回路1044に結合される。この構成においては、単一のRF電源1039が、点火促進電極に結合された点火回路1044を使用して、プラズマ生成領域1118にプラズマを形成すると共に、饋電回路1034の使用によりプラズマ生成領域1118においてプラズマを持続させることも可能である。饋電回路1034は、図2Fにおいて誘導コイルタイプの要素として概略的に示されるコイル1132に結合される。この例においては、第1の冷却プレート1134、第2の冷却プレート1146、および/または電極1142などの点火促進電極(または複数の点火促進電極)への点火回路1044の接続が加わるため、第2のRF電源アセンブリ1042は必要とされない。一例においては、コンデンサ1033および同調コンデンサ1036は、形成されたRF回路が近共振を生じ得るように選択され、一例においては、それぞれが0.5から300ナノファラッドのコンデンサを備えてもよい。反射電力の問題を回避するために、RF電源1039は、コイル1132およびプラズマ生成領域1118に電力を効率的に送出するように、周波数同調モードにおいて使用されてもよい。
源アセンブリ1038からプラズマ生成領域1118への送出RFエネルギーの結合をさらに改善するために、アクチュエータ1047およびシステム制御装置1001を使用して、プラズマ生成領域1118に対するコア要素1130の位置を調節および調整することにより、プラズマ生成領域1118に位置するガスへのRF結合を向上させる。プラズマ生成領域1118に対するコア要素1130の位置を調節することにより、電気特徴および結合効率を調節することが可能となることが判明している。したがって、一実施形態においては、プラズマ源1000の初期設定の際には、電力結合を最大化するようにプラズマ生成領域1118に対するコア要素1130の位置を調節し、次いでこの位置にコア要素1130を固定してもよい。
あるいは、コア要素1130の位置は、アクチュエータ1047およびシステム制御装置1001の使用により、プロセスチャンバ1020内で実施される基板処理シーケンスの1つまたは複数のステップの際に、プラズマ生成領域1118に対して調節されてもよい。一構成においては、アクチュエータ1047は、アクチュエータ(例えばモータエンコーダ)に結合されたセンサ、送出される順方向RF電力および反射RF電力の変動を検出し得るセンサ、ならびにシステム制御装置1001を使用することにより、X方向またはX方向(図2F)におけるコア要素1130の位置を調節、閉ループ制御、および/または最適化することのできる、サーボモータまたはステッピングモータである。一実施形態においては、システム制御装置1001は、プラズマ源1001の中に収容される構成要素の全てを制御するように概して構成される。システム制御装置1001は、本明細書において説明するプラズマ処理技術の制御および自動化を容易にするように概して設計され、一般的には、中央演算処理装置(CPU)(図示せず)、メモリ(図示せず)、および支援回路(またはI/O)(図示せず)を備えてもよい。CPUは、工業環境において、種々のプロセスおよびハードウェア(例えばモータ、電源、チャンバハードウェア)を制御し、プロセス(例えば基板温度、ガス流量、コア要素に送出されるエネルギー量、コア要素の位置)をモニタリングするために使用される、任意の形態のコンピュータプロセッサの中の1つであってもよい。メモリ(図示せず)は、CPUに接続され、ローカルまたはリモートの、ランダムアクセスメモリ(RAM)、リードオンリーメモリ(ROM)、フロッピディスク、ハードディスク、または任意の他の形態のデジタル記憶装置などの、1つまたは複数の容易に入手可能なメモリであってもよい。ソフトウェア命令およびデータは、CPUに命令するためにコード化され、メモリ内に記憶され得る。また、支援回路(図示せず)が、従来の態様でプロセッサを支援するためにCPUに接続される。支援回路は、従来のキャッシュ、電源、クロック回路、入出力回路、およびサブシステム等々を備えてもよい。システム制御装置により読み込み可能なプログラム(またはコンピュータ命令)が、プラズマ源および基板に対していずれのタスクを実施し得るかを決定する。
図2Bは、トロイド状プラズマ源の外部に位置決めされる単一の誘導コイル1132のみを示すが、この構成は、本発明の範囲を限定するものではなく、適用するプラズマイオン密度または望ましいプラズマイオン密度に応じて、コイル1132の個数および位置を変更してもよい。いくつかの実施形態においては、図2Gに概略的に示すように、コイル1132は、コア要素1130の外方部分の周囲に、両端部にて、もしくはコア要素1130の中央部分にて(例えば図5の1132Bまたは1132D)巻き付けられてもよく、または、一方の端部から他方の端部にコア要素1130の周囲に均一に巻き付けられてもよい。一実施形態においては、図2Gに示すように、複数のコイルが使用される場合に、コイル1132F、1132Gがそれぞれ、源アセンブリ1038および1058などの各RF電源に個別に接続されてもよい。源アセンブリ1058は、RF電源1059および/またはインピーダンス整合要素1057を備えてもよい。RFコイル1132F、1132Gのそれぞれに送出されるRF電力信号のそれぞれの位相および電力レベルは、プラズマ生成領域1118におけるプラズマの均一性を向上させる、および/またはRF電力結合を向上させるように、互いに対して調節または設定することが可能である。
いくつかの実施形態においては、コイル1132は、プラズマ生成領域1118においてコア要素1130に対して平行な方向に(すなわちX方向)均一なプラズマを形成するために、コア要素1130の上にプラズマ生成領域1118に対して対称的に位置決めされた2つ以上のコイル(例えばコイル1132Fおよび1132G)を備えてもよい。一例においては、図2Iに概略的に示すように、2つ以上のコイルが、源アセンブリ1038に直列で接続され、プラズマ生成領域1118の両側のコイル要素1130の周囲に巻き付けられる。直列接続されたコイルへの電源供給は、処理上の利点を有すると考えている。処理上の利点には、直列接続されたコイルの両方に同一の電流が流れるため、同様のターン数を有するコイルによりコア要素1130においてさらに対称的かつ均一な磁場が生成されることが含まれる。コア要素1130においてさらに対称的かつ均一な場が生成されることにより、プラズマ生成領域1118におけるコア要素1130に対して平行な方向(すなわちX方向)へのプラズマの側方均一性が改善され、生成された場のコア要素1130への結合が改善されると考えている。別の例においては、図2Jに概略的に示すように、2つ以上のコイルが源アセンブリ1038に並列で接続され、プラズマ生成領域1118の両側においてコア要素1130の周囲に巻き付けられる。一構成においては、コイル1132Fおよび1132Gなどの対称的に位置決めされたコイルが、コア要素の周囲に同一方向に巻き付けられる。いくつかの例においては、生成された場の少なくとも部分的な相殺により一般的に好ましくはないが、コイルが、コア要素1130の周囲に逆方向に巻き付けられる。固定された電気要素タイプの源アセンブリ1038が、図2Iおよび図2Jに図示されるが、この源アセンブリの構成は、本明細書において説明する本発明の範囲に関して限定的なものとなるようには意図されない。
プラズマ均一性の調節
図2Dに概略的に示すように、コア要素1130を介したRFエネルギーの送達により形成される磁場の強度が、ラジアル方向において差異を生ずることにより、プラズマ生成領域1118に形成されるプラズマは、プラズマブロック1116の外方壁部1156に比べて、内方壁部1150付近において比較的高いプラズマ密度を有することとなる。したがって、プラズマ結合をさらに改善する、および/またはプラズマ生成領域1118内におけるプラズマの均一性をさらに均一なものにするために、様々なプラズマ調節技術を用いることが可能である。
図3に示すようなプラズマ源1000の一実施形態においては、永久磁石1152などの1つまたは複数の場成形要素が、プラズマの均一性を調節するために、プラズマ生成領域1118の周囲に位置決めされる。図3は、本発明の一実施形態によるプラズマブロック1116の部分等角断面図である。図3においては、破線により表される磁性コア要素1130、冷却プレート1134、および側部カバー1140が、明瞭化のために全て省略されている。プラズマ制御デバイス1072の一実施形態においては、複数の永久磁石1152が、プラズマ生成領域1118に形成されるプラズマを調節するために、プラズマブロック1116の内方壁部1150の円周部に沿って埋め込まれる。一態様においては、プラズマブロック1116の内方壁部1150が、永久磁石1152を中に受容するように構成された複数の開口1154を備える。永久磁石1152は、概して、対称パターンで離間され、交互の極性(すなわち、北「N」および南「S」が交互する)で配置される。内方壁部1150の円周部に沿って配設される永久磁石1152は、プラズマ生成領域1118において生成されるプラズマを、プラズマブロック1116の内方壁部1150から離れて円形領域の中央部の方向に、または外方壁部1156の方向に「押す」ような傾向を有する。したがって、場成形要素を使用することにより、内方壁部1150と外方壁部1156との間に形成されるプラズマ生成領域1118によって、プラズマ密度がさらに均一になる。場成形要素は、永久磁石、電磁石、またはプラズマ生成領域1118に形成される生成された場およびプラズマを形状設定することが可能な他の同様のデバイスを備えてもよい。
いくつかの例においては、場成形要素を使用する代わりに、コイルが、プラズマブロック1116の少なくとも一部分の周囲に巻き付けられて、それにより、この巻コイル1432を通り電流が流れることによって生成される場が、プラズマ生成領域1118に形成されるプラズマを再分布させる。図4は、本発明の一実施形態による巻コイルの構成を概略的に図示する、プラズマ制御デバイス1072の側面断面図である。この実施形態においては、1つまたは複数のコイル1432が、プラズマブロック1116の周囲に所望のターン数だけ巻き付けられる。一実施形態においては、コイル1432が、プラズマブロック1116の内方壁部1150、側壁部1158、および外方壁部1156、ならびに側部カバー1140の周囲に360°にわたってなど、プラズマブロック1116の全ての部分の周りに巻き付けられて、原源1433により電流がコイル1432を通過する際に、プラズマ生成領域1118に形成されるプラズマを均一に分布させる。一実施形態においては、DC電力が、コイル1432に送出されて、プラズマ生成領域1118に生成されるプラズマを誘導的に結合し再分布させる。さらに具体的には、コイル1432を通過する電流により生成される磁場が、内方壁部1150の中央付近において最強となる磁束密度を概して有することとなり、この磁場は、矢印により表すように、内方壁部1150の縁部から離れるようにプラズマを引き、プラズマ生成領域1118の中央の方向へとプラズマを再分布させる傾向がある。したがって、コイル1432を通して電流を送出することにより、プラズマ生成領域1118のプラズマ密度がさらに均一になる傾向が生ずることとなる。一実施形態においては、誘導コイル1432は、RFエネルギーを送出することが可能な電源1433を使用することにより、プラズマ生成領域1118に形成されるプラズマを点火するために使用される。2つ以上のコイル1432が使用される場合には、コイル1432はそれぞれ、同一の電力および周波数を用いて駆動されてもよく、または、各コイル1432は、異なる電力および/または周波数で個別に駆動されてもよい。
また、コイル1432が、任意の所望の箇所において内方壁部1150および外方壁部1156の周囲に巻き付けられるか、または、所望の間隔で内方壁部1150および外方壁部1156の周囲に均等に巻き付けられてもよいことが予期される。一実施形態においては、コイル1432は、コア要素1130の外方部分の周囲に、および冷却プレート1134に隣接して巻き付けられる。一態様においては、コイル1432は、冷却プレート1134と支持要素1135との間に配置される。支持要素1135は、冷却プレート1134の側部に結合され、1つまたは複数の支持プレート(例えば図2Bに示す支持プレート1136、1137)を備えることにより、支持プレート1136および1137を貫通して延在するコア要素1130を固定してもよい。一構成においては、図4に図示するように、コイル1432が、同相で巻き付けられるものとして示されるが、すなわち、コイル1432を通り流れる電流により、プラズマは、プラズマ生成領域1118の周囲において同一方向に流れるように誘導される。あるいは、コイル1432は、互いに位相がずれた状態で巻き付けられ得る。
プラズマ源1000のいくつかの構成においては、プラズマブロック1116のプラズマ生成領域1118に接触する内方表面のみが、プラズマの化学的性質に反応しない溶融シリカまたはセラミックなどの電気絶縁体から作製されてもよい。したがって、いくつかの構成においては、プラズマブロックは、誘電体被覆アルミニウム、陽極酸化アルミニウム、またはステンレス鋼などの金属から主に作製することが可能である。内方壁部1150、側壁部1158、および/または外方壁部1156などのプラズマブロック1116の複数部分が導電性材料(例えば金属)から形成される構成においては、絶縁スペーサ(図示せず)、または誘電体遮断部が、コイル1432に電流を送出することにより生成される場に起因する壁部1150、1156、1158中を概して流れる渦電流を遮断および抑制するために設けられる必要がある。導電性壁部同士の間に絶縁スペーサを加えることにより、プラズマ源1000アセンブリは、概して、さらに複雑かつ高価なものとなり、また真空気密構成でのプラズマ源1000アセンブリの組立がさらに困難になる。したがって、絶縁スペーサ(または複数の絶縁スペーサ)は、内方壁部1150、外方壁部1156、および側壁部1158が絶縁材料または非導電性材料から作製される場合には、省くことが可能である。
ガス送出ハードウェア
上述のように、プラズマ処理の際には、処理ガスが、プラズマブロック1116の入口ポート1127(図2B)を通り、ガス供給部1124からプラズマ生成領域1118内に送出され、励起されたガス原子は、プラズマブロック1116中にやはり形成される出口ポート1126を通りプラズマ生成領域1118を励起する。一実施形態においては、プラズマ制御デバイス1072のドーム部分1110が、プラズマブロック1116の入口ポート1127およびプラズマブロック1116の中に形成されるプラズマ生成領域1118に処理ガス(または複数の処理ガス)を送出するために使用される。一実施形態においては、ドーム部分1110は、ガス入口1120およびガス拡散器本体1122を概して備える。ガス拡散器本体1122は、概して、プラズマブロック1166の外方壁部1156中の入口ポート1127を貫通して延在する。ガス入口1120は、プラズマ制御デバイス1072内にプロセスガスまたは洗浄ガス(または複数のそれらのガス)を導入するために、例えばプロセスガス供給部1124などの外部ガス源(または複数の外部ガス源)に連結される。一実施形態においては、ドーム部分1110は、ガス分配プレナム1123を備え、このガス分配プレナム1123は、ガス拡散器本体1122内に形成され、ガス拡散器本体1122中に形成された穴1121を通るプラズマ生成領域1118内へのガス送出を再分布および制御するために使用される。プラズマブロック1116の外方壁部1156は、ガス/プラズマ出口ポート1126を備え、このガス/プラズマ出口ポート1126は、プラズマ源1000内の処理領域1128に通ずる。したがって、ガス分配プレナム1123およびプラズマ生成領域1118は、流体連通状態にある。
プロセスガスまたは洗浄ガスは、NH、NO、NO、NO、Oなどの酸素含有ガスもしくは窒素含有ガスか、またはF、NF、NHF、CF、C、SF、もしくはCHなどのフッ素含有ガス、およびそれらの組合せ、ならびに、任意に、アルゴン、ヘリウム、もしくは窒素などのキャリアガスを含んでもよい。一態様においては、プロセスガスは、基本的にアルゴン(Ar)を含む。別の態様においては、プロセスガスは、基本的にアンモニア(NH)を含む。別の態様においては、アンモニア(NH)ガスおよび三フッ化窒素(NF)ガスが、プラズマ制御デバイス1072内に導入されて、NHFプラズマが生成され、このNHFは、次いでプラズマ源1000内に配置される基板上の固有の酸素を除去するために使用されてもよい。TSA、シラン、TEOS、または他の有用な前駆体ガスなどの他の処理前駆体ガスが、用途に応じて使用し得ることが予期される。前駆体ガスは、約100sccmから約1000sccmの間の流量で供給されてもよい。処理中に、プラズマ源1000内の処理領域1128内の圧力は、約100ミリトールから約20トールの間に維持されてもよい。一例においては、チャンバの圧力は、約100ミリトールから約1.25トールの間に維持される。
図4を参照すると、複数のガス開口または穴1121が、ガス拡散器本体1122の中心軸1121Bに対して1つまたは複数の角度1121Aにて形成されてもよく、これにより、流動ガスをプラズマ生成領域1118内への進入後に内方壁部1150の内部表面に対して衝突させるのではなく、プロセスガスまたは洗浄ガスが、円形タイプの渦流パターンを形成するように接線方向に向かってプラズマ生成領域1118内に送出され得る。一例においては、穴1121は、ガス拡散器本体1122を二等分し、コア要素の中心軸1130Sを貫通して延在する図4に示す中心軸1121Bなどの、プラズマ生成領域1118のラジアル方向に対して、ある角度を成して形成される。一実施形態においては、所定の角度は、約45°など、約20°から約70°の範囲であってもよい。複数の開口の各開口は、用途に応じて所望の幅および長さを有してもよい。ガス拡散器本体1122は、約20個またはそれ以上の開口など、例えば約10個またはそれ以上の開口などの所望の個数の開口を備えてもよい。
複数プラズマ源構成
いくつかの処理構成においては、同様のまたは異なる成分を有するプロセスガスが、各プラズマ源に送出されて、多様な混合比および/またはエネルギーを有するエネルギーガス原子をプロセスチャンバ1020の処理領域1128に供給することが可能となるように、複数のプラズマ源を並行して使用することが可能である。図5は、本発明の一実施形態による、プロセスチャンバ1020のチャンバ蓋1010に結合された2つのプラズマ制御デバイス1072A、1072Bを有するプラズマチャンバの単純化された断面図を示す。図示を容易にするために、プラズマチャンバ構成要素は、省略されているが、プロセスチャンバ1020は、チャンバが2つのプラズマ制御デバイス1072A、1072Bを受けるように構成される点を除いては、図2Bに図示するプロセスチャンバと同一の構成要素を全て含むことが予期される。この実施形態においては、2つのプラズマ制御デバイス1072A、1072Bが、プロセスチャンバ1020のチャンバ蓋1010の上に装着または設置されて、ガスラジカルおよび/またはイオン化ガス(もしくは複数のイオン化ガス)を生成し、シャワーヘッドアセンブリ1027を経由して下流プロセスチャンバ1020内に導入する。2つのプラズマ制御デバイス1072A、1072Bは、平行に配置され、プラズマブロック1016A、1016Bの内方壁部1150A、1150Bを貫通して配設される共通のコア要素1130を共有する。この構成のコア要素1130は、プラズマ制御デバイス1072A、1072Bの両方に対して作動し得るように幾分か追加の長さを必要とする場合がある点を除いては、上述の構成要素と概して同様である。本発明のいくつかの実施形態においては、重複する構成要素の個数を減らし、コア要素1130に対する2つ以上のプラズマ生成領域の位置合わせ能力を向上させるために、例えばプラズマ生成領域1118A、1118Bなどの2つ以上のプラズマ生成領域が全て、プラズマブロック1016Aなどの単一のプラズマブロック(図示せず)内に形成される。
図5に図示するように、コイル1132Aは、コア要素1130の外方部分の周囲に巻き付けられてもよい。コイル1132Aのターン数は、約1ターンから約10ターンの範囲において変更されてもよい。いくつかの例においては、コイル1132Aは、10以上のターンを有してもよい。コイル1132Aは、コア要素1130に沿った様々な箇所に配置されてもよい。一実施形態においては、コイル1132Aは、様々な箇所に分配された複数のコイルを備えてもよい。一実施形態においては、コイルは、適用するプラズマイオン密度または望ましいプラズマイオン密度に応じて、以下の態様のいずれか、すなわち(1)コイル箇所1132Aおよび1132E、(2)コイル箇所1132Bおよび1132D、(3)コイル箇所1132Cのみ、(4)コイル箇所1132Aおよび1132Cまたは1132Cおよび1132E、ならびに(5)コイル箇所1132A、1132B、1132C、1132D、および1132Eに分配される。また、複数のコイルが使用される場合に、それらのコイルが、各RF電源アセンブリ(例えば図2Gの1038、1058)に個別に接続され得ることも予期される。RFコイルのそれぞれに送出されるRF電力信号は、所望のプラズマ均一性、ガスラジカルエネルギー、またはプラズマイオン分布を達成するために、相互に調節または設定することが可能である。同様に、生成されるプラズマの均一性は、プロセス条件(例えば電力、圧力、ガス流量、ガス組成)、プラズマ制御デバイスが位置決めされる様式、プラズマ内における基板の位置、および/またはプラズマ制御デバイスの固有の物理特徴が変更されるのに応じて変更されてもよい。一実施形態においては、2つのプラズマ制御デバイス1072A、1072Bのプラズマ生成領域1118A、1118Bに対する送出RFエネルギーの結合をさらに改善するために、アクチュエータ1047およびシステム制御装置1001を使用して、プラズマ生成領域1118A、1118Bに対するコア要素1130の位置を調節および調整することにより、プラズマ生成領域1118A、1118Bに位置するガスに対するRF結合を向上させる。いくつかの構成においては、プラズマ制御デバイス1072Aに対するコア要素1130の位置が、制御され、第2のプラズマ制御デバイス1072Aに対するコア要素1130の位置は、その重要性が二次的なものとなる(すなわちスレーブ)。
一態様においては、プラズマ制御デバイス1072A、1072Bのガス入口1110A、1110Bは、用途に応じてプラズマ制御デバイス1072A、1072Bに種々のプロセスガスまたは洗浄ガスを供給するために、同一のまたは異なる外部ガス源(図示せず)にそれぞれ連結されてもよい。デュアルプラズマ制御デバイス構成により、異なるプラズマ間の高速切り替えが可能となり、これは、堆積または洗浄の実施に関して遠隔プラズマ源を使用するPEALD(プラズマ促進ALD)用途またはCVD用途などのいくつかの用途においては有利となる場合がある。また、デュアルプラズマ制御デバイス構成は、単一のプラズマ制御デバイス内においては望ましい励起が不可能な相互に不適合なガスからのエネルギーガス種を同時に送出することを可能にするのに有用である。
図6Aおよび図6Bは、本発明の一実施形態による、コア要素(例えば参照数字1130A、1130B)に結合された3つのプラズマ制御デバイス(例えば参照数字1072C、1072D、および1072E)を有するプラズマ源1000の断面図を示す概略図である。図示の容易化のために、プロセスチャンバ1020の構成要素は、省略されており、プラズマ制御デバイス1072C、1072D、および1072Eならびに透磁性コア要素1130A、1130Bは、図6Aおよび図6Bにおいては概略的に図示するに過ぎない。しかし、これらの構成要素はそれぞれ、図2A〜図2Gおよび図5に関連して上述したハードウェア構成要素を備えてもよい。一例においては、図6Aまたは図6Bに示すプラズマ制御デバイス1072C、1072D、および1072Eはそれぞれ、図2Bに関連して上述したものと同一の構成要素の全てを備える。図5と同様に、3つオンプラズマ制御デバイス1072C、1072D、および1072Eは、平行に配置され、プラズマブロック(図示せず)の内方リングを貫通して配設されプラズマ制御デバイス1072C、1072D、および1072Eのそれぞれの両側を貫通して延在する共通のコア要素1130A、1130Bを共有する。
一構成においては、コイル113が、コア要素1130A(図6A)または1130B(図6B)の外方部分の周囲に巻き付けられる。同様に、コイルのターン数および位置が、適用するプラズマイオン密度または望ましいプラズマイオン密度に応じて、図5に関連して見受けられる説明と同様の態様で調節されてもよい。プラズマ制御デバイス1072C、1072D、および1072Eのそれぞれに形成されたガス入口(図示せず)は、同一のまたは異なる外部ガス源(図示せず)にそれぞれ連結されてもよく、それにより、上述のように、所望のガスラジカルまたはガスイオンを形成するために、同一のまたは異なる組成を有するプラズマを形成するためのプロセスガスの高速切り替えが可能となる。
プラズマ源1000の一実施形態においては、3つのプラズマ制御デバイス1072C、1072D、および1072Eは、コア要素の異なる部分に異なる直径を有する共通のコア要素(例えば参照数字1130A、1130B)を共有する。例えば、図6Aにおいては、第1のプラズマ制御デバイス1072C(すなわち最も左側または最も外側のもの)を貫通して延在するコア要素1130Aの直径は、第2のプラズマ制御デバイス1072Dおよび第3のプラズマ制御デバイス1072Eを貫通して延在するコア要素1130Aの領域よりも大きくてもよい。あるいは、図6Bに示すように、第2のプラズマ制御デバイス1072D(すなわち中央のもの)を貫通して延在するコア要素1130Bの直径が、第1のプラズマ制御デバイス1072Cおよび第3のプラズマ制御デバイス1072Eを貫通して延在するコア要素1130Bの部分の直径よりも小さくてもよい。コア要素の外方表面とプラズマ生成領域との間の距離が、生成されたRF場のプラズマに対するプラズマ結合の効率に影響を及ぼすため、同様に構成されたプラズマブロックに対するコア要素の直径を変更することによって、プラズマ源のそれぞれにおける結合効率およびプラズマ密度が異なるものとなる。コア要素の直径、またはプラズマブロック中に形成されるプラズマ生成領域の形状もしくは直径、またはプラズマ制御デバイス1072C、1072D、および1072Eのそれぞれのプラズマ特性が異なることにより、プラズマ制御デバイス1072C、1072D、および1072Eのそれぞれにおいて見受けられるプラズマ生成領域のそれぞれに生じる異なるプラズマ特徴は、各プラズマ制御デバイスに送出される特定のタイプのプロセスガスまたはプロセスガス混合気に対して最適化することが可能である。コア要素の直径、またはコア要素の外方表面が位置決めされるプラズマ生成領域からの相対距離に応じて、異なるエネルギーが、プラズマ空洞部内に結合されてもよい。
したがって、プラズマ制御デバイス1072C、1072D、および1072Eにおけるハードウェア構成、ガス組成、および/またはガス流量の差異によりプラズマ生成領域のそれぞれにおいて生ずるプラズマ特徴の差異を利用して、異なる特徴を有するガスラジカルおよび/またはガスイオンを生成し、チャンバ蓋1010中の開口1011C、1011D、および1011Eを通してプロセスチャンバ1020のプロセス領域1128に送出することが可能である。
前述の内容は、本発明の実施形態を対象とするものであるが、本発明の基本的範囲から逸脱することなく本発明の他のおよびさらなる実施形態を考案することができ、本発明の範囲は、添付の特許請求の範囲によって決定される。

Claims (25)

  1. 処理チャンバに結合されたプラズマ源であって、
    第1の端部、第2の端部、およびコア要素中心軸を有するコア要素と、
    第1の環状形状プラズマ生成領域を少なくとも部分的に密閉する1つまたは複数の表面を有する第1のプラズマブロックであって、前記第1の環状形状プラズマ生成領域は、前記コア要素の第1の部分の周囲に位置する、第1のプラズマブロックと、
    前記コア要素の一部分を覆って配設されたコイルと
    を備える、プラズマ源。
  2. 前記第1の環状形状プラズマ生成領域と流体連通状態にある第1の入口、および前記コア要素の第2の部分の周囲に位置する第2の環状形状プラズマ生成領域と流体連通状態にある第2の入口とを有する、前記処理チャンバの壁部であって、前記第1の入口および前記第2の入口は共に、前記処理チャンバの処理領域と流体連通状態にある、壁部
    をさらに備える、請求項1に記載のプラズマ源。
  3. 前記コア要素の前記第1の部分は、第1の直径を有し、前記コア要素の前記第2の部分は、第2の直径を有し、前記第1の直径と前記第2の直径とが異なる、請求項2に記載のプラズマ源。
  4. 入口および出口を有するハウジングであって、前記コア要素は、このハウジングの内部領域内に配設される、ハウジングと、
    温度制御される流体を前記ハウジングの前記入口、前記内部領域、および前記出口を通して流すように構成された熱交換アセンブリと
    をさらに備える、請求項1に記載のプラズマ源。
  5. 前記第1の環状形状プラズマ生成領域に対して前記コア要素を移動させるように構成されたアクチュエータ
    をさらに備える、請求項1に記載のプラズマ源。
  6. 前記第1のプラズマブロックは、酸化アルミニウム(Al)、窒化アルミニウム(AlN)、酸化ベリリウム(BeO)、および窒化ホウ素(BN)からなる群より選択される材料を含む、請求項1に記載のプラズマ源。
  7. 前記コイルは、前記第1の端部にて、または前記第1の端部と前記第2の端部との間に位置する中間位置にて、前記コア要素の一部分を覆って配設される、請求項1に記載のプラズマ源。
  8. 前記コア要素と前記第1の環状形状プラズマ生成領域との間に配設された複数の永久磁石をさらに備える、請求項1に記載のプラズマ源。
  9. 前記コイルに結合されたRF電源と、
    前記第1の環状形状プラズマ生成領域の少なくとも一部分の周囲に配設され、DC電源に結合された、プラズマ再分布コイルと
    をさらに備える、請求項1に記載のプラズマ源。
  10. 前記コア要素は、バー形状を有する、請求項1に記載のプラズマ源。
  11. 前記第1の環状形状プラズマ生成領域に隣接して配設された電極と、
    前記コイルおよび前記電極に電気的に結合された第1の電源と
    をさらに備える、請求項1に記載のプラズマ源。
  12. 処理チャンバに結合されたプラズマ源であって、
    第1の端部、第2の端部、およびコア要素中心軸を有するコア要素と、
    第1の環状形状プラズマ生成領域を少なくとも部分的に密閉する1つまたは複数の表面を有する第1のプラズマブロックであって、前記第1の環状形状プラズマ生成領域は、前記コア要素の第1の部分の周囲に位置し、前記コア要素中心軸にほぼ一致する中心軸を有する、第1のプラズマブロックと、
    第2の環状形状プラズマ生成領域を少なくとも部分的に密閉する1つまたは複数の表面を有する第2のプラズマブロックであって、前記第2の環状形状プラズマ生成領域は、前記コア要素の第2の部分の周囲に位置し、前記コア要素中心軸にほぼ一致する中心軸を有する、第2のプラズマブロックと、
    前記コア要素の一部分を覆って配設されたコイルと
    を備える、プラズマ源。
  13. 前記第1のプラズマブロックの表面に結合された冷却プレートをさらに備える、請求項12に記載のプラズマ源。
  14. 前記第1のプラズマブロック中に形成された第1のガス出口であって、この第1のガス出口は、前記第1の環状形状プラズマ生成領域に形成されるガスラジカルを受け、前記処理チャンバの処理領域に前記ガスラジカルを送出するように構成される、第1のガス出口と、
    前記第2のプラズマブロック中に形成された第2のガス出口であって、この第2のガス出口は、前記第2の環状形状プラズマ生成領域に形成されるガスラジカルを受け、前記処理チャンバの前記処理領域に前記ガスラジカルを送出するように構成される、第2のガス出口と
    をさらに備える、請求項12に記載のプラズマ源。
  15. 入口および出口を有するハウジングであって、前記コア要素は、このハウジングの内部領域内に配設される、ハウジングと、
    温度制御される流体を前記ハウジングの前記入口、前記内部領域、および前記出口を通して流すように構成された熱交換アセンブリと
    をさらに備える、請求項12に記載のプラズマ源。
  16. 前記第1の環状形状プラズマ生成領域に対して前記コア要素を移動させるように構成されたアクチュエータと、
    前記第1の環状形状プラズマ生成領域に対して前記コア要素の位置を自動的に調節するように構成されたシステム制御装置と
    をさらに備える、請求項12に記載のプラズマ源。
  17. 前記第1のプラズマブロックおよび前記第2のプラズマブロックはそれぞれ、酸化アルミニウム(Al)、窒化アルミニウム(AlN)、酸化ベリリウム(BeO)、および窒化ホウ素(BN)からなる群より選択される材料を含む、請求項12に記載のプラズマ源。
  18. 前記コイルは、前記第1の端部にて、または前記第1の端部と前記第2の端部との間に位置する中間位置にて、前記コア要素の一部分を覆って配設される、請求項12に記載のプラズマ源。
  19. 前記コア要素の一部分を覆って配設された前記コイルに結合されるRF電源と、
    前記第1の環状形状プラズマ生成領域の少なくとも一部分の周囲に配設され、DC電源に結合された、プラズマ再分布コイルと
    をさらに備える、請求項12に記載のプラズマ源。
  20. エネルギーガス原子を形成する方法であって、
    第1のプラズマブロックの1つまたは複数の表面により少なくとも部分的に密閉される第1の環状形状プラズマ生成領域内にプロセスガスを流すステップであって、前記第1の環状形状プラズマ生成領域は、コア要素の一部分の周囲に位置する、ステップと、
    前記コア要素の一部分の周囲に巻き付けられたコイルにRF電力を送出することにより、前記第1の環状形状プラズマ生成領域にプラズマを生成するステップと
    を含む、方法。
  21. 処理チャンバに結合されたプラズマ源であって、
    第1の端部、第2の端部、およびコア要素中心軸を有するコア要素と、
    第1の環状形状プラズマ生成領域を少なくとも部分的に密閉する1つまたは複数の表面を有する第1のプラズマブロックであって、前記第1の環状形状プラズマ生成領域は、前記コア要素の第1の部分の周囲に位置する、第1のプラズマブロックと、
    前記コア要素の第1の部分を覆って配設された第1のコイルと、
    前記コア要素の第2の部分を覆って配設された第2のコイルであって、前記第1のプラズマブロックは、前記コア要素の前記第1の部分と前記コア要素の前記第2の部分との間に配設される、第2のコイルと
    を備える、プラズマ源。
  22. 前記第1のコイルおよび前記第2のコイルは、RF電源に直列で接続される、請求項21に記載のプラズマ源。
  23. 前記第1のコイルおよび前記第2のコイルは、RF電源に並列で接続される、請求項21に記載のプラズマ源。
  24. 前記第1のコイルおよび前記第2のコイルは、前記コイル要素の周囲に同一方向に巻き付けられる、請求項21に記載のプラズマ源。
  25. 前記第1のプラズマブロックは、前記第1のコイルと前記第2のコイルとの間に対称的に位置決めされる、請求項21に記載のプラズマ源。
JP2012540061A 2009-11-18 2010-11-18 プラズマ源デザイン Pending JP2013511812A (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US26250509P 2009-11-18 2009-11-18
US61/262,505 2009-11-18
US12/905,940 US8742665B2 (en) 2009-11-18 2010-10-15 Plasma source design
US12/905,940 2010-10-15
PCT/US2010/057274 WO2011063146A2 (en) 2009-11-18 2010-11-18 Plasma source design

Publications (2)

Publication Number Publication Date
JP2013511812A true JP2013511812A (ja) 2013-04-04
JP2013511812A5 JP2013511812A5 (ja) 2014-01-09

Family

ID=44010797

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012540061A Pending JP2013511812A (ja) 2009-11-18 2010-11-18 プラズマ源デザイン

Country Status (6)

Country Link
US (1) US8742665B2 (ja)
JP (1) JP2013511812A (ja)
KR (2) KR20120089752A (ja)
CN (1) CN102714913A (ja)
TW (2) TWI544837B (ja)
WO (2) WO2011062755A2 (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014209433A (ja) * 2013-03-28 2014-11-06 パナソニック株式会社 プラズマ処理装置及び方法
KR20160078388A (ko) * 2013-10-25 2016-07-04 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크. 기판 전하 중성화를 위한 핀치된 플라즈마 브리지 플러드 건
KR20160110183A (ko) * 2015-03-13 2016-09-21 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 스큐를 제어하기 위한 원격 플라즈마 소스
JP2017515286A (ja) * 2014-03-06 2017-06-08 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ホール効果が促進された容量結合プラズマ源、軽減システム、および真空処理システム
KR102143989B1 (ko) * 2019-10-25 2020-08-12 재단법인 철원플라즈마 산업기술연구원 질화붕소 나노튜브 제조 장치

Families Citing this family (397)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9206512B2 (en) * 2011-06-21 2015-12-08 Applied Materials, Inc. Gas distribution system
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10271416B2 (en) * 2011-10-28 2019-04-23 Applied Materials, Inc. High efficiency triple-coil inductively coupled plasma source with phase control
US8933628B2 (en) * 2011-10-28 2015-01-13 Applied Materials, Inc. Inductively coupled plasma source with phase control
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8944003B2 (en) * 2012-11-16 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Remote plasma system and method
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
KR101498192B1 (ko) * 2013-01-11 2015-03-04 주식회사 테라텍 원격 플라즈마 발생기
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8970114B2 (en) * 2013-02-01 2015-03-03 Lam Research Corporation Temperature controlled window of a plasma processing chamber component
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
JP6074668B2 (ja) * 2013-03-28 2017-02-08 パナソニックIpマネジメント株式会社 プラズマ処理装置及び方法
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US20150083042A1 (en) * 2013-09-26 2015-03-26 Applied Materials, Inc. Rotatable substrate support having radio frequency applicator
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
KR101527374B1 (ko) * 2013-12-06 2015-06-09 주식회사 테라텍 원격 라디칼 드라이 클리닝 장치 및 이를 이용한 클리닝 방법
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9230780B2 (en) 2014-03-06 2016-01-05 Applied Materials, Inc. Hall effect enhanced capacitively coupled plasma source
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US10192717B2 (en) 2014-07-21 2019-01-29 Applied Materials, Inc. Conditioning remote plasma source for enhanced performance having repeatable etch and deposition rates
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
JP6473889B2 (ja) * 2014-09-19 2019-02-27 パナソニックIpマネジメント株式会社 プラズマ処理装置及び方法、電子デバイスの製造方法
US10083818B2 (en) * 2014-09-24 2018-09-25 Applied Materials, Inc. Auto frequency tuned remote plasma source
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10490429B2 (en) 2014-11-26 2019-11-26 Applied Materials, Inc. Substrate carrier using a proportional thermal fluid delivery system
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) * 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11384432B2 (en) 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10256075B2 (en) * 2016-01-22 2019-04-09 Applied Materials, Inc. Gas splitting by time average injection into different zones by fast gas valves
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10431425B2 (en) * 2016-02-23 2019-10-01 Tokyo Electron Limited Poly-phased inductively coupled plasma source
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10453657B2 (en) * 2016-07-08 2019-10-22 Applied Materials, Inc. Apparatus for depositing metal films with plasma treatment
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) * 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10435787B2 (en) 2016-11-14 2019-10-08 Applied Materials, Inc. Hydrogen partial pressure control in a vacuum process chamber
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10777394B2 (en) 2016-12-09 2020-09-15 Applied Materials, Inc. Virtual sensor for chamber cleaning endpoint
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10840068B2 (en) * 2017-02-15 2020-11-17 Yield Engineering Systems, Inc. Plasma spreading apparatus and method of spreading plasma in process ovens
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
JP6544374B2 (ja) * 2017-03-24 2019-07-17 Tdk株式会社 磁気センサ
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
DE102018204585A1 (de) * 2017-03-31 2018-10-04 centrotherm international AG Plasmagenerator, Plasma-Behandlungsvorrichtung und Verfahren zum gepulsten Bereitstellen von elektrischer Leistung
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10991550B2 (en) * 2018-09-04 2021-04-27 Lam Research Corporation Modular recipe controlled calibration (MRCC) apparatus used to balance plasma in multiple station system
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US10832893B2 (en) 2019-03-25 2020-11-10 Recarbon, Inc. Plasma reactor for processing gas
US20200312629A1 (en) * 2019-03-25 2020-10-01 Recarbon, Inc. Controlling exhaust gas pressure of a plasma reactor for plasma stability
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US20210268596A1 (en) * 2020-02-28 2021-09-02 The Esab Group Inc. Electromagnetic components cooling apparatus, method, and configuration
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11710630B2 (en) * 2020-04-23 2023-07-25 Applied Materials, Inc. Plasma block with integrated cooling
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210136481A (ko) * 2020-05-07 2021-11-17 삼성전자주식회사 플라즈마 공정 시스템, 그 시스템에서의 플라즈마 제어방법, 및 그 제어방법을 포함한 반도체 소자 제조방법
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
CN111470481B (zh) * 2020-05-19 2023-09-19 四川大学 一种等离子体反应雾化制备高纯氮化铝球形粉末的方法
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN114836736A (zh) * 2021-02-01 2022-08-02 江苏菲沃泰纳米科技股份有限公司 等离子体镀膜设备和镀膜方法
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06290897A (ja) * 1993-03-31 1994-10-18 Shibaura Eng Works Co Ltd プラズマ発生装置
JPH08325739A (ja) * 1995-05-30 1996-12-10 Anelva Corp プラズマ処理装置
JPH08330096A (ja) * 1994-03-17 1996-12-13 John S Ogle 変動する磁極を用いた平坦プラズマ発生装置
JPH09250986A (ja) * 1996-03-16 1997-09-22 Horiba Ltd Icp発光分光分析装置の点火回路
JPH11135296A (ja) * 1997-07-14 1999-05-21 Applied Materials Inc マルチモードアクセスを有する真空処理チャンバ
JP2002190471A (ja) * 2000-05-25 2002-07-05 Applied Materials Inc プラズマ処理のためのトロイダルプラズマ源
JP2003502824A (ja) * 1999-06-18 2003-01-21 アプライド マテリアルズ インコーポレイテッド 複数の小型内部誘導アンテナを有するプラズマリアクター
JP2003323997A (ja) * 2002-04-30 2003-11-14 Lam Research Kk プラズマ安定化方法およびプラズマ装置
JP2004506339A (ja) * 2000-08-11 2004-02-26 アプライド マテリアルズ インコーポレイテッド 外部から励磁されるトロイダルプラズマ源
JP2004165594A (ja) * 2002-11-11 2004-06-10 Samsung Electronics Co Ltd ガスプラズマ生成方法及びその装置、プラズマ生成用ガス組成物及びこれを利用した半導体装置製造方法
JP2007294414A (ja) * 2006-04-24 2007-11-08 New Power Plasma Co Ltd 多重マグネチックコアが結合された誘導結合プラズマ反応器

Family Cites Families (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3509490A (en) 1967-04-26 1970-04-28 Ibm Inductive excitation system for plasma
US4368092A (en) 1981-04-02 1983-01-11 The Perkin-Elmer Corporation Apparatus for the etching for semiconductor devices
US4431898A (en) 1981-09-01 1984-02-14 The Perkin-Elmer Corporation Inductively coupled discharge for plasma etching and resist stripping
JPS58186364A (ja) * 1982-04-21 1983-10-31 Matsushita Electric Ind Co Ltd Xyリニアモ−タ装置
NL8601824A (nl) * 1986-07-11 1988-02-01 Hauzer Holding Werkwijze en inrichting voor het met een geleidend plasmakanaal ontsteken van een boog.
US5106827A (en) 1989-09-18 1992-04-21 The Perkin Elmer Corporation Plasma assisted oxidation of perovskites for forming high temperature superconductors using inductively coupled discharges
US5197818A (en) * 1990-06-21 1993-03-30 Johnson David W Tower constructed of pultruded composites
US5290382A (en) 1991-12-13 1994-03-01 Hughes Aircraft Company Methods and apparatus for generating a plasma for "downstream" rapid shaping of surfaces of substrates and films
JP2972477B2 (ja) 1993-01-27 1999-11-08 日本電気株式会社 Rf・ecrプラズマエッチング装置
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US6471822B1 (en) 1996-01-24 2002-10-29 Applied Materials, Inc. Magnetically enhanced inductively coupled plasma reactor with magnetically confined plasma
US6214162B1 (en) 1996-09-27 2001-04-10 Tokyo Electron Limited Plasma processing apparatus
US5969470A (en) * 1996-11-08 1999-10-19 Veeco Instruments, Inc. Charged particle source
JP2868120B2 (ja) * 1997-06-11 1999-03-10 川崎重工業株式会社 電子ビーム励起プラズマ発生装置
US7569790B2 (en) 1997-06-26 2009-08-04 Mks Instruments, Inc. Method and apparatus for processing metal bearing gases
US6150628A (en) 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US7166816B1 (en) 1997-06-26 2007-01-23 Mks Instruments, Inc. Inductively-coupled torodial plasma source
US6388226B1 (en) 1997-06-26 2002-05-14 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6815633B1 (en) 1997-06-26 2004-11-09 Applied Science & Technology, Inc. Inductively-coupled toroidal plasma source
US6924455B1 (en) 1997-06-26 2005-08-02 Applied Science & Technology, Inc. Integrated plasma chamber and inductively-coupled toroidal plasma source
US5998933A (en) 1998-04-06 1999-12-07 Shun'ko; Evgeny V. RF plasma inductor with closed ferrite core
US6184489B1 (en) 1998-04-13 2001-02-06 Nec Corporation Particle-removing apparatus for a semiconductor device manufacturing apparatus and method of removing particles
US6204607B1 (en) * 1998-05-28 2001-03-20 Applied Komatsu Technology, Inc. Plasma source with multiple magnetic flux sources each having a ferromagnetic core
US6592709B1 (en) * 2000-04-05 2003-07-15 Applied Materials Inc. Method and apparatus for plasma processing
US6494986B1 (en) 2000-08-11 2002-12-17 Applied Materials, Inc. Externally excited multiple torroidal plasma source
US6453842B1 (en) 2000-08-11 2002-09-24 Applied Materials Inc. Externally excited torroidal plasma source using a gas distribution plate
US7137354B2 (en) * 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
JP4717295B2 (ja) 2000-10-04 2011-07-06 株式会社半導体エネルギー研究所 ドライエッチング装置及びエッチング方法
US6755150B2 (en) 2001-04-20 2004-06-29 Applied Materials Inc. Multi-core transformer plasma source
US20030015965A1 (en) 2002-08-15 2003-01-23 Valery Godyak Inductively coupled plasma reactor
US7255774B2 (en) * 2002-09-26 2007-08-14 Tokyo Electron Limited Process apparatus and method for improving plasma production of an inductively coupled plasma
KR100500852B1 (ko) * 2002-10-10 2005-07-12 최대규 원격 플라즈마 발생기
KR100488348B1 (ko) * 2002-11-14 2005-05-10 최대규 플라즈마 프로세스 챔버 및 시스템
US6872909B2 (en) 2003-04-16 2005-03-29 Applied Science And Technology, Inc. Toroidal low-field reactive gas and plasma source having a dielectric vacuum vessel
KR100603646B1 (ko) * 2004-08-10 2006-07-24 주식회사 뉴파워 프라즈마 대기압 다중 플라즈마 발생기 및 이를 이용한 대기압플라즈마 처리 시스템
EP1727186B1 (en) * 2005-05-23 2012-01-25 New Power Plasma Co., Ltd. Plasma chamber with discharge inducing bridge
KR100793457B1 (ko) * 2006-04-24 2008-01-14 최대규 다중 방전실을 갖는 플라즈마 반응기
US7405410B2 (en) * 2006-07-14 2008-07-29 Mark Morehouse Method and apparatus for confining, neutralizing, compressing and accelerating an ion field
US7837826B2 (en) * 2006-07-18 2010-11-23 Lam Research Corporation Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof
JP2008047620A (ja) 2006-08-11 2008-02-28 Mitsubishi Heavy Ind Ltd プラズマ処理方法、及び、プラズマ処理装置
KR101349195B1 (ko) 2007-01-15 2014-01-09 최대규 코어 커버를 구비한 유도 결합 플라즈마 반응기
US7872523B2 (en) 2008-07-01 2011-01-18 Mks Instruments, Inc. Radio frequency (RF) envelope pulsing using phase switching of switch-mode power amplifiers
KR100961704B1 (ko) * 2008-07-10 2010-06-10 한국타이어 주식회사 전기 가류기 드럼의 가스 순환장치

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06290897A (ja) * 1993-03-31 1994-10-18 Shibaura Eng Works Co Ltd プラズマ発生装置
JPH08330096A (ja) * 1994-03-17 1996-12-13 John S Ogle 変動する磁極を用いた平坦プラズマ発生装置
JPH08325739A (ja) * 1995-05-30 1996-12-10 Anelva Corp プラズマ処理装置
JPH09250986A (ja) * 1996-03-16 1997-09-22 Horiba Ltd Icp発光分光分析装置の点火回路
JPH11135296A (ja) * 1997-07-14 1999-05-21 Applied Materials Inc マルチモードアクセスを有する真空処理チャンバ
JP2003502824A (ja) * 1999-06-18 2003-01-21 アプライド マテリアルズ インコーポレイテッド 複数の小型内部誘導アンテナを有するプラズマリアクター
JP2002190471A (ja) * 2000-05-25 2002-07-05 Applied Materials Inc プラズマ処理のためのトロイダルプラズマ源
JP2004506339A (ja) * 2000-08-11 2004-02-26 アプライド マテリアルズ インコーポレイテッド 外部から励磁されるトロイダルプラズマ源
JP2003323997A (ja) * 2002-04-30 2003-11-14 Lam Research Kk プラズマ安定化方法およびプラズマ装置
JP2004165594A (ja) * 2002-11-11 2004-06-10 Samsung Electronics Co Ltd ガスプラズマ生成方法及びその装置、プラズマ生成用ガス組成物及びこれを利用した半導体装置製造方法
JP2007294414A (ja) * 2006-04-24 2007-11-08 New Power Plasma Co Ltd 多重マグネチックコアが結合された誘導結合プラズマ反応器

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014209433A (ja) * 2013-03-28 2014-11-06 パナソニック株式会社 プラズマ処理装置及び方法
KR20160078388A (ko) * 2013-10-25 2016-07-04 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크. 기판 전하 중성화를 위한 핀치된 플라즈마 브리지 플러드 건
JP2016534495A (ja) * 2013-10-25 2016-11-04 ヴァリアン セミコンダクター イクイップメント アソシエイツ インコーポレイテッド 基板電荷中和用ピンチ・プラズマブリッジ・フラッドガン
KR102334205B1 (ko) 2013-10-25 2021-12-02 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크. 기판 전하 중성화를 위한 핀치된 플라즈마 브리지 플러드 건
JP2017515286A (ja) * 2014-03-06 2017-06-08 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ホール効果が促進された容量結合プラズマ源、軽減システム、および真空処理システム
KR20160110183A (ko) * 2015-03-13 2016-09-21 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 스큐를 제어하기 위한 원격 플라즈마 소스
KR102530953B1 (ko) 2015-03-13 2023-05-09 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 스큐를 제어하기 위한 원격 플라즈마 소스
KR102143989B1 (ko) * 2019-10-25 2020-08-12 재단법인 철원플라즈마 산업기술연구원 질화붕소 나노튜브 제조 장치

Also Published As

Publication number Publication date
TW201143551A (en) 2011-12-01
US8742665B2 (en) 2014-06-03
WO2011062755A3 (en) 2011-09-15
CN102714913A (zh) 2012-10-03
KR20170097786A (ko) 2017-08-28
TW201143552A (en) 2011-12-01
KR101920842B1 (ko) 2018-11-21
WO2011063146A3 (en) 2011-11-24
US20110115378A1 (en) 2011-05-19
TWI544837B (zh) 2016-08-01
WO2011063146A2 (en) 2011-05-26
WO2011062755A2 (en) 2011-05-26
KR20120089752A (ko) 2012-08-13

Similar Documents

Publication Publication Date Title
JP2013511812A (ja) プラズマ源デザイン
US8771538B2 (en) Plasma source design
US7952048B2 (en) Plasma source with discharge inducing bridge and plasma processing system using the same
US10083818B2 (en) Auto frequency tuned remote plasma source
JP3555966B2 (ja) 多ゾーン・プラズマ処理方法
US5591268A (en) Plasma process with radicals
KR101349195B1 (ko) 코어 커버를 구비한 유도 결합 플라즈마 반응기
TWI673385B (zh) 使用上游電漿源來進行的後腔室減污
JP2004501277A (ja) マグネトロンスパッタリングを向上させる誘導プラズマループ
JP2011071121A (ja) 材料処理のための新規なrfプラズマソース
JP2007317661A (ja) プラズマ反応器
US7217337B2 (en) Plasma process chamber and system
KR100803794B1 (ko) 마그네틱 코어 블록에 매설된 플라즈마 방전 튜브를 구비한유도 결합 플라즈마 소스
JP3254069B2 (ja) プラズマ装置
JP2004533096A (ja) 誘導結合高密度プラズマ源
KR100862685B1 (ko) 다중 배열된 방전실을 갖는 플라즈마 반응기 및 이를이용한 플라즈마 처리 시스템
KR100772451B1 (ko) 플라즈마 처리 챔버 및 플라즈마 처리 시스템
KR101577272B1 (ko) 롤투롤 공정을 위한 플라즈마 처리장치
KR20170139759A (ko) 균일한 가스 분배를 위한 가스 분배 플레이트를 포함하는 플라즈마 챔버
US20240162011A1 (en) Addition of external ultraviolet light for improved plasma strike consistency
KR101281191B1 (ko) 유도 결합 플라즈마 반응기
KR20090073327A (ko) 고밀도 원격 플라즈마 처리 장치
KR20170133995A (ko) 페라이트 코어의 설치 위치를 변경한 플라즈마 챔버
KR102589741B1 (ko) 이온 분해율을 향상시킨 플라즈마 발생기
JP2023506866A (ja) プラズマの生成に使用するための方法および装置

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131115

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20131115

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20141010

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20141028

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20150123

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20150227

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150311

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20150630