KR102530953B1 - 플라즈마 스큐를 제어하기 위한 원격 플라즈마 소스 - Google Patents

플라즈마 스큐를 제어하기 위한 원격 플라즈마 소스 Download PDF

Info

Publication number
KR102530953B1
KR102530953B1 KR1020160028263A KR20160028263A KR102530953B1 KR 102530953 B1 KR102530953 B1 KR 102530953B1 KR 1020160028263 A KR1020160028263 A KR 1020160028263A KR 20160028263 A KR20160028263 A KR 20160028263A KR 102530953 B1 KR102530953 B1 KR 102530953B1
Authority
KR
South Korea
Prior art keywords
plasma
region
axis
core element
depth
Prior art date
Application number
KR1020160028263A
Other languages
English (en)
Other versions
KR20160110183A (ko
Inventor
압둘 아지즈 카자
모함마드 아윱
라메쉬 복카
제이 디. 2세 핀슨
주안 카를로스 로차-알버레즈
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20160110183A publication Critical patent/KR20160110183A/ko
Application granted granted Critical
Publication of KR102530953B1 publication Critical patent/KR102530953B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32201Generating means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/327Arrangements for generating the plasma

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

제 1 축을 따라 제 1 단부로부터 제 2 단부로 연장하는 코어 엘리먼트를 포함하는 플라즈마 소스가 제공된다. 플라즈마 소스는 코어 엘리먼트의 각각의 하나 또는 그 초과의 제 1 부분들 주위에 배치된 하나 또는 그 초과의 코일들을 더 포함한다. 플라즈마 소스는, 코어 엘리먼트의 제 2 부분 주위에 배치된 환형 플라즈마-생성 용적을 적어도 부분적으로 에워싸는 하나 또는 그 초과의 내부 벽들을 갖는 플라즈마 블록을 더 포함한다. 환형 플라즈마-생성 용적은 제 1 축 상에 포지셔닝되는 제 1 점에 대해 수직인 복수의 수직 축들을 중심으로 대칭인 제 1 영역을 포함하고, 제 1 영역은 제 1 축에 대해 평행한 방향의 폭 및 제 1 축으로부터 수직한 방향의 깊이를 갖는다. 제 1 영역은 제 1 영역의 깊이보다 적어도 3배 더 큰 폭을 갖는다.

Description

플라즈마 스큐를 제어하기 위한 원격 플라즈마 소스{REMOTE PLASMA SOURCE FOR CONTROLLING PLASMA SKEW}
[0001] 본원에 개시된 실시예들은 일반적으로, 플라즈마 프로세싱 시스템들 및 재료들, 그리고 플라즈마 프로세싱 시스템들에서 플라즈마 균일성을 제어하기 위한 장치에 관한 것이다.
[0002] 플라즈마 프로세싱 챔버들은 다양한 전자 디바이스 제조 프로세스들, 이를테면 에칭 프로세스들, 화학 기상 증착(CVD) 프로세스들, 및 기판들 상의 전자 디바이스들의 제조에 관련된 다른 프로세스들에서 정기적으로(regularly) 활용된다. 그러나, 기판을 프로세싱하는 챔버에서 플라즈마를 생성하는 것은 때때로 바람직하지 않거나 비용 효율적이지 않다. 그러한 경우들에, 원격 플라즈마 소스(RPS)가 사용될 수 있다.
[0003] 종래의 RPS 디자인들은 전통적으로, 플라즈마-생성 영역의 부분을 둘러싸는 폐쇄된 자기 투과성 코어(closed magnetically permeable core) 주위에 랩핑된(wrapped) 권선들을 갖는 폐쇄 루프 RF 소스 구성을 사용한다. 최근에는, 개방 루프 RF 소스 구성들이 개발되었다. 개방 루프 RF 소스 구성들은, 자기 투과성 코어, 이를테면 자기 투과성 선형 로드(linear rod)를 둘러싸는 환형 프로세싱 용적을 사용하며, 자기 투과성 코어 주위에는 권선들이 랩핑된다. 개방 루프 디자인들은 자기 투과성 코어 주위에 360도로 플라즈마를 생성할 수 있는 장점을 가지며, 이는 폐쇄 루프 디자인들에 비해 효율성들을 증가시킬 수 있다.
[0004] 개방 루프 디자인들은 장점들을 갖지만, 플라즈마 균일성을 위한 목표들을 달성하는 것이 어려울 수 있다. 예를 들면, 제 1 수평 방향으로 연장하는 선형 자기 투과성 코어를 둘러싸는 환형 플라즈마 챔버를 사용하는 개방 루프 디자인들에서, RPS의 플라즈마 밀도는 그러한 제 1 수평 방향으로 왜곡되는(skewed) 하나 또는 그 초과의 피크들(peaks)을 가질 수 있다. 환형 플라즈마 챔버의 중심에 대해 수평한 방향에 걸쳐서 플라즈마 밀도가 집중되지 않거나 균일하지 않다면, 플라즈마-함유 또는 라디칼 함유 가스가 프로세스 챔버에 균일하게 공급되지 않을 것이며, 이는 프로세스 챔버에서 프로세싱되는 기판 상에 달성되는 프로세스 결과들의 불균일성들을 야기한다. 또한, 플라즈마 챔버에 대한 코일 위치의 조정들과 같은, RPS 하드웨어의 구성에 대한 작은(minor) 조정들이 이루어지는 경우, 수평 방향들에서 플라즈마 밀도의 균일성의 드라마틱한 시프트들(dramatic shifts)이 종종 관찰된다.
[0005] 따라서, 플라즈마 챔버에서 플라즈마 균일성을 개선하는 개방 루프 RF 소스 구성이 요구된다.
[0006] 본원에서 개시되는 실시예들은 일반적으로, 플라즈마 프로세싱 시스템들 및 재료들, 그리고 플라즈마 프로세싱 시스템들에서 플라즈마 균일성을 제어하기 위한 장치에 관한 것이다. 일 실시예에서, 프로세스 챔버에 커플링되는 플라즈마 소스가 제공된다. 플라즈마 소스는 제 1 축을 따라 제 1 단부로부터 제 2 단부로 연장하는 코어 엘리먼트를 포함한다. 플라즈마 소스는, 코어 엘리먼트의 각각의 하나 또는 그 초과의 제 1 부분들 주위에 배치되는 하나 또는 그 초과의 코일들을 더 포함한다. 플라즈마 소스는, 코어 엘리먼트의 제 2 부분 주위에 배치되는 환형 플라즈마-생성 용적을 적어도 부분적으로 에워싸는 하나 또는 그 초과의 내부 벽들(interior walls)을 갖는 플라즈마 블록을 더 포함한다. 환형 플라즈마-생성 용적은, 제 1 축을 따라 포지셔닝된 제 1 점에서 제 1 축에 수직인 복수의 수직 축들을 중심으로 대칭인 제 1 영역을 포함하고, 제 1 영역은 제 1 축에 대해 평행한 방향의 폭 및 제 1 축으로부터 수직한 방향의 깊이를 갖는다. 제 1 영역의 폭은, 제 1 축 상에 포지셔닝된 제 1 점으로부터의 깊이가 증가함에 따라 증가한다. 제 1 영역은, 내부 벽과 수직 축들 중 하나의 교차점에 위치된 중심점을 가지며, 중심점은 제 1 축 상에 포지셔닝된 제 1 점으로부터의 제 1 깊이이다. 제 1 영역은 내부 벽들 중 하나 또는 그 초과의 내부 벽 상의 제 1 위치와 제 2 위치 사이의 제 1 폭을 더 가지며, 제 1 폭은 제 1 축에 대해 평행하고, 제 1 폭은 제 1 축 상에 포지셔닝된 제 1 점으로부터의 제 2 깊이이다. 제 1 영역은 제 1 깊이와 제 2 깊이 사이의 거리에 미치는(spanning) 제 3 깊이를 가지며, 제 1 폭은 제 3 깊이보다 적어도 3배를 더 크다.
[0007] 다른 실시예에서, 프로세스 챔버에 커플링되는 플라즈마 소스가 제공된다. 플라즈마 소스는 제 1 축을 따라 제 1 단부로부터 제 2 단부로 연장하는 코어 엘리먼트를 포함한다. 플라즈마 소스는 코어 엘리먼트의 각각의 하나 또는 그 초과의 제 1 부분들 주위에 배치된 하나 또는 그 초과의 코일들을 더 포함한다. 플라즈마 소스는, 코어 엘리먼트의 제 2 부분 주위에 배치되는 환형 플라즈마-생성 용적을 적어도 부분적으로 에워싸는 하나 또는 그 초과의 내부 벽들을 갖는 플라즈마 블록을 더 포함한다. 환형 플라즈마-생성 용적은 제 1 축을 따라 포지셔닝된 제 1 점에서 제 1 축에 대해 수직인 복수의 수직 축들을 중심으로 대칭인 제 1 영역을 포함하고, 제 1 영역은 제 1 축에 대해 평행한 방향의 폭 및 제 1 축으로부터 수직한 방향의 깊이를 갖는다. 제 1 영역은, 내부 벽들 중 하나 상의 제 1 위치로부터 제 2 위치로 연장하는 곡선 표면, 및 제 1 위치와 제 2 위치 사이에서 제 1 축에 대해 평행하게 연장하는 제 1 폭을 갖는 경계선(boundary line)에 의해 정의된다. 수직한 축들 중 하나를 따라 위치되는 곡선 표면 상의 점들은, 수직한 축들 중 하나를 따르지 않는(other than) 위치들(positions)에 위치되는 곡선 표면 상의 점들보다 제 1 축 상의 제 1 점에 대해 더 가깝다. 수직 축들 중 하나를 따르는 곡선 표면 상의 중심점(central point)과 경계선 사이의 거리는 제 1 영역 깊이이며, 제 1 폭은 제 1 영역 깊이의 적어도 3배이다. 제 2 영역은, 제 1 영역이 제 1 축에 대해 있는 것보다 제 1 축으로부터 더 멀리 있다.
[0008] 다른 실시예에서, 프로세스 챔버에 커플링되는 플라즈마 소스가 제공된다. 플라즈마 소스는 제 1 축을 따라 제 1 단부로부터 제 2 단부로 연장하는 코어 엘리먼트를 포함한다. 플라즈마 소스는 코어 엘리먼트의 각각의 하나 또는 그 초과의 제 1 부분들 주위에 배치된 하나 또는 그 초과의 코일들을 더 포함한다. 플라즈마 소스는 코어 엘리먼트의 제 2 부분 주위에 배치된 환형 플라즈마-생성 용적을 적어도 부분적으로 에워싸는 하나 또는 그 초과의 내부 벽들을 갖는 플라즈마 블록을 더 포함한다. 환형 플라즈마-생성 용적은 코어 엘리먼트를 둘러싸는 제 1 영역을 포함하고, 제 1 영역은 제 1 축을 따라 포지셔닝된 제 1 점에서 제 1 축에 대해 수직인 복수의 수직 축들을 중심으로 대칭이다. 제 1 영역은, 내부 벽들 중 하나 상의 제 1 위치로부터 제 2 위치로 연장하는 곡선 표면, 및 제 1 위치와 제 2 위치 사이에서 제 1 축에 대해 평행하게 연장하는 제 1 폭을 갖는 경계선에 의해 정의된다. 수직 축들 중 하나를 따라 위치되는 곡선 표면 상의 점들은, 수직 축들 중 하나를 따르지 않는(other than) 위치들(positions)에 위치되는 곡선 표면 상의 점들보다 제 1 축 상의 제 1 점에 대해 더 가깝다. 수직 축들 중 하나를 따르는 곡선 표면 상의 중심점(central point)과 경계선 사이의 거리는 제 1 영역 깊이이며, 제 1 폭은 제 1 영역 깊이의 적어도 3배이다. 환형 플라즈마-생성 용적은, 제 1 영역이 제 1 축에 대해 있는 것보다 제 1 축으로부터 더 멀리 있는 제 2 영역을 더 포함하고, 제 1 영역 및 제 2 영역은 실질적으로 환형 플라즈마-생성 용적의 전부를 형성한다.
[0009] 본 개시물의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된 본 개시물의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 개시물의 단지 전형적인 실시예들을 예시하는 것이므로, 본 개시물의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시물이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0010] 도 1a는 일 실시예에 따른, 프로세스 챔버에 커플링되는 플라즈마 소스의 등각도이다.
[0011] 도 1b는 일 실시예에 따른, 도 1a에 예시된 플라즈마 소스의 횡단면도이다.
[0012] 도 1c는 일 실시예에 따른, 도 1b에 예시된 플라즈마 소스의 부분의 확대도이다.
[0013] 도 2는 다른 실시예에 따른 플라즈마 소스의 부분의 확대도이다.
[0014] 도 3은 다른 실시예에 따른 플라즈마 소스의 횡단면도이다.
[0015] 도 4는 비교 실시예에 따른 플라즈마 블록의 횡단면도이다.
[0016] 이해를 용이하게 하기 위해, 도면들에 공통적인 동일한 엘리먼트들을 지시하기 위해, 가능한 한, 동일한 참조 번호들이 사용되었다. 일 실시예에 개시된 엘리먼트들은 구체적인 설명 없이도 다른 실시예들에 대해 유리하게 활용될 수 있음이 고려된다.
[0017] 본 개시물의 실시예들은 일반적으로 플라즈마 소스를 제공하며, 이 플라즈마 소스는 프로세싱 또는 하드웨어 비용들을 상당히 증가시키지 않으면서, 기판을 프로세싱하기 위한 더 큰 프로세싱 윈도우를 생성하고 그리고 플라즈마 소스의 플라즈마 생성 영역 내에서 균일한 플라즈마를 생성할 수 있다.
[0018] 도 1a는, 일 실시예에 따른, 프로세스 챔버(50)에 커플링되는 플라즈마 소스(100)의 등각도이다. 플라즈마 소스(100)는 프로세스 챔버(50)에 플라즈마 함유 라디칼들 및/또는 이온들을 공급하는데 사용될 수 있다. 프로세스 챔버(50)는, 프로세싱 동안 기판이 상주하는 프로세싱 영역(58)(도 1b)을 에워싸는, 챔버 리드(55) 및 챔버 본체(56)를 포함할 수 있다. 플라즈마 소스(100)는 플라즈마 블록(150)에 커플링되는 돔 부분(104)을 포함한다. 프로세스 챔버(50)에 공급되는 플라즈마는 플라즈마 블록(150) 내에서 생성될 수 있다. 플라즈마를 형성하는데 사용되는 가스는, 가스 유입구(106)를 통해 플라즈마 블록(150)의 환형 플라즈마-생성 용적(158)(도 1b)에 공급될 수 있다. 측면 커버들(121, 122)과 같은 측면 커버들이 플라즈마 블록(150)의 환형 플라즈마-생성 용적(158)을 에워쌀 수 있다. 몇몇 실시예들에서, 측면 커버들(121, 122)은 또한, 플라즈마 소스(100) 내의 컴포넌트들 중 하나 또는 그 초과의 온도를 조절하는데 사용되는 냉각 플레이트들로서 기능한다.
[0019] 플라즈마 소스(100)는 유도 결합 플라즈마(inductively coupled plasma)를 생성하기 위해 개방 루프 구성을 사용한다. 개방 루프 구성은 플라즈마 블록(150)의 개구(150A)를 통하여 연장하는 자기 투과성 코어 엘리먼트(130)를 포함한다. 코어 엘리먼트(130)는 원통형 또는 바(bar) 형상을 가질 수 있다. 코어 엘리먼트(130)는 여기서 원통형으로 설명되지만, 코어 엘리먼트(130)의 횡단면 형상은 비-원형 또는 비-원통형, 이를테면 정사각형, 육각형, 직사각형, 또는 규칙적이거나 불규칙적인, 임의의 다른 요구되는 형상일 수 있음이 고려된다. 코일들(140A, 140B)은 플라즈마 블록(150)의 어느 한 측 상의 코어 엘리먼트(130) 주위에 랩핑되어(wrapped), X-방향으로 연장할 수 있다. 코일들(140A, 140B)은, RF 전력과 같은 전력이 코일들(140A, 140B)에 공급될 때, 자기장들을 생성한다. 도 1a는 RF 전력 소스(142)에 연결되는 코일들(140A, 140B)을 도시한다. 몇몇 실시예들에서, 코일들(140A, 140B)은 플라즈마 블록(150)의 전방에 도시된 정션 박스(145)와 같은 하나 또는 그 초과의 정션 박스들을 통해 전기적으로 연결될 수 있다. 도 1a에 도시된 바와 같이, 코어 엘리먼트(130)는 X-방향으로 제 1 축(135)을 따라 세로로(lengthwise) 연장한다. 따라서, 코일들(140A, 140B)은 자기장들을 생성할 수 있으며, 자기장들이 플라즈마 블록(150)을 통과할 때, 그러한 자기장들은 실질적으로 X-방향으로 정렬된다. 코일들(140A, 140B)이 에너자이징되는 경우, 코어 엘리먼트(130)는 X-방향에서 코어 엘리먼트(130)의 일 단부로부터 반대쪽 단부로 코어 엘리먼트(130) 주위에서 연장하는 자기장들에서 자기화되어서, 환형 플라즈마-생성 용적(158) 및 코어 엘리먼트(130)를 통과하는 자기장들이 실질적으로 X-방향으로 정렬되게 한다. 이러한 자기장들은 플라즈마 블록(150) 내부의 가스와 상호작용하여 플라즈마를 생성한다. 플라즈마 블록(150)은, 코일들(140A, 140B)이 에너자이징되는 경우 내부에서 플라즈마가 생성되는 환형 플라즈마-생성 용적(158)(도 1b 참조)을 포함한다.
[0020] 일 양태에서, 코어 엘리먼트(130)는 높은 자기 투과성(고-μ) 로드 또는 튜브, 예를 들면, 페라이트 로드를 포함하지만, 커플링 구조에 따라, 다른 자성 재료일 수 있다. 코어 엘리먼트(130)가 형성되는 자성 재료는 일반적으로, 하기의 특징들: 1) 고 인가 주파수들(high impressed frequencies)에서 낮은 코어 손실 밀도(core loss density), 2) 높은 퀴리 온도(Curie temperature)를 갖는 것, 및 3) 높은 벌크 저항률(bulk resistivity)을 갖는 것을 가질 것이다. 일반적으로, 코어 엘리먼트(130)는, 하나 또는 그 초과의 코일들(예를 들면, 코일(140A, 140B))을 통한 RF 전류의 유동에 의해 생성되는 발생장들(예를 들면, 자기장들)이 우선적으로 통하여 유동할 경로를 제공하는데 사용될 수 있는 임의의 재료로 형성될 수 있다. 일 실시예에서, 코어 엘리먼트(130)는 페라이트-함유 엘리먼트를 포함한다. "페라이트 엘리먼트" 및 "페라이트 재료"라는 용어가 본원에서 사용되지만, 이러한 용어들은 본 개시물의 범위에 대해 제한하는 것으로 의도되지 않는다. 또한, 일 실시예에서, 코어 엘리먼트(130)는, 도 1a에 도시된 X-축과 일치하는 제 1 축(135)과 같은 중심 축을 중심으로 정렬되는, 더 작은 직경의 실린더들 또는 로드들의 묶음(bundle)을 포함한다.
[0021] 상기 언급된 바와 같이, 종래의 토로이드형(toroidal) 또는 RPS 디자인들에서 발견된 재료 양립성 문제들을 회피하기 위해, 플라즈마 블록(150)(뿐만 아니라 하기에서 설명되는 플라즈마 블록(250))은, 환형 플라즈마-생성 용적(158)에서 형성되는 가스 라디칼들 또는 이온들과, 또는 반응성 프로세스 가스들과 반응하지 않을 재료로 우선적으로 형성된다. 일반적으로, 플라즈마 블록(150)은 코어 엘리먼트(130)를 중심으로 대칭인 형상으로 형성되고, 플라즈마 케미스트리(chemistry)에 의해 상당히 공격받지 않을 것이며 그리고 플라즈마에 의해 생성된 열을 열 교환 장치에 전달하기 위해 높은 열 전도율을 갖는 재료를 포함한다. 일 실시예에서, 플라즈마 블록(150)은 지정되는 대칭적 형상으로 형성되는 고 열 전도율 유전체 재료를 포함한다. 솔리드(solid) 유전체 재료를 포함하는 플라즈마 블록(150)은 종래의 디자인들에 비해 많은 장점들을 갖는데, 이는 이러한 유형의 플라즈마 블록이 종래의 RPS 디자인들에서 일반적으로 발견되는 코팅 결함 및 가능한 손상 문제들을 회피하기 때문이다. 몇몇 실시예들에서, 플라즈마 블록(150)은, 알루미늄 옥사이드(Al2O3), 알루미늄 나이트라이드(AlN), 베릴륨 옥사이드(BeO), 보론 나이트라이드(BN), 석영, 또는 다른 유사한 재료들로 제조된다. 일 실시예에서, 플라즈마 블록(150)은, 약 2 인치 두께(즉, 도 1a에서 X-방향)인 알루미늄 나이트라이드 재료로 제조된다.
[0022] 도 1b는, 코어 엘리먼트(130)의 제 1 축(135)을 통과하는 X-Y 평면(도 1a 참조)을 따라 플라즈마 소스(100)를 절단함으로써 형성된, 도 1a의 플라즈마 소스(100)의 횡단면도이다.
[0023] 도시된 바와 같이, 플라즈마 블록(150)은 내부에 형성된 환형 플라즈마-생성 용적(158)을 포함한다. 환형 플라즈마-생성 용적(158)은 일반적으로 코어 엘리먼트(130) 주위에 형성된다. 환형 플라즈마-생성 용적(158)은, 하기에서 더 상세히 설명되는 다양한 형상들의 횡단면을 가질 수 있다. 몇몇 실시예들에서, 환형 플라즈마-생성 용적(158)은, 환형 플라즈마-생성 용적의 주어진 횡단면이 코어 엘리먼트(130) 주위에서 360°로 실질적으로 동일한, 실질적으로 토로이드형 형상을 갖는다.
[0024] 코어 엘리먼트(130)는 제 1 축(135)을 따라 제 1 단부(131)로부터 제 2 단부(132)로 연장한다. 제 1 축(135)은 코어 엘리먼트(130)의 중심 축일 수 있다. 또한, 환형 플라즈마-생성 용적(158)은 제 1 축(135) 주위에 대칭적으로 배치될 수 있다. 예를 들면, 환형 플라즈마-생성 용적(158)은 제 1 축(135)과 동일직선상인(collinear) 중심 축을 가질 수 있다.
[0025] 코일들(140A, 140B)은 코어 엘리먼트(130)의 각각의 제 1 부분들(133) 주위에 배치될 수 있다. 코어 엘리먼트(130)는 일반적으로, 플라즈마 블록(150)의 내부 벽(155)에 가까운, 플라즈마 블록(150)의 부분을 통하여 배치된다. 코어 엘리먼트(130)는 제 1 축(135) 상의 제 1 점(137)을 더 포함한다. 제 1 점(137)은 중심점에 대응할 수 있으며, 중심점 주위에는 플라즈마 블록(150) 및/또는 환형 플라즈마-생성 용적(158)이 배치된다. 또한, 제 1 점(137)은 코어 엘리먼트(130)의 중심에 대응할 수 있다.
[0026] 플라즈마 블록(150)은 하나 또는 그 초과의 내부 벽들(155)을 포함한다. 하나 또는 그 초과의 내부 벽들(155)은, 환형 플라즈마-생성 용적(158)을 적어도 부분적으로 에워싸고 정의할 수 있다. 즉, 환형 플라즈마-생성 용적(158)은 내부 벽들(155)에 의해 대부분 둘러싸일 수 있지만, 플라즈마 블록(150)은 또한 일반적으로, 가스를 수용하고 프로세스 챔버(50)에 플라즈마를 공급하기 위해 개구들(158A, 158B)을 각각 포함할 것이다. 환형 플라즈마-생성 용적(158)은 코어 엘리먼트(130)의 제 2 부분(134) 주위에 배치된다.
[0027] 몇몇 구성들에서, 환형 플라즈마-생성 용적(158)은, 제 1 점(137)에서, 제 1 축(135)에 대해 수직인 복수의 수직 축들(136)을 중심으로 대칭인 제 1 영역(160)을 포함한다. 이러한 수직 축들(136)은 제 1 점(137) 주위에 360°로 배치될 수 있다. 복수의 수직 축들(136)은, 횡단면도가 제 1 점(137)을 통하는 Y-Z 평면으로 취해진다면, 모두 보일 것이다. 도 1b의 X-Y 평면에서는, 2개의 수직축들(136)(즉, 제 1 축(135)으로부터 상부로 연장하는 하나의 수직 축 및 제 1 축(135)으로부터 아래로 연장하는 하나의 수직 축)만이 디스플레이된다. 환형 플라즈마-생성 용적(158)의 제 1 영역(160)은 코어 엘리먼트(130)에 가장 가까운 영역이다. 몇몇 실시예들에서, 제 1 영역(160)은 코어 엘리먼트(130)를 둘러싸며, 그에 따라 축(135) 주위에서 360도로 연장한다. 다른 실시예들에서, 제 1 영역(160)은 코어 엘리먼트의 대부분, 예를 들면, 코어 엘리먼트(130)의 적어도 75%, 이를테면 적어도 90% 주위에 배치된다. 환형 플라즈마-생성 용적(158)은 제 1 영역(160)보다 코어 엘리먼트(130)로부터 더 멀리 있는 제 2 영역(170)을 더 포함한다. 제 2 영역(170)은 복수의 수직 축들(136)을 중심으로 대칭일 수 있거나 대칭이 아닐 수 있다. 몇몇 실시예들에서, 제 1 영역(160) 및 제 2 영역(170)은 환형 플라즈마-생성 용적(158)의 실질적으로 전부, 이를테면 환형 플라즈마-생성 용적(158)의 적어도 90%를 형성한다.
[0028] 도 1b를 참조하면, 몇몇 실시예들에서, 프로세스 챔버(50)는, 플라즈마 소스(100)의 개구(158B)를 통해 수용된 프로세스 가스의 유동을 분배하도록 구성되는, 배플 플레이트(60) 또는 샤워헤드를 포함한다. 배플 플레이트(60)는, 프로세스 챔버(50)의 프로세싱 영역(58)에서 배플 플레이트(60) 아래에 배치되는 기판(도시되지 않음)의 표면에 프로세스 가스의 유동을 균일하게 분배하도록 구성되는 복수의 포트들(61)을 포함할 수 있다. 그러나, 환형 플라즈마-생성 용적(158)에서 형성된 플라즈마의 분포는 배플 플레이트(60)를 통해 프로세싱 영역(58)에 제공되는 플라즈마 및/또는 라디칼들의 분포에 영향을 주는 것으로 알려졌다. 즉, 환형 플라즈마-생성 용적(158) 내의 플라즈마 분포는 배플 플레이트(60)의 상이한 영역들을 통과하는 플라즈마 또는 라디칼 농도(예를 들면, 프로세스 가스 X-Y 평면 분포 균일성)에 영향을 주는 것으로 알려졌다. 따라서, 몇몇 실시예들에서, 플라즈마 소스의 플라즈마 생성 영역 내에 플라즈마를 생성할 수 있는 플라즈마 소스가 요구되며, 플라즈마 소스는 프로세싱 영역(58)에 배치되는 기판의 표면에 프로세스 가스의 보다 균일한 분배를 보다 잘 제공할 수 있다.
[0029] 도 1c는, 도 1b의 도면으로부터 코어 엘리먼트(130) 위의 환형 플라즈마-생성 용적(158) 및 코어 엘리먼트(130)의 제 2 부분(134)의 확대도이다. 제 1 영역(160)은 제 1 축(135)에 대해 평행한 방향(W)의 폭 및 제 1 축(135)으로부터 수직한 방향(D)의 깊이를 갖는다. 제 1 영역(160)은, 내부 벽(155)과 수직 축들(136) 중 하나의 교차점에 위치된 중심점(155C)을 더 포함한다. 중심점(155C)은 제 1 축(135) 상의 제 1 점(137)으로부터의 제 1 깊이(160D1)이다. 중심점(155C)은 환형 플라즈마-생성 용적(158)의 주어진 횡단면에서, 제 1 축(135)에 가장 가까운 점이다. 제 1 영역(160)의 폭은, 제 1 축(135) 상의 제 1 점(137)으로부터의 깊이가 증가함에 따라, 중심점(155C)의 폭으로부터 증가한다. 따라서, 이 실시예에서 제 1 영역(160)의 폭은 중심점(155C)에서 가장 좁고, 예를 들면, 제 2 깊이(160D2)(즉, 제 1 영역(160)과 제 2 영역(170) 사이의 경계)에서 가장 넓다. 제 1 폭(160W1)은, 내부 벽들(155) 중 하나 또는 그 초과를 따르는 대향 점들에 있는 제 1 위치(1551)와 제 2 위치(1552) 사이에서 연장한다. 도 1c에 도시된 실시예와 같은 실시예들에서, 제 1 영역(160)은, 곡선 표면(156)을 갖는, 코어 엘리먼트(130)에 가장 가까운 내부 벽(155), 및 내부 벽들(155) 중 하나 또는 그 초과 상의 제 1 위치(1551)와 제 2 위치(1552) 사이에 배치되는 경계선(162)에 의해 정의될 수 있다. 경계선(162)은 가상선으로 나타내며, 환형 플라즈마-생성 용적(158)의 임의의 물리적 부분(part)을 나타내지 않는다. 몇몇 실시예들에서, 경계선(162)은 제 1 영역(160)과 제 2 영역(170) 사이의 분할 경계(dividing boundary)이다. 몇몇 실시예들에서, 곡선 표면(156)은 곡선 표면(156) 상의 다른 점들 중 임의의 점보다 제 1 축(135) 상의 제 1 점(137)에 더 가까운, 중심점(155C)과 같은 적어도 하나의 점을 포함하며, 이러한 점은 제 1 축(135)을 포함하는 절단 평면(예를 들면, 도 1c에 도시된 W-D 평면 또는 도 1b에 도시된 X-Y 평면)을 사용하여 곡선 표면(156)을 볼 때 형성된다.
[0030] 상기 논의된 바와 같이, 코일들(140A, 140B)이 에너자이징되는 경우, 코어 엘리먼트(130)는, 도 1c에서 폭 방향(W)으로서 도시된 X-방향으로 실질적으로 정렬되는 자기장들을 생성한다. 자기화된 코어 엘리먼트(130)에 의해 생성되는 자기장들은, 코어 엘리먼트(130)의 외측 표면으로부터의 거리가 증가함에 따라(즉, +D-방향) 감소하는 강도를 갖는다. 따라서, 환형 플라즈마-생성 용적(158)을 통과하는 자기장들의 가장 강한 자기장 강도는 코어 엘리먼트(130)에 가장 가까운, 제 1 영역(160)의 부분들에서 발견된다. 더 강한 자기장들은, 더 약한 자기장들을 갖는 영역들보다 환형 플라즈마-생성 용적(158)에서 더 높은 플라즈마 밀도들을 생성할 수 있다. 따라서, 환형 플라즈마-생성 용적(158)에서 가장 밀도가 높은 플라즈마는 코어 엘리먼트(130)에 가장 가까운, 제 1 영역(160)의 부분들에서 생성된다. 도 1c에 도시된 바와 같이, 코어 엘리먼트(130)에 가장 가까운, 제 1 영역(160)의 부분들은 수직 축들(136)을 중심으로 집중되고(centered), +W 및 -W-방향들에서 수직 축들(136)로부터 더 먼, 제 1 영역(160)의 부분들은 코어 엘리먼트(130)로부터 더 멀리 있다. 도 1b를 참조하면, 플라즈마 블록(150)의 상부 부분의 플라즈마(P)는, 폭 방향(W)에서 집중된 플라즈마에 대한 보다 명확한 이해를 제공하기 위해, 폭 방향(W)에서 집중된 상태로 도시된다.
[0031] W-방향에서 중심 영역이 코어 엘리먼트에 가장 가깝지 않은 횡단면들을 갖는 환형 플라즈마-생성 용적들(158)은 W-방향에서 공간적으로 균일하지 않은 플라즈마를 생성할 것이다. 예를 들면, 직사각형 또는 둥글린 직사각형 횡단면을 갖는 환형 플라즈마-생성 용적은, 공간적으로 균일하지 않은 플라즈마를 생성하는 문제들을 나타낸다. 임의의 특정 이론에 의해 제한되지 않으면서, 실질적으로 직사각형 횡단면을 통과하는 자기장들은, 실질적으로 직사각형 횡단면의 코너들 주위에서 더 고밀도의 플라즈마 영역들을 생성하면서, W-방향에서 실질적으로 직사각형 횡단면의 중심에서 더 저 밀도의 플라즈마를 생성할 수 있는 것으로 생각된다. 플라즈마 생성 용적에서, 플라즈마가 W-방향에서(예를 들어, 제 1 폭(160W1)에 걸쳐서) 집중되는 밀도 분포를 갖지 않는 경우, 프로세스 챔버에 공간적으로 균일한 플라즈마를 공급하는 것이 어렵다.
[0032] 예를 들면, 도 4는 직사각형 횡단면을 갖는 플라즈마-생성 용적(458)을 에워싸는 내부 벽들(455)을 갖는 플라즈마 블록(450)을 도시한다. 도 4에 도시된 바와 같이, 플라즈마(P)는 직사각형 횡단면을 갖는 플라즈마-생성 용적(458)의 코너들 중 일부에서 더 높은 밀도들을 갖도록 형성되는데, 이는 이러한 영역들에서의 열적, 전자기장, 등으로부터 비롯되는 임의의 형태의 비-대칭성 때문이다. 자기장 선들 "B"의 비대칭성과 같은, 열적 또는 전자기장 강도 비대칭이, 플라즈마의 점화(strike) 및 유지 동안, 코너들 중 어느 하나에 플라즈마 래치(plasma latch)를 일으킬 것으로 생각된다. 이는 개방 루프 타입 플라즈마 소스 디자인이기 때문에, 플라즈마 블록에 걸쳐서 일정한 전자기장을 갖는 것이 어려우며, 이는 플라즈마 블록(450) 내의 플라즈마 스큐로 이어질 수 있다. 즉, 생성된 자기장 선들 "B"의 상당 부분(significant portion)은, 플라즈마 블록(450)을 바로 통과하거나 플라즈마 블록(450)의 외측 주위를 지나기보다는, 플라즈마 블록(450)의 하부 부분 내의 플라즈마-생성 용적(458)의 코너들 및 개구(150A)(도 1a 및 1c 참조)를 통과하고 제한되는(constrict) 경향이 있을 것이다. 플라즈마-생성 용적(458)의 외측 코너들에 더 고밀도 영역들을 갖는 플라즈마를 가지는 것은, 배출구(예를 들면, 개구(158B)(도 1b))를 통해 프로세스 챔버에 제공되는 플라즈마 또는 라디칼 농도가 유사하게 왜곡되게 초래하며(예를 들면, 외측 엣지들), 이는 기판들의 균일하고 일관된 프로세싱을 방해한다.
[0033] 도 1c의 실시예와 같은 몇몇 실시예들에서, 제 2 영역(170)은 제 1 축(135)에 대해 평행한 제 2 폭(170W)을 가질 수 있으며, 이 경우 제 2 폭(170W)은 제 1 축(135)에 대해 수직한 방향(즉, 깊이 방향(D))으로 실질적으로 일정하다. 다른 실시예들에서, 제 2 영역은 도 2에 관하여 설명되는 실시예와 같이, 깊이 방향(D)으로 변화하는 폭을 가질 수 있다.
[0034] 제 1 폭(160W1)은 제 1 축(135)에 대해 평행하다. 제 1 폭(160W1)은 제 1 영역(160)의 가장 넓은 폭일 수 있다. 제 1 폭(160W1)은 또한, 제 1 영역(160)의 외측 경계의 위치에 있을 수 있다. 제 1 폭(160W1)은 D-방향에서 제 1 점(137)으로부터의 제 2 깊이(160D2)에 위치된다. 도 1c에 도시된 실시예와 같은 몇몇 실시예들에서, 제 1 영역(160)의 폭(W)이 중심점(155C)으로부터 증가함에 따라, W-D 평면에서 표면(156)에 대한 접선(tangent)은, 제 1 축(135)으로부터의 제 1 영역(160)의 깊이가 D-방향으로 증가함에 따라, 일정하게 변화하는 경사(slope)와 같은, 변화하는 경사(즉, 곡선 표면)를 가질 것이다. 제 1 영역(160)의 깊이는, 제 1 깊이(160D1)와 제 2 깊이(160D2) 사이의 거리에 미치는(span) 제 3 깊이(160D3)이다. 몇몇 실시예들에서, 제 1 폭(160W1)은 제 3 깊이(160D3)보다 적어도 3배 더 크다. 다른 실시예들에서, 제 1 폭(160W1)은 제 3 깊이(160D3)보다 적어도 5배 더 크다. 몇몇 실시예들에서, 제 1 영역(160)은 환형 플라즈마-생성 용적(158)의 적어도 1/3의 용적을 갖는다. 도 1 내지 3의 환형 플라즈마-생성 영역들(예를 들면, 환형 플라즈마-생성 영역(158))에 날카로운 코너들이 도시되어 있지만, 임의의 높은 응력 집중들을 회피하기 위해, 필렛 반경(fillet radius) 또는 챔퍼(chamfer)가 존재할 수 있을 것이다.
[0035] 제 1 영역(160)의 깊이는 또한, 제 1 영역 깊이(제 3 깊이(160D3)와 동일함)로서 설명될 수 있으며, 이는 수직 축들(136) 중 하나를 따르는 곡선 표면(156) 상의 중심점(155C)과 경계선(162) 사이의 거리이다. 몇몇 실시예에서, 제 1 폭(160W1)은 제 1 영역 깊이의 적어도 3배일 수 있다. 다른 실시예들에서, 제 1 폭(160W1)은 제 1 영역 깊이의 적어도 5배일 수 있다.
[0036] 제 1 영역(160)(즉, 환형 플라즈마 생성 용적의, 코어 엘리먼트에 대해 가장 가까운 영역, 이 경우 제 1 영역은 코어 엘리먼트로부터의 거리가 증가함에 따라 증가하는 폭을 가짐)의 폭(W-방향)을 제 1 영역(160)의 깊이(D-방향)보다 실질적으로 더 길게 하는 것은, 환형 플라즈마-생성 용적(158) 내에서 생성된 플라즈마가 환형 플라즈마-생성 용적(158)의 지나치게 좁은 부분으로 한정되는 것을 방지한다. 상기 논의된 바와 같이, 코어 엘리먼트(130)에 의해 생성된 자기장들의 강도는 코어 엘리먼트(130)로부터의 거리가 증가함에 따라 감소한다. 따라서, 그 깊이(예를 들면, 도 1c의 제 3 깊이(160D3))보다 큰 폭(예를 들면, 도 1c의 제 1 폭(160W1))을 가지는 가장 가까운 영역(예를 들면, 제 1 영역(160))을 갖는 횡단면을 구비한 환형 플라즈마-생성 용적을 갖는 것은, 제 1 영역의 깊이보다 더 짧거나 동일한 길이를 가지는 폭을 갖는 제 1 영역(즉, 환형 플라즈마 생성 용적의, 코어 엘리먼트에 대해 가장 가까운 영역, 이 경우 제 1 영역은, 코어 엘리먼트로부터의 거리가 증가함에 따라 증가하는 폭을 가짐)을 가지는 횡단면과 비교할 때, 더 집중된 용적뿐 아니라 더 큰 용적의 가스가, 코어 엘리먼트(130)에 가장 가까운 더 강한 자기장들과 상호작용하도록 허용한다. 횡단면의 폭이 횡단면의 깊이 미만이거나 동일한 경우, 플라즈마 생성 프로세스는 비효율적이 되며, 코어 엘리먼트(130)에 가장 가까운 좁은 영역에 너무 많은 플라즈마가 한정된다. 따라서, 실질적으로 원형인 횡단면(즉, 폭이 깊이와 실질적으로 동일함)을 갖는 환형 플라즈마-생성 용적은, 환형 플라즈마-생성 용적의 지나치게 좁은 영역에 한정되는 플라즈마를 생산하는 것으로 생각된다.
[0037] 도 2는 코어 엘리먼트(130)의 제 2 부분(134) 위에 배치되는 플라즈마 블록(250) 내의 환형 플라즈마-생성 용적(258)의 대안적인 실시예의 확대도이다. 환형 플라즈마-생성 용적(258)은 일반적으로, 코어 엘리먼트(130) 주위에 형성된다. 환형 플라즈마-생성 용적(258)은 몇몇 실시예들에서, 코어 엘리먼트(130)를 둘러쌀 수 있으며, 그에 따라 축(135) 주위에서 360도로 연장한다. 플라즈마 블록(250)은 내부 벽들(255)을 포함한다. 제 1 점(137)에서 제 1 축(135)에 대해 수직인, 수직 축들(136) 중 하나(즉, X-Y 평면에서 제 1 축(135)으로부터 상부로 연장하는 수직 축(136))는, 제 1 축(135)에 가장 가까운, 내부 벽(255)의 중심점(255C)과 교차할 수 있다. 제 1 축(135)의 제 1 점(137)을 통하는 Y-Z 평면(즉, 환형 플라즈마-생성 용적(258)의 완전한 링을 나타낼 평면)이 보인다면, 각각의 수직 축(136)은 수직 축들(136) 중 하나를 따라 위치되지 않는, 내부 벽(255) 상의 점들보다 제 1 축(135) 상의 제 1 점(137)에 더 가까운, 점(255C)과 같은, 내부 벽(255) 상의 중심점과 교차할 것이다.
[0038] 환형 플라즈마-생성 용적(258)은 제 1 영역(260) 및 제 2 영역(270)을 포함한다. 환형 플라즈마-생성 용적(258)의 제 1 영역(260)은 코어 엘리먼트(130)에 가장 가까운 영역이다. 제 2 영역(270)은, 제 1 영역(260)이 코어 엘리먼트(130)에 대해 있는 것보다 코어 엘리먼트(130)로부터 더 멀리 있다. 제 1 영역(260)은, 제 1 점(137)에서 제 1 축(135)에 대해 수직인 복수의 수직 축들(136)을 중심으로 대칭이다. W-D 평면에서 제 2 영역(270)의 횡단면은 또한, 수직 축들(136)을 중심으로 대칭일 수 있다. 제 1 영역(260)은 제 1 축(135)에 대해 평행한 방향(W)의 폭 및 제 1 축(135)으로부터 수직한 방향(D)의 깊이를 갖는다. 제 1 영역(260)의 폭은, D 방향(즉, 제 1 축(135) 상의 제 1 점(137)으로부터 수직 축들(136) 중 하나를 따르는 방향)의 깊이가 증가함에 따라 증가한다. 제 1 영역(260)의 폭은, 제 1 축(135) 상의 제 1 점(137)으로부터의 깊이가 증가함에 따라, 일정 기울기로 증가할 수 있다. 도 2의 실시예와 같은 몇몇 실시예들에서, 제 2 영역(270)은 제 1 영역(260)의 거울상(mirror image)일 수 있다. 제 1 영역(260)은 경계선(262)에서 제 2 영역과 접경한다(border). 경계선(262)은 가상선으로 나타내며, 환형 플라즈마-생성 용적(258)의 임의의 물리적 부분을 나타내지 않는다. 경계선(262)은 하나의 내부 벽(255) 상의 제 1 점(2551)으로부터 다른 내부 벽(255) 상의 제 2 점(2552)으로, 환형 플라즈마-생성 용적(258)을 가로질러 연장할 수 있다. 경계선(262)은 제 1 영역(260) 및 제 2 영역(270)의 가장 넓은 폭의 위치에 있을 수 있다. 제 2 영역(270)의 폭은, 제 1 축(135) 상의 제 1 점(137)으로부터의 깊이가 증가함에 따라, 일정한 기울기로 감소할 수 있다.
[0039] 코어 엘리먼트(130)에 가장 가까운 내부 벽(256)은 코어 엘리먼트(130)에 대해 평행할 수 있다. W 방향의 벽(256)의 길이는, 제 1 영역에서 생성된 플라즈마가 폭 방향(W)으로 왜곡되는 것을 방지하기 위해, 제 1 영역(260)의 폭(즉, 제 1 점(2551)과 제 2 점(2552) 사이의 거리)보다 실질적으로 더 짧아야 한다. 플라즈마가 폭 방향으로 어떻게 왜곡될 수 있는 지에 대한 더 세부적인 사항들은 상기에서 도 4에 관하여 논의된다. 다른 실시예들에서, 2개의 내부 벽들은 수직 축들(136)을 따르는 점들에서 만날 수 있으며, 그에 따라 제 1 영역의 폭은 코어 엘리먼트(130)에 가장 가까운, 제 1 영역의 부분들에서 0에 근접한다. 코어 엘리먼트(130)에 가장 가까운, 제 1 영역의 부분들에서 0에 근접하는 제 1 영역의 폭을 갖는 것은, 제 1 영역에서 생성된 플라즈마가 폭 방향(W)으로 균일하게 분배되고 집중되는 것을 보장하는 것을 도울 수 있다.
[0040] 앞서 논의된 환형 플라즈마-생성 용적(158, 258)은, 도 1b에 도시된 개구(158B)와 같은 포트를 통해 프로세스 챔버에 공급되는 플라즈마의 공간적 균일성을 개선할 수 있는 2개의 실시예들을 도시한다. 각각의 환형 플라즈마-생성 용적(158, 258)은 코어 엘리먼트에 가장 가까운 제 1 영역을 가지며, 제 1 영역은 코어 엘리먼트로부터의 거리가 증가함에 따라 증가하는 폭을 갖는다. 코어 엘리먼트로부터의 거리가 증가함에 따라 증가하는 폭을 갖는 것은, 제 1 영역에서 생성된 플라즈마가 환형 플라즈마 생성 영역에서 집중되는 것(즉, 코어 엘리먼트의 축으로부터 수직인 축들 중 하나를 따라 집중되는 것)을 허용한다. 또한, 각각의 환형 플라즈마-생성 용적(158, 258)은, 깊이보다 더 긴(즉, 크기가 더 큰), 이를테면 제 1 영역의 깊이의 적어도 3배만큼 긴 폭을 갖는 제 1 영역을 가지며, 이는 환형 플라즈마-생성 용적에서 크고 넓은 용적의 가스가 코어 엘리먼트로부터의 가장 강한 자기장들과 상호작용하여 플라즈마를 생성하는 것을 허용한다. 다른 환형 플라즈마-생성 용적들이 또한 고려된다. 예를 들면, 상기 논의된 바와 같이, 환형 플라즈마-생성 용적은 제 1 영역을 포함할 수 있으며, 제 1 영역은 코어 엘리먼트(130)의 제 1 축(135) 상의 제 1 점(137)과 같은 중심점으로부터 연장하는 수직 축들에서 교차하는 점에서, 2개의 실질적으로 직선인 내부 벽들이 만나는 횡단면 형상을 포함한다. 또한, 상기 논의된 실시예들의 다양한 특징들은 상기 논의된 다른 특징들과 결합되거나 재배열될 수 있다. 예를 들면, 곡선 표면(156)과 같은 곡선 표면을 갖는 제 1 영역을 가지는 실시예는, 도 2에 나타낸 실시예의 제 1 및 제 2 영역들이 거울상들이었던 방식과 유사하게, 실질적으로 제 1 영역의 거울상인 제 2 영역을 가질 수 있을 것이다. 또한, 몇몇 실시예들은, 곡선 내부 벽들뿐 아니라 직선 내부 벽들을 포함하는 제 1 영역을 가질 수 있다.
이중 플라즈마 블록 디자인
[0041] 도 3은 다른 실시예에 따른 플라즈마 소스(300)의 횡단면도이다. 플라즈마 소스(300)는 2개의 플라즈마 블록들(3501, 3502)을 포함한다. 플라즈마 블록들(3501, 3502)은, 상기 논의된 프로세스 챔버(50)와 유사하거나 동일할 수 있는 프로세스 챔버(70)에 커플링된다. 도시된 바와 같이, 각각의 플라즈마 블록(3501, 3502)은 내부에 형성된 환형 플라즈마-생성 용적(3581, 3582)을 포함한다. 몇몇 실시예들에서, 플라즈마 블록들(3501, 3502)은 X-방향으로 서로 접하도록(abut) 포지셔닝될 수 있다. 다른 실시예들에서, 플라즈마 블록들(3501, 3502) 사이에 공간이 포함될 수 있다. 코어 엘리먼트(130)와 유사한 자기 투과성 코어 엘리먼트(330)가 플라즈마 블록들(3501, 3502)을 통하여 연장할 수 있다. 코어 엘리먼트(330)는 X-방향으로 제 1 축(335)을 따라 제 1 단부(331)로부터 제 2 단부(332)로 연장한다. 코일들(340A, 340B)은 플라즈마 블록들(3501, 3502)의 양측(either side) 상의 코어 엘리먼트(330) 주위에 랩핑되어 X-방향으로 연장할 수 있다. 플라즈마 블록들(3501, 3502) 사이에 공간이 포함된다면, 플라즈마 블록들(3501, 3502) 사이의 코어 엘리먼트(330) 주위에 다른 코일(340C)(도시되지 않음)이 랩핑될 수 있다.
[0042] 반도체 기판들과 같은 기판들을 프로세싱할 때, 일관성 및 균일성을 개선하기 위해, 플라즈마 소스(300)가 상이한 방식들로 사용될 수 있다. 플라즈마 소스(300)가 제공할 수 있는 한가지 이익은 플라즈마 블록들(3501, 3502) 중 하나를 특정 기능에 대해 전용시킴으로써(dedicating) 가능해진다. 예를 들면, 플라즈마 블록(3501)은 증착 단계들 동안 사용되도록 전용될 수 있고, 플라즈마 블록(3502)은 세정 단계들동안 사용되도록 전용될 수 있다. 세정 단계들동안 플라즈마 블록(3502)이 사용되도록 전용시키는 것은, 플라즈마 블록(3501)의 환형 플라즈마-생성 용적(3581)에, 플루오린 가스들과 같은 강한(harsh) 재료들이 들어가는 것을 방지한다. 플루오린 가스들과 같은 강한 세정 재료들은, 환형 플라즈마-생성 용적(3581)과 같은 환형 플라즈마 생성 용적의 내부 벽들로부터의 재료들을 부식시키기 시작할 수 있다. 이러한 부식은 환형 플라즈마-생성 용적(3581) 내에서 생성된 플라즈마의 특징들을 변경시켜, 다른 입력들을 일정하게 유지함에도 불구하고, 증착들과 같은 프로세스들 동안 일관성이 없고 불균일한 결과들을 야기할 수 있다.
[0043] 플라즈마 소스(300)로부터의 다른 이익은 플라즈마 블록들(3501, 3502)의 동시 사용 중에 나타난다. 플라즈마 소스(300)가 하나의 코어 엘리먼트(330)만을 포함하기 때문에, 한 세트의 코일들 및 하나의 자기 투과성 물체(즉, 코어 엘리먼트(330))만이 존재하여, 플라즈마 블록들(3501, 3502)에서 플라즈마를 생성하는 자기장들을 생성한다. 둘 또는 그 초과의 플라즈마 블록들을 사용하는 이전 디자인들은 둘 또는 그 초과의 코어 엘리먼트들을 포함하였고, 각각의 코어 엘리먼트는 코일들의 별도의 세트를 갖는다. 따라서, 이러한 이전 디자인들은 각각의 플라즈마 블록을 위한 별도의 플라즈마 소스를 포함하였다. 이러한 이전 디자인들에서, 하나의 플라즈마 소스에 의해 생성된 자기장들은 다른 플라즈마 소스에서 생성된 플라즈마에 영향을 미치기 시작할 것이다. 예를 들면, 이러한 이전 디자인들에서, 2개의 기판들을 동시에 프로세싱할 수 있는 프로세스 챔버의 경우, 플라즈마 소스가 프로세스 챔버의 대향 측들에 대해 전용될 수 있으며, 그에 따라 각각의 플라즈마 소스가 프로세스 챔버 내의 특정 기판에 대해 플라즈마를 제공할 수 있을 것이다. 그러나, 이러한 이전 디자인은 불가피하게, 각각의 플라즈마 소스가, 양쪽 기판들에 대해 수행되는 프로세스에 영향을 미치게 하여, 2개의 플라즈마 소스들 사이에 누화(crosstalk)를 야기하고, 기판 상에 수행되는 프로세스의 제어를 방해한다. 반대로, 플라즈마 소스(300)에서는 2개의 플라즈마 블록들(3501, 3502)에 대해 한 세트의 코일들(340A, 340B)을 갖는 하나의 코어 엘리먼트(330)만이 존재하며, 이는 누화 상황의 가능성을 제거한다.
[0044] 전술한 내용은 본 개시물의 실시예들에 관한 것이지만, 본 개시물의 기본 범위로부터 벗어나지 않고 본 개시물의 다른 그리고 추가의 실시예들이 안출될 수 있으며, 본 개시물의 범위는 하기의 청구항들에 의해 결정된다.

Claims (15)

  1. 프로세스 챔버에 커플링되는 플라즈마 소스로서,
    상기 플라즈마 소스는,
    제 1 축을 따라 제 1 단부로부터 제 2 단부로 연장하는 코어 엘리먼트;
    상기 코어 엘리먼트의 개개의 하나 또는 그 초과의 제 1 부분들 주위에 배치된 하나 또는 그 초과의 코일들; 및
    상기 코어 엘리먼트의 제 2 부분 주위에 배치된 환형 플라즈마-생성 용적을 적어도 부분적으로 에워싸는 하나 또는 그 초과의 내부 벽들을 갖는 플라즈마 블록
    을 포함하고,
    상기 환형 플라즈마-생성 용적은,
    상기 제 1 축을 따라 포지셔닝된 제 1 점에서 상기 제 1 축에 대해 수직인 복수의 수직 축들을 중심으로 대칭인 제 1 영역을 포함하고,
    상기 제 1 영역은,
    상기 제 1 축에 대해 평행한 방향의 폭 및 상기 제 1 축으로부터 수직한 방향의 깊이 ― 상기 제 1 영역의 폭은 상기 제 1 축 상에 포지셔닝된 상기 제 1 점으로부터의 깊이가 증가함에 따라 증가함 ―;
    내부 벽과 상기 수직 축들 중 하나의 교차점에 위치된 중심점 ― 상기 중심점은 상기 제 1 축 상에 포지셔닝된 상기 제 1 점으로부터의 제 1 깊이임 ―;
    상기 내부 벽들 중 하나 또는 그 초과의 내부 벽 상의 제 1 위치와 제 2 위치 사이의 제 1 폭 ― 상기 제 1 폭은 상기 제 1 축에 대해 평행하고, 상기 제 1 폭은 상기 제 1 축 상에 포지셔닝된 상기 제 1 점으로부터의 제 2 깊이임 ―; 및
    상기 제 2 깊이와 상기 제 1 깊이 사이의 거리에 미치는(spanning) 제 3 깊이
    를 가지며, 상기 제 1 폭은 상기 제 3 깊이보다 적어도 3배 더 큰,
    프로세스 챔버에 커플링되는 플라즈마 소스.
  2. 제 1 항에 있어서,
    상기 제 1 폭은 상기 제 3 깊이보다 적어도 5배 더 큰,
    프로세스 챔버에 커플링되는 플라즈마 소스.
  3. 제 1 항에 있어서,
    상기 제 1 영역의 폭은 상기 제 1 영역의 깊이가 증가함에 따라 일정한 기울기로 증가하는,
    프로세스 챔버에 커플링되는 플라즈마 소스.
  4. 제 3 항에 있어서,
    상기 환형 플라즈마-생성 용적은 상기 제 1 영역이 상기 코어 엘리먼트에 대해 있는 것보다 상기 코어 엘리먼트로부터 더 멀리 있는 제 2 영역을 더 포함하는,
    프로세스 챔버에 커플링되는 플라즈마 소스.
  5. 제 4 항에 있어서,
    상기 제 1 영역 및 상기 제 2 영역은 실질적으로 상기 환형 플라즈마-생성 용적의 전부를 형성하는
    프로세스 챔버에 커플링되는 플라즈마 소스.
  6. 제 1 항에 있어서,
    상기 제 1 영역의 폭은 상기 제 1 영역의 깊이가 증가함에 따라 일정하게 변화하는 기울기로 증가하는,
    프로세스 챔버에 커플링되는 플라즈마 소스.
  7. 제 1 항에 있어서,
    상기 환형 플라즈마-생성 용적은 상기 제 1 축과 동일 직선상에 있는(collinear) 중심 축 주위에 배치되는,
    프로세스 챔버에 커플링되는 플라즈마 소스.
  8. 제 1 항에 있어서,
    상기 제 1 영역은 상기 코어 엘리먼트를 둘러싸는,
    프로세스 챔버에 커플링되는 플라즈마 소스.
  9. 제 8 항에 있어서,
    상기 제 1 영역은 상기 환형 플라즈마-생성 용적의 적어도 1/3의 용적을 포함하는,
    프로세스 챔버에 커플링되는 플라즈마 소스.
  10. 프로세스 챔버에 커플링되는 플라즈마 소스로서,
    상기 플라즈마 소스는,
    제 1 축을 따라 제 1 단부로부터 제 2 단부로 연장하는 코어 엘리먼트;
    상기 코어 엘리먼트의 각각의 하나 또는 그 초과의 제 1 부분들 주위에 배치된 하나 또는 그 초과의 코일들; 및
    상기 코어 엘리먼트의 제 2 부분 주위에 배치된 환형 플라즈마-생성 용적을 적어도 부분적으로 에워싸는 하나 또는 그 초과의 내부 벽들을 갖는 플라즈마 블록
    을 포함하고,
    상기 환형 플라즈마-생성 용적은,
    상기 제 1 축을 따라 포지셔닝된 제 1 점에서 상기 제 1 축에 대해 수직인 복수의 수직 축들을 중심으로 대칭인 제 1 영역 ― 상기 제 1 영역은 상기 제 1 축에 대해 평행한 방향의 폭 및 상기 제 1 축으로부터 수직한 방향의 깊이를 가짐 ―; 및
    상기 제 1 영역이 상기 제 1 축에 대해 있는 것보다 상기 제 1 축으로부터 더 멀리 있는 제 2 영역
    을 포함하고,
    상기 제 1 영역은, 상기 내부 벽들 중 하나의 내부 벽 상의 제 1 위치로부터 제 2 위치로 연장하는 곡선 표면, 및 상기 제 1 위치와 상기 제 2 위치 사이에서 상기 제 1 축에 대해 평행하게 연장하는 제 1 폭을 갖는 경계선에 의해 정의되고,
    상기 수직 축들 중 하나의 수직 축을 따라 위치되는 곡선 표면 상의 점들은, 상기 수직 축들 중 하나를 따르지 않는(other than) 위치들에 위치되는 곡선 표면 상의 점들보다 상기 제 1 축 상의 상기 제 1 점에 대해 더 가까우며,
    상기 수직 축들 중 하나의 수직 축을 따르는 상기 곡선 표면 상의 중심점과 상기 경계선 사이의 거리는 제 1 영역 깊이이며, 상기 제 1 폭은 상기 제 1 영역 깊이의 적어도 3배인,
    프로세스 챔버에 커플링되는 플라즈마 소스.
  11. 제 10 항에 있어서,
    상기 제 1 폭은 상기 제 1 영역 깊이보다 적어도 5배 더 큰,
    프로세스 챔버에 커플링되는 플라즈마 소스.
  12. 제 11 항에 있어서,
    상기 제 2 영역은 상기 제 1 영역과 접경하는,
    프로세스 챔버에 커플링되는 플라즈마 소스.
  13. 제 10 항에 있어서,
    상기 제 1 영역은 상기 코어 엘리먼트를 둘러싸는,
    프로세스 챔버에 커플링되는 플라즈마 소스.
  14. 제 13 항에 있어서,
    상기 제 1 영역은 상기 환형 플라즈마-생성 용적의 적어도 1/3의 용적을 포함하는,
    프로세스 챔버에 커플링되는 플라즈마 소스.
  15. 프로세스 챔버에 커플링되는 플라즈마 소스로서,
    상기 플라즈마 소스는,
    제 1 축을 따라 제 1 단부로부터 제 2 단부로 연장하는 코어 엘리먼트;
    상기 코어 엘리먼트의 각각의 하나 또는 그 초과의 제 1 부분들 주위에 배치된 하나 또는 그 초과의 코일들; 및
    상기 코어 엘리먼트의 제 2 부분 주위에 배치된 환형 플라즈마-생성 용적을 적어도 부분적으로 에워싸는 하나 또는 그 초과의 내부 벽들을 갖는 플라즈마 블록
    을 포함하고,
    상기 환형 플라즈마-생성 용적은,
    상기 코어 엘리먼트를 둘러싸는 제 1 영역 ― 상기 제 1 영역은 상기 제 1 축을 따라 포지셔닝되는 제 1 점에서 상기 제 1 축에 대해 수직인 복수의 수직 축들을 중심으로 대칭임 ―; 및
    상기 제 1 영역이 상기 제 1 축에 대해 있는 것보다 상기 제 1 축으로부터 더 멀리 있는 제 2 영역 ― 상기 제 1 영역 및 상기 제 2 영역은 실질적으로 상기 환형 플라즈마-생성 용적의 전부를 형성함 ―
    을 포함하고,
    상기 제 1 영역은, 상기 내부 벽들 중 하나의 내부 벽 상의 제 1 위치로부터 제 2 위치로 연장하는 곡선 표면, 및 상기 제 1 위치와 상기 제 2 위치 사이에서 상기 제 1 축에 대해 평행하게 연장하는 제 1 폭을 갖는 경계선에 의해 정의되고,
    상기 수직 축들 중 하나의 수직 축을 따라 위치되는 상기 곡선 표면 상의 점들은 상기 수직 축들 중 하나를 따르지 않는 위치들에 위치되는 곡선 표면 상의 점들보다 상기 제 1 점에 대해 더 가까우며,
    상기 수직 축들 중 하나의 수직 축을 따르는 상기 곡선 표면 상의 중심점과 상기 경계선 사이의 거리는 제 1 영역 깊이이며, 상기 제 1 폭은 상기 제 1 영역 깊이의 적어도 3배인,
    프로세스 챔버에 커플링되는 플라즈마 소스.
KR1020160028263A 2015-03-13 2016-03-09 플라즈마 스큐를 제어하기 위한 원격 플라즈마 소스 KR102530953B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201562133160P 2015-03-13 2015-03-13
US62/133,160 2015-03-13

Publications (2)

Publication Number Publication Date
KR20160110183A KR20160110183A (ko) 2016-09-21
KR102530953B1 true KR102530953B1 (ko) 2023-05-09

Family

ID=56888110

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160028263A KR102530953B1 (ko) 2015-03-13 2016-03-09 플라즈마 스큐를 제어하기 위한 원격 플라즈마 소스

Country Status (5)

Country Link
US (1) US9466469B2 (ko)
JP (1) JP6715621B2 (ko)
KR (1) KR102530953B1 (ko)
CN (2) CN105977125B (ko)
TW (1) TWI670749B (ko)

Families Citing this family (88)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US10083818B2 (en) * 2014-09-24 2018-09-25 Applied Materials, Inc. Auto frequency tuned remote plasma source
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
TWI670749B (zh) * 2015-03-13 2019-09-01 美商應用材料股份有限公司 耦接至工藝腔室的電漿源
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) * 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
KR101864113B1 (ko) 2017-02-02 2018-06-04 (주)뉴젠텍 원격 플라즈마 생성을 위한 플라즈마 소스 블록
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
KR101864114B1 (ko) 2017-02-22 2018-06-04 (주)뉴젠텍 원격 플라즈마 생성을 위한 플라즈마 블록 모듈
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
DE102018204585A1 (de) * 2017-03-31 2018-10-04 centrotherm international AG Plasmagenerator, Plasma-Behandlungsvorrichtung und Verfahren zum gepulsten Bereitstellen von elektrischer Leistung
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR102034729B1 (ko) 2018-05-04 2019-10-21 (주)뉴젠텍 플라즈마 발생 및 유도를 위한 플라즈마 블록
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI801058B (zh) * 2021-12-23 2023-05-01 明遠精密科技股份有限公司 一種複合式電漿源及其運作方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013511812A (ja) 2009-11-18 2013-04-04 アプライド マテリアルズ インコーポレイテッド プラズマ源デザイン

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5122251A (en) * 1989-06-13 1992-06-16 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
JP3205542B2 (ja) * 1993-01-12 2001-09-04 東京エレクトロン株式会社 プラズマ装置
US7569790B2 (en) 1997-06-26 2009-08-04 Mks Instruments, Inc. Method and apparatus for processing metal bearing gases
US7166816B1 (en) 1997-06-26 2007-01-23 Mks Instruments, Inc. Inductively-coupled torodial plasma source
US6150628A (en) 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
JP2000331797A (ja) * 1999-05-19 2000-11-30 Sumitomo Metal Ind Ltd プラズマ処理方法及びその実施に使用するプラズマ処理装置
KR100500852B1 (ko) * 2002-10-10 2005-07-12 최대규 원격 플라즈마 발생기
US6872909B2 (en) 2003-04-16 2005-03-29 Applied Science And Technology, Inc. Toroidal low-field reactive gas and plasma source having a dielectric vacuum vessel
US7764140B2 (en) 2005-10-31 2010-07-27 Mks Instruments, Inc. Radio frequency power delivery system
EP1786075B1 (de) 2005-11-12 2008-12-03 HÜTTINGER Elektronik GmbH + Co. KG Verfahren zum Betrieb einer Vakuumplasmaprozessanlage
GB2437080B (en) 2006-04-11 2011-10-12 Hauzer Techno Coating Bv A vacuum treatment apparatus, a bias power supply and a method of operating a vacuum treatment apparatus
US7969096B2 (en) 2006-12-15 2011-06-28 Mks Instruments, Inc. Inductively-coupled plasma source
CN102197714A (zh) 2008-10-21 2011-09-21 应用材料股份有限公司 清洁腔室及工艺所用的等离子体源
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8771538B2 (en) * 2009-11-18 2014-07-08 Applied Materials, Inc. Plasma source design
US9967965B2 (en) * 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
GB201210607D0 (en) * 2012-06-14 2012-08-01 Welding Inst Plasma source apparatus and method for generating charged particle beams
CN103572253B (zh) * 2012-07-30 2016-02-10 北京北方微电子基地设备工艺研究中心有限责任公司 反应腔室和具有它的半导体设备
US9249500B2 (en) 2013-02-07 2016-02-02 Applied Materials, Inc. PVD RF DC open/closed loop selectable magnetron
WO2015099892A1 (en) * 2013-12-23 2015-07-02 Applied Materials, Inc. Extreme edge and skew control in icp plasma reactor
US9305749B2 (en) * 2014-02-10 2016-04-05 Applied Materials, Inc. Methods of directing magnetic fields in a plasma source, and associated systems
US10192717B2 (en) 2014-07-21 2019-01-29 Applied Materials, Inc. Conditioning remote plasma source for enhanced performance having repeatable etch and deposition rates
US10083818B2 (en) 2014-09-24 2018-09-25 Applied Materials, Inc. Auto frequency tuned remote plasma source
TWI670749B (zh) * 2015-03-13 2019-09-01 美商應用材料股份有限公司 耦接至工藝腔室的電漿源

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013511812A (ja) 2009-11-18 2013-04-04 アプライド マテリアルズ インコーポレイテッド プラズマ源デザイン

Also Published As

Publication number Publication date
JP2016195104A (ja) 2016-11-17
TWI670749B (zh) 2019-09-01
KR20160110183A (ko) 2016-09-21
CN205657041U (zh) 2016-10-19
CN105977125B (zh) 2019-07-19
JP6715621B2 (ja) 2020-07-01
US20160268103A1 (en) 2016-09-15
US9466469B2 (en) 2016-10-11
CN105977125A (zh) 2016-09-28
TW201637068A (zh) 2016-10-16

Similar Documents

Publication Publication Date Title
KR102530953B1 (ko) 플라즈마 스큐를 제어하기 위한 원격 플라즈마 소스
US9305749B2 (en) Methods of directing magnetic fields in a plasma source, and associated systems
KR101920842B1 (ko) 플라즈마 소스 디자인
KR100768019B1 (ko) 플라즈마 처리 시스템 및 그 방법
EP0867913A1 (en) Plasma processing system and plasma processing method
KR101570277B1 (ko) 플라스마 처리장치
WO2003054912A1 (en) Method and apparatus comprising a magnetic filter for plasma processing a workpiece
KR102591952B1 (ko) 플라즈마 처리 장치
US20190244825A1 (en) Controlling Azimuthal Uniformity of Etch Process in Plasma Processing Chamber
US7320941B2 (en) Plasma stabilization method and plasma apparatus
KR100798355B1 (ko) 대면적 처리용 외장형 권선 코일을 구비하는 플라즈마처리장치
TWI770144B (zh) 電漿處理裝置
KR20150040757A (ko) 플라즈마 cvd 장치
TWI584342B (zh) Plasma processing device
JP4567979B2 (ja) プラズマ処理システム及びプラズマ処理方法
KR20120073884A (ko) 유도 결합 플라즈마 공정 장치
Eremin Modeling of resonant surface wave excitation in a large CCP reactor
US10340121B2 (en) Plasma processing systems including side coils and methods related to the plasma processing systems
KR101109063B1 (ko) 플라즈마처리장치
KR101585894B1 (ko) 자기 강화된 플라즈마 반응기
KR102164480B1 (ko) 개선된 ecr 균일 플라즈마 발생 장치
KR101615493B1 (ko) 자기 강화된 플라즈마 반응기
JP4257931B2 (ja) クロム膜のエッチング方法、及びフォトマスクの製造方法
WO2021123728A1 (en) Method and apparatus for use in generating plasma
GB2590612A (en) Method and apparatus for use in generating plasma

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
GRNT Written decision to grant