TW201143552A - Plasma source design - Google Patents

Plasma source design Download PDF

Info

Publication number
TW201143552A
TW201143552A TW099139828A TW99139828A TW201143552A TW 201143552 A TW201143552 A TW 201143552A TW 099139828 A TW099139828 A TW 099139828A TW 99139828 A TW99139828 A TW 99139828A TW 201143552 A TW201143552 A TW 201143552A
Authority
TW
Taiwan
Prior art keywords
plasma
coil
core
source
region
Prior art date
Application number
TW099139828A
Other languages
English (en)
Inventor
Dmitry Lubomirsky
Jang-Gyoo Yang
Matthew L Miller
Jay D Ii Pinson
Kien N Chuc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201143552A publication Critical patent/TW201143552A/zh

Links

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Electromagnetism (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Description

201143552 六'發明說明: 【發明所屬之技術領域】 本發明大體上關於電漿處理系統與用於控制電衆處理 系統中電榮均勻性的材料及設備。 【先前技術】 電漿處理腔室通常用在各種電子元件製造製程中,諸 如触刻製程、化學氣相沉積(CVD)製程及其他與基材上 製造電子元件相關的製程。已利用許多方法生成及/或控 制電漿密度、形狀以及處理腔室中的電氣特徵,諸如一 般用在習知電漿腔室中的電容式或感應式耦合RF源。例 如’在電漿輔助化學氣相沉積製程期間,處理氣體透過 電容式耦合喷頭導入處理腔室,該喷頭配置於由製程套 組環繞的半導體基材之上。一旦電漿形成於PECVD腔 至’電漿與製程氟體和基材反應以沉積期望的材料層於 基材上。 習知電漿處理腔室設計中’所生成的電漿是配置在基 材表面之上,該設計會引發非所求的濺鍍以及對基材表 面的傷害,這是由於電漿中所形成的電子與離子和基材 表面的交互作用所致。暴露至所生成的電漿的浮接及在 電路上接地的部件大體上會累積淨電荷。所形成的淨電 荷引發形成於電漿中的電子及/或離子轟擊基材或腔室 部件的暴露表面,並且可能對基材或腔室部件的暴露表 201143552 面造成傷害。因此,在一些應用中,期望形成具有足以 易於與基材表面(或腔室部件表面)反應的能量之氣體 自由基,以強化反應速率同時不會威力強大地轟擊基材 或腔室部件表面,因為非離子化的氣體自由基不受形成 於基材或部件表面上的電荷所影響。 因此,為了防止或減少電漿與基材及腔室部件之間的 交互作用,已使用遠端電漿源(RPS)設計。一般的遠端電 桌源执什包括電漿生成區域,該區域定位在基材所處的 處理腔室的處理區域之遠端。以此方法,Rps裝置的電 漿生成區域中生成的電激大體上不會與基材表面交互作 用。 然而,當前的習知RPS設計一般利用微波、電容式耦 合或感應式轉合能量源,該等能量源具有狹窄的電聚生 成區域’而將會引發這些裝置具有較小的期望電漿處理 裕度,其限制了在習知RPS裝置的電聚生成區域中形成 的氣體自由基與氣體離子的能量範圍。在一個範^例中(如 第1圖所不,其為美國專利號6,15〇,628令的第3圖), 1知RPS没計大體上包括金屬電漿腔室100之區域 U2、114,在該區域中,透過將能量傳遞至第一核心ι〇4 與第二核心106而生成電漿。熟習此技藝者將認知到, 傳遞至電聚所生成之習知Rps設計的區域的電磁能是不 句勻的,且在電漿生成裝置(即線圈)所定位的區域「pR」 (第1圖)中具有向度活性或「熱點」。區域1 1 2、114 的所有其他部份具有「pR」區域外側微弱或不存在電源 201143552 耦合,這是由於他們相對電零感應元件(例如第一核心 . 104、第二核心106)的距離及位置之故。如帛i圖中所 - 概略性繪示’習知RPS設計傳統上使用封閉迴圈灯源 組態,其具有包裹封閉的磁通透核心周圍的繞線,該核 心環繞電衆生成區域的-部分。由對應於區域「pR」的 核心1〇4、106之位置與形狀所聚集而生成的場具有相對 小的區域且具有非常侷限的時間將RF &量傳送給流過 習知RPS裝置的氣體。因此,具有小型電“成區域的 習知RPS言史計具有非常偈p艮的生成及/或控制所形成的 氣體自由基及/或氣體離子之能量的能力。 為了解決能量耦合效能的問題,一般而言,Rps裝置 製造者大體上會同時將負電型氣體(例如氨氣(顺3))以 及正電型氣體(例如氬(Ar))流過電漿生成區域,以更 易於形成且保持形成的電漿於其令。然而,在一些實例 中’期望僅傳遞單一負電型氣體或單一正電型氣體以改 善處理速度或電漿處理結果,因此,經常期望使電漿形 成及保持於必需環境(regime)中,該必需環境具有低的電 名阻抗,諸如在電漿生成區域中的壓力低時(例如小於 〇 mTorr )。無法有效將電漿能量耦合處理氣體的習知 RPS設計不能夠滿足#前半導體處理卫業的需求。因 此,需要一種RPS設計以更有效地將所傳遞的RF能量 耦合處理氣體、具有更寬廣的製程裕度、並且能夠在更 大範圍的電漿阻抗中運作。 同樣地,對於習知RPS設計而言,一般是利用交換式 201143552 電源供應器在RPS裝置的電漿生成區域中形成電渡。在 習知設計中使用交換式電源供應器為佳,因為他們不需 要線路隔離的電路或者是阻抗重合網路以傳遞能量至 ㈣裝置的電激生成區域。交換模式的電源供應器調節 所傳遞的RF能量是藉由調整脈衝式或工作週期㈣ cycle)類型的傳遞組態中的輸出㈣或電流所達成。缺 而,已發現到在RPS設計中使用交換式電源供應器益法 有效地將所傳遞的RF能量輕合電裝。因此’需要更:效 地將所傳遞的RF能量耗合透過Rps裝置傳遞的氣體。 習知RPS設計-般亦使用金屬部件包圍Rps裝置中的 電,生成區域。’然而’為了防止金屬部件受到RPS賦能 的氣體所攻擊,一船B ^ « 择 般疋/儿積塗層於會暴露至電漿與高能 軋體之表面上。一般而言,已使用陽極氧化的鋁塗層於 鋁零件上,以防止基底銘表面受到Rps電聚中生成 度具能量的物料攻擊。麸 ° …' 而已發現到’嚴重的製程結 ^漂移會發生在使用塗層於Rps裝置的電聚形成區域 日…該製程漂移可能是由Rps激發氣體透過塗層令的 :陷(諸如塗層孔隙性或裂縫)與結構金屬部件的表面 發。塗層的問題W含有氧化物料或氟 ^科(其趨於攻擊多數通常使用的金屬材料)時特別 嚴重。因此,需要一種Rps μ
PS叹汁’旎夠避免由於含有RPS :置中生成的錢的元素攻擊所造成㈣程漂移及 形成。 同樣地,在此技術領域中需要一種用於設備與製程的 201143552 技術,以更有效生成並且控制電漿均勻性,並且具有更 大的處理裕度’而不至於嚴重增加處理成本與硬體成本。 【發明内容】 本發明之實施例大體上提供耗接一處理腔室的一電衆 源,其包含:一核心元件,該核心元件具有一第一端、 一第二端以及一核心元件中心軸;一第一電漿塊體’其 具有一個或多個表面’該等表面至少部份包圍一第一環 形電漿生成區域,其中該第一環形電漿生成區域配置在 環繞該核心元件的一第一部份處;以及一線圈,其配置 於該核心元件之一部份之上。 本發明的實施例亦可提供耗接一處理腔室的一電漿 源,其包含.一核心元件’該核心元件具有一第一端、 一第二端以及一核心元件中心軸;一第一電漿塊體,其 具有一個或多個表面,該等表面至少部份包圍一第一環 形電漿生成區域,其中該第一環形電漿生成區域配置在 環繞該核心元件的一第一部份處,並且具有大體上重合 該核心元件中心軸的一中心軸;一第二電漿塊體,其具 有一個或多個表面’該等表面至少部份包圍一第二環形 電漿生成區域’其中該第二環形電漿生成區域配置在環 繞該核心元件的一第二部份處,並且具有大體上重合該 核心元件中心軸的一中心軸;以及一線圈,其配置於該 核心元件之一部份之上。 8 201143552 本發明之實施例亦可提供—種形成高能的氣體原子 方法’其包含以下步驟:將—製程氣體流進一的 電浆生成區域,該第-環形電裝生成區域至少部份:形 第-電製塊體的一個或多個表面所包圍,其中該第―产 形電毁生成區域配置在環繞_核^元件的—部分處.长 及透過將RF功率傳遞至纏繞該核心元件的一部分二 線圈,而生成一電聚於該第-環形電毀生成區域。 、、樣中^:供-種具有多重電I控制裝置的 腔室,以供電衆處理-基材。多重電衆控制裝置的每: 者包含:—電漿塊體,該«塊體具有-内部環、 部環以及耦接該内部環與該外部環的一側板,以界定, 内部環與該外部環之間的生成區域;n 口,其穿過該外部環的一部分形成,該氣體入口連接— 第-外部氣體源一氣體出口,其穿過該外部環 分形成’該氣體出口與—基材所配置的該電衆腔室内的 一處理區域流體連通;以及—磁通透核心,其配置於穿 過S亥電漿塊體的每一内部環處。 【實施方式】 本發明的實施例大體上提供一種電衆源設備,以及使 用該電聚源設備的方法,其藉由使用電磁能量源而能夠 生成自由基及/或氣體離子於電以成區域中,該區域對 稱地繞磁性核心元件定位。大體而言,電衆生成區域盘 201143552 磁性核心的方位與形狀容許有效且均勻地將所傳遞的電 磁能耦合至配置在電t生錢域中的氣體。相信由於在 此揭露的的電漿源之組態’傳遞至磁性核心的電磁能可 以更有效地形成氣體自由基及/或氣體離子,提供更寬廣 的形成氣體自由基及/或氣體離子的製程裕度 (window),以及形成比現在市場上可見的習知電漿源設 計更大範圍的氣體自由基能量及/或離子密度。大體而 言,電漿生成區域中形成的電漿中所改善的特徵能夠改 善基材上或處理腔室一部分(其配置在電漿生成區域的 下游)的沉積、姓刻及/或清潔製程。 第2Α圖是電漿源1000的一個實施例的等角視圖,該 電漿源1000耦接製程腔室1〇2〇,使得在電漿源1〇〇〇中 電漿控制裝置1072的電漿生成區域1118(第2Β圖)内 形成的氣體自由基及/或氣體離子能夠傳遞到製程腔室 1020的處理區域U28(第2Β圖)。在電漿生成區域1118 中形成的氣體自由基及/或氣體離子隨後用於增強在製 程腔室部件及/或配置於其中的基材上所執行的沉積、蝕 刻及/或清潔製程。第2B圖是顯示於第2A圖中的電漿源 1000的剖面視圖’該圖是將電漿源1〇〇〇沿Χ_γ平面(第 2Α圖)裁切而形成’該χ_γ平面通過核心元件η3〇的 中心轴1130S。在此组態中,電漿源ι〇〇〇包含電漿控制 裝置1072以及用於操作一個以上在此揭露的實施例之 製程腔室1020。在一些電漿源組態中,由於電漿生成區 域1118相對於配置在製程腔室ι〇2〇的處理區域1128中 10 201143552 的基材或腔室部件之組態及/或定位之故,帶電荷的物料 (例如離子、電子)擴散出電漿生成區域n i 8 (例如第 2B圖至第2D圖中的符號A )的量較佳為僅極少量在處 理期間抵達基材或腔室部件並且與基材或腔室部件交互 作用。從電漿生成區域111 8到處理區域1 ] 2 8的氣體自 由基及/或氣體離子的擴散或通量亦可受到控制,這是透 過調整從氣體源1124通過電漿生成區域m8的氣體原 子的流量及/或處理壓力而達成。然而,在一些實例中, 電漿源10 0 0是設以使少量的帶電荷物料與配置在製程 腔室1020的處理區域1128中的基材表面之間的交互作 用發生,以進一步促進蝕刻或CVD類型的沉積製程。 如第2B圖中所繪示,製程腔室1〇2〇可包括腔室主體 1021,其具有一面以上的腔室壁,該等壁(諸如腔室側 壁1005與腔室蓋1〇1〇)可密封包圍處理區域1128。在 一些組態中,基材底座1015 (用於支撐基材1〇3〇)配置 於鄰接形成在腔室蓋1010中的入口 1〇11處。大體而言, 入口 1011與電漿源1000的電漿生成區域1118流體連 通。在一些實施例中,噴頭組件1027配置在入口 1〇11 與基材底座1015之間,以提供均勻地分配在電漿生成區 域1U8中形成的氣體自由基及/或氣體離子,使其傳遞 通過形成在喷頭組件1027中的孔洞1〇26而抵達基材 1030的表面1〇31。噴頭組件1〇27可電接地或浮接,而 孔洞1026的尺寸及密度可經選擇以調整進入處理區域 1128的氣體自由基及/或氣體離子的量與均勻度。亦可設 201143552 置背側氣體供應器1016以供應諸如氬或氦之類的氣體 至形成於基材1030背側與基材底座1〇15之間的間隙(圖 中未示),以改善溫度控制的基材底座1〇15與基材1〇3〇 之間的熱傳導。製程氣體供應器1〇25可用於將製程氣體 供應至處理區域1128。真空系系統1〇35(包含渦輪泉、 粗抽泵及/或其他類似裝置)控制製程腔室1〇2〇及/或電 漿源1000内的壓力。在一個範例中,處理區域"Μ及/ 或電漿生成區域i丨18内的壓力在處理期間是維持在真空 壓力’諸如介於約0.5 mT〇rr至約j T〇rr之間。在一些實 施例中’屏蔽件i丨29直接或非直接架設於腔室蓋【㈣ 上’以容置電漿控制裝置1072。屏蔽件1129的頂部設 有一開口(圖中未示),該開口對應於氣體入口〖12〇的 位置。屏蔽件1129被設計成減少電漿控制裝f 1〇72產 生的場效應,且較佳為由高Mu㈣製成,使得所有生 成的場都維持在屏蔽件1129的内部區域中。 在本發明的一些實施例中,電漿控制裝置1〇72附接或 架設在製程腔室1020的腔室蓋1〇1〇上,並且設以產生 氣體自由基及/或離子化氣體原子並將之導入製程腔室 1020的處理區域1128中。電漿控制裝置可與製程 腔室1020中的部件以絕緣板1145間隔開並且在電氣上 、、邑緣4絕緣板是由介電材料(例如陶究材料)所製成。 電聚控制裝置助大體上含有磁性核心(或此後稱之核 _牛1130 )、耦接核心元件i i 3〇的源組件i 8與處理 區域組件1 〇 7 3 電漿生成區域111 8大體上在核心元件 12 201143552 1130周圍對稱分佈,該區域可由處理區域組件1073中 所設的-個以上的部件所包圍。在一個實施例中,處理 區域組件1 073包含圓頂部份丨丨丨〇、電漿塊體丨丨丨6、冷 部板1134以及側覆蓋件114〇’該等構件包圍電漿生成區 域 1118。 操作電漿源1〇〇〇期間,基材1030放置在製程腔室1〇2〇 中的基材底座1015上以供處理。電漿源1〇〇〇的電漿生 成區域1118可隨後由真空泵系統1〇35拉至預定的壓力/ 真空下。—旦達成預定壓力’沉積、㈣或清潔氣體可 經由氣體入口 1120導入電漿控制裝置1〇72,並且從氣 體擴散主體1122流過電漿生成區域nig,同時真空栗系 統1 035持續抽取電漿源丨000,使得能夠獲得平衡處理 壓力。處理壓力可透過例如節流真空系統對電漿源丨〇〇〇 的流通而調整,或者是例如透過調整通過氣體入口丨丨2〇 導入電漿源1000的製程或清潔氣體的流率而調整處理 壓力。一旦壓力與氣流建立,即啟動功率源,RF功率源 (諸如源組件103 8 )在電氣上連接至線圈1丨3 2。由線圈 113 2生成的場在核心元件113 〇中感應式形成磁場,該核 心元件感應式將所傳遞的能量耦合配置在電漿生成區域 111 8中的氣體,以將氣體激發至電漿態。在一個實施例 中’在所形成的電漿中的離子密度與自由基濃度可透過 調整供給至線圈1132的功率或透過調整電漿源ι〇〇〇中 的處理壓力而增加或減少。 如第2B、2D與2E圖所示,電漿塊體ni6包含一部 13 201143552 件,該部件具有形成於其中的至少一部分的環形電漿生 成區域lilt環形電漿生成區域1118大體上繞核心元件 1130形成。在一個實施例中,如第2B圖所繪示環形 電漿生成區域11 18具有矩形的截面。然而,電漿生成區 域Π18的截面形狀可為任何期望的形狀,諸如圓形橢 圓形或其他實用的形狀,並且因此本發明在此所述的範 疇不意圓以矩形電漿生成區域為限。在一種組態中,電 漿生成區域1118至少部份由形成於電漿塊體1116十的 内壁1150、外壁1156與側壁1158(第2B圖)所包圍。 在一個實施例中,電聚生成區域1U8完全由電衆塊體 1116的體壁1150、1156、1158及側覆蓋件114〇所包圍, 該側覆蓋件是使用習知緊固件(圖中未示)附接電漿塊 體1116。在一個組態中’如第2E圖所#,環形電漿生 成區域1118包含繞中心轴1U6S形成的空間。在一種組 態中’環形電漿生成區域1118的空間在忽略排出通口 心與進入通口 1127區域的附加體積的情況下,其大體 :專於圍繞中心軸U16S的電漿生成區域(例如矩形區 段)的截面區域。在一些實施例中,環形電衆生成區域 ⑴8可相對中⑻1U6S完全對稱或實質上對稱。 如第2B圖所繪示’核心元件113〇大體上配置在靠近 電聚塊體m6的内f 1150的鄰近表面115〇八處穿過電 4塊體1116的一部分。在一能样士 ^ 刀隹態樣中,核心元件1130包 含向度磁性通透度的標布瞢彳μ ^ Λ 杆A s㈠列如鐵氧體(ferrite)桿), 但可為其他磁性材料,其禎魏人 丹視揭合結構而定。核心元件1130 14 201143552 藉以形成的磁性材料大體上具有以下特徵\(1)在高外加 頻率(impressed frequency)下具有低核心損失密度、(2) 具有咼居禮溫度(Curie temperature)、以及(3)具有高度的 塊材電阻率(bulk resistivity)。大體而言,核心元件U3〇 可由任何能夠用於提供所生成的場(例如磁場)傾向流 過的路徑之材料形成,該場是由RF電流流過設於源組件 1〇38中的一個以上的線圈(例如線圈1132)所生成。在 個實施例中,核心元件113G包含含鐵氧體的元件。當 在此使用「鐵氧體元件」與「鐵氧體材料」時,這些詞 彙並非意欲限制此述的本發明之㈣。同樣地,在=個 實施例中,核以件113G包含—束較小的直徑的圓柱體 或桿’該等圓柱體或桿對準中心軸(諸如中心轴U3〇s), 其重合第2B圖中所示的χ軸。 第2C圖是第2B圖中所繪示之電漿控制裝置μ”的 特寫剖面視圖,其大體上概略繪示本發明的一個實施 例’該實施例中,透過傳遞來自源組件刪(其於核心 元件中感應磁場(即B_場” B,,))的能量使感應輕合 電漿「!>」形成於該電聚生成區域1118中。感應的磁場 因而在錢生成區域中產生電場,該電場詩激發配置 於其十的氣體原子至激發態,而形成含有氣體自由基及/ 或離子的電聚。在電製處理期間,處理氣體透過形成在 電聚塊體1116的外壁1156中的進人通口 H27傳遞進入 電聚生成區域1118 ’並且受激發的氣體原子透過亦形成
於電漿塊體111 6的外壁〗〗以A 璧1156中的排出通口 1126離開電 5 15 201143552 漿生成區域1118。排出通口 1126因此在流通性上將電漿 生成區域1118耦接製程腔室1〇2〇的處理區域Π 28。因 此’傳遞至製程腔室1()2。的處理區们128的氣體之化 學物質可由製程氣體供應源1124控制,而氣體原子的能 量可由氣體原子在電敷生成區域1118中的滯留時間(例 如與流率呈比例)、所傳遞的RF功率、電衆生成區域⑴8 中的氣體之組成與電漿生成區域1U8的壓力所控制。 電衆處理期間,RF電流l(第2C圖)是透過線圈ιι32 傳遞’該線圈纏繞核心元件113〇的—部分,而產生流過 核心元件1130的磁場ΓΒ」’進而產生通過電漿生成區域 山8(見+」與「_」)的電場,並且引發配置於該區域 中的氣體原子形成電毁「P」。在一個實施例中,傳遞到 線圈1132的功率於RF頻率約4〇〇 kHz時可在約〇 i瓦 至約1〇千瓦之間變化’同時以介於〇5sccm至約則叫 之間的流率傳遞氣體,而壓力是維持在約i 至約 5〇〇 T〇rr之間。應瞭解到’ RF功率源所傳遞的功率之頻 率不限於400 kHz左右的頻率,且可在諸如約1〇服至 超過40 MHz的期望頻率下運作,其視應用而定。在一 些實例中’期望從RF功率源傳遞的灯功率是處於低於 或等於約13.56 MHz的頻率。 藉由使用此述的電渡源1〇〇〇組態,相信製程裕度、高 能氣體原子生成效能以及氣體自由基能量範圍可較習知 電聚生成裝置具有大幅度的改善。相信使用對稱地繞磁 通透核心元件(例如核心元件n w、 几仟1130)形成的被包圍的電 16 201143552 t生成區域m8具有許多勝於其他習知設計的優點。首 先,由於核心元件Π30形狀與電漿生成區域1118的對 稱方位之故,在該區域甲可形成具有均勻密度的電漿, 如第2C ®與帛2D @中概略性綠示。對稱形成的電聚生 成區域1118用於藉由在更大面積上傳遞rf能量而改善 所傳遞的RF功率耦合效能,並且避免上文所述之在習知 ㈣設計中常發現的共通「熱點」問題所產生的效能問 題。其次,由於電漿生成區域1118對核心元件113〇的 對稱形狀’亦排除了在習知RPS設計中所設的電毁生成 區域(諸如第1圖的方形電漿生成區域,以及例如第i 圖中「PR」區域的局部化小電漿耗合區域)#不同區域 中所具有的非均勻場密度之共通問題。習知Rps設計中 所發現的非均勻場密度可影響自㈣生成錢以及非所 欲的激發氣體原子與電漿生成區域表面部份的交互作 用。 在本發明-些實施射,「開放迴路」的磁場(或能夠 繞磁通透核心元件1130的中心軸整整36〇度平均分佈的 磁場)是由於線圈1132耦合核心元件113〇所生成。「開 放迴路」磁場因而助於電漿生成區域ln8内電漿密度均 勻。在一些實施例甲,本發明的磁通透核心元件是 「開放迴路」設計,該設計大體上筆直延伸通過電漿塊 體1116,而非習知環形(t〇rr〇idai)電漿腔室天線或 設計中一般所見的「封閉迴路」,在該等習知設計中纏繞 或線圈區段是包裹封閉式磁通透核心(其環繞電毁生成 17 201143552 的導管)的周圍。如上文所述,習知「封閉迴路」設計 只f在封閉迴路核心元件定位的導管周圍的某些區=有 較tfj的磁場。 °° 第2D圖是形成於電漿塊體1116中的電漿生成區域 1H8的概略侧刮面視圖,其中電漿「 」疋田% (B-場 「B」)通過電漿源1 〇〇〇的數個部份而形成。如第圖 中所繪示,形成在核心元件i 13〇中的心場(其流入紙 面,即「-」符號)’以及迴轉的B_場(其流出紙面,即 「X」符號)皆均勻地環繞核心元件1130的軸線分佈(例 如,在一固定的軸位置均勻地36〇度分佈)。熟習此技藝 者將瞭解到電漿控制裝置1〇72相對接地腔室蓋1〇1〇的 位置以及在電漿形成區域1118中形成的對稱生成場與電 漿大體上維持在製程腔室1〇2〇的處理區域1128外側, 但容許生成的氣體自由基以及一些氣體離子遷移進入處 理區域1128 ’使得他們能夠與基材和製程腔室部件交互 作用。在一個實施例中,期望將電漿生成區域丨丨丨8定位 在離基材103 0 —段距離處,以致電漿生成區域1118中 生成的電毁不會與基材表面1〇31交互作用,該交互作用 會趨於形成可觀的電漿電位與電漿鞘層於基材上而引發 離子轟擊與損傷。 核心元件設計 第2A圖至第6B圖大體上概略繪示單一核心元件 1130 ’其用於在封閉的電漿生成區域中形成均勻的電 18 201143552 漿。如上文所提’在一些實施例中,核心元件不需 為單-的單塊元件,而是可包含一系列較小的元件,將 該等較小的元件束在—起以提供所生成的場(例如磁場) 傾向流過的路徑’或者核心元件可形成為各種不同形狀 與組態,如下文所述。 在-個實施例中’如第2A圖至第6B圖所示,核心元 件1130包含棒狀元件,其配置成穿過電装塊體⑴6。在 此組態中’核心元们130具有棒狀,或者筆直的長形, 而非如習知設計中通常設置的環狀、方形或其他環繞電 衆塊體的封閉形狀。核心元件的筆直棒狀大幅改善 電聚生成區域1118中的離子密度(第2B圖),因為感應 式生成的場能夠散佈開來,這是由於他們不具有其傾向 流過的封閉式核心元件。因此’由筆直棒狀核心元件生 成的場不會限制在電聚生成區域的小區域。均等分佈的 磁場因而傾向形成通過對稱形成的電漿生成區域 均勻電漿密度。 在一些組態中,核心騎113()是棒狀,並具有規則或 繞中心軸1130S對稱的戴面,諸如圓形或圓柱截面。在 -個範例中,核心元件1130的截面為圓形,並且… 在約〇·5英忖至約2英奴間,且其長度在約3英时與 約20英对之間。在一個範例中,核心元件ιΐ3〇的長产 (L)對直徑(d)的比率(L/d)是在約15至約4〇之間,諸如 介於約2至約Π)之間。儘管此述的核心元件ιΐ3〇為圓 柱狀,應瞭解到核心元件1130的截面形狀可為非圓形或 19 201143552 非圓柱狀’諸如方形、六邊形、矩形或任何其他期望形 狀,無論規則或不規則。第2H圖繪示不同核心元件的二 個截面形狀的範例,該等核心元件可用在電漿源丨〇〇〇 中。在此範例中’核心元件1130可具有圓形截面形狀, 諸如「截面I」所示’可具有管狀截面形狀,諸如「截面 Π」所示’或者可具有六邊形截面形狀,諸如「戴面^ 所示’其是相對中心軸的規則形狀。在另一範例中核 心tl件1130可具有圓形截面形狀,並且具有形成於其中 的一個以上的特徵結構,諸如第7B圖中所示的核心元件 1721。在一個實施例中,棒狀核心元件113〇具有兩端部 1131A與1U1B (第2B圖),其配置在核心元件113〇的 相對端。因此,在筆直棒狀核心元件組態中,筆直線重 合核心元件1130的中心軸U30S,且該筆直線通過該二 端部 1131A 與 1131B。 已發現到核心元件物理或電性質的改變對電漿源的效 能、穩定度與可靠度具有相當大的影響。熟習此技藝者 瞭解飽和通量密度與磁通透度二者隨著核心元件=3〇 溫度增加而減少。因此’為了克服在電漿處理期間由於 「渦電流(eddy current)」加熱產生的核心元件丨丨3 〇溫度 上升的問,大體上需要主動冷卻核心元件11 3 〇。 第7 Α圖是電漿源丨〇〇〇的_個實施例的側剖面視圖, 其具有溫度控制核心元件113〇。第7B圖是根據本發明 之實施例的核心元件U30之側剖面視圖。在一個實施例 中,電漿源1000包含核心元件1130與熱交換組件171〇。 20 201143552 在一個實施例中,操作電漿源1 〇〇〇期間,溫度控制熱交 換流體從熱交換組件1 71 0傳遞通過一部分的核心元件 113 〇 ’並且隨後回到熱交換組件1710。大體而言,熱交 換組件1710包含習知流體熱交換裝置,諸如流體循環冷 部器。大體而言,熱交換流體具有高電阻率以及良好的
熱傳特性,諸如去離子水。在一個實施例中,如第7A 圖所示,核心元件113〇大體上包含磁通透核心元件 1721,其封閉在外殼1711内。在此組態中,由熱交換組 件171 〇傳遞的熱交換流體流於外殼1 711内,並且流過 磁通透核心元件1721以及流於其周圍,以將於其中形成 的生成熱移除。磁通透核心元件1721大體上包含高磁通 透度的材料,諸如鐵氧體桿,如先前與第圖至第 圖一併論及。 實施例中’外威1 711經調整尺寸以使得熱交換流 體得以通過間隙1723 (第7B圖)β,該間隙形成於核 心元件1721的外表面與外殼17Η的内表面之間。核心 元…可含有中央通道1722及/或複數個特徵結構 1叫諸如溝槽),其形成於核心元件的表面中。中央通 道㈣及/或複數個特徵結構1724大體上設以接收流動 的熱交換流體,以改盖、、*缸 。机動流體與核心元件1721之間的 熱傳。在一個組態中 甲核心凡件1721在外殼17u盥電 :塊體!m内受到支擇特徵結構(圖中未示)支揮與對 n紝後稱接σ形成於核心元件中的特 徵、口構4如中央通道1722或特徵結構。在一些 21 201143552 組態中,流體流動分佈特徵結構(諸如多孔板,圖中未 示)可配置在進入通口 1711B及/或排出通口丨711c處, 以協助促進在核心元件1 721周圍與穿過該核心元件的 熱交換流體的均勻流動。 參考第7B圖,在一個貫施例中,形成外殼〗7丨丨以使 外殼1711的外表面1711A在熱交換流體傳遞通過外殼 1711的内部區域1725時不會嚴重偏斜。在此組態中, 外殼1711是由剛性介電材料形成,諸如氧化鋁(ai2〇3)、 石英、氮化鋁、複合材料或其他適合的材料。在替代性 實施例中,形成外殼1711使得外殼1711的外表面17UA 在熱交換流體傳遞通過内部區域1725時會偏斜,以致外 表面17 11A可能擴張而得以使電漿塊體丨}丨6的内表面 1150A的一部份與外殼1711的外表面17ua之間產生接 觸。在電漿塊體1116的内表面us〇A與外殼171丨的外 表面1711A之間產生的接觸使得電漿生成區域1118中生 成的熱得以傳送到流過外殼1711的熱交換流體。在此組 態中,外殼1711是由可撓的介電材料形成,諸如塑膠材 料、纖維浸潰樹脂材料、彈性材料、複合材料或其他適 合的彈性且具有期望熱傳導性的材料。 在電漿源1 000的一個實施例中(其於下文進一步討 淪)’致動器1047以及系統控制器1〇〇1用於調整及諧調 核心元件1130 (其可包括外殼17n與核心元件i72i) 相對電漿生成區域1118的位置,進而改善對配置於其中 的氣體的RF耦合。在一個組態中,傳遞能量至線圈丨132 22 201143552 的電源供應器中的感測器(其附接功率傳遞電路的另一 區域,或者是與電漿生成區域1118連通)用於反饋資訊 (該資訊是關於電漿生成區域1118中生成的電漿之狀 態)給系統控制器1001,以致耦合電漿的能量能夠透過 系統控制器100丨調整。由感測器測量到並且返回系統控 制器1001的資訊可包括反射功率量、腔室阻抗、電漿密 度、電漿的光發射、或其他關於電漿生成區域1118中形 成的電漿之狀態的資訊。 電漿塊體 為了避免上文所述之習知環狀或RpS設計中所見的材 料相容性問題’電聚塊體1116是由不會與電聚形成區域 1118中形成的氣體自由基或離子反應、或不會與反應性 製程氣體反應的材料形成。大體而言,電漿塊體1116形 成呈、、堯核心元件113 0對稱的形狀,並且包含不會受到電 漿化千物質大量攻擊並且具有高度導熱性以將電漿生成 的”’、傳送到熱交換裝置的材料。在一個實施例中,電漿 鬼體111 6包含形成呈期望對稱形狀的高度導熱性介電材 料包3固體介電材料的電漿塊體1116具有許多勝於習 知設計的優點,因為其避免塗層缺陷以及習知RPS設計 般所見的可能性傷害問題。大體上難以透過將内表 面上具有塗層的兩個半片接合而產生完全封閉的電漿處 理區域, t 兩難以確保兩半片間接合處的表面塗層會在 t成t程期間維持不受傷害。使用固體介電材料亦消除 23 201143552 絕緣塊體(例如第1 弟圖中的元件符號1 08、1 1 〇 )的需求 性’該等塊體_ 4«" β 疋配置在習知含金屬電漿封閉區域的 幾個部份之間,以消w人人 均除a金屬區域中渦電流的形成。在 一個實施例中,雷漿祕舰\ 电聚塊體1116是由氧化鋁(a1203 )、氮 化鋁(A1N)、氧化铋〆^ 、 判*匕鈹(Be〇)、氮化硼(BN)、石英或其 他類似材料所製成。在—個範例中,電漿塊體^ " 6由約 2英时厚(第2B圖中@ χ方向)的氛化紹材料製成。在 個實鉍例中,内壁丨15〇的内表面丨15〇Α之直徑可介於 約2英忖至約5英吋之間,外壁iis6的内表面之直徑可 介於約5英吋至約10英吋之間,而電漿塊體m6的周 長範圍可在約10英吋至約3〇英吋之間,其視應用而定。 往回參考第2B圖,為了努力進一步確保電漿塊體1U6 與相關部件在處理期間維持冷卻,冷卻板1〖3 4大體上附 接電漿塊體的側壁11 58。冷卻板1134因此適於將處理期 間生成的熱移除’而防止任何密封或連接的部件在處理 期間受損害。在一個實施例中,冷卻板Π 34具有實質上 圓形的冷卻通道1138嵌於其中,以接收及循環冷卻介 質,諸如從熱交換源1139傳遞的水。儘管第2A圖中僅 顯示一個冷卻板與通道1134、1138’在本發明的一個實 施例中,第二冷卻板1146 (第2B圖)以及類似的冷卻 通道可排置在冷卻通道11 33之側壁11 58的相對側,其 附接側覆蓋件1140。在一個實施例中’藉由使用熱交換 源1139,將溫度控制冷卻介質傳遞至冷卻劑入口 1143(第 2A圖),並且從冷卻劑出口 1144 (第2A圖)返回,該 24 201143552 形成於第—與第二冷卻板1134、1146中。 冷卻劑出 電漿形成與功率傳遞 如上文所記敘’核心元件1130大體上提供所生成的場 (例如磁場)傾向流過的路徑以在電漿生成區4 U 18中 產生電漿,·^ °斤生成的场是由RF電流流過設於源組件 1038 中的―也]l μ # 個以上的線圈(例如線圈1132 )所產生。在 Α中線圈113 2包裹環繞核心元件〗丨3 〇的外部, 以感應式將線圈輕合核心⑽U3G。在—個實施例中, 線圈1132包含多圈導電材料,諸如銅帶或銅線,其連接 至源組件1038。在—個範例中,線圈1132包含介於一 圈到2 0圈之間的線圈,諸如介於三圈至五圈之間。 源組件1 〇38可使用一個以上的動態阻抗匹配元件以 有效傳遞RF功率到線圈i丄3 2。在一個組態中,源組件 103 8可使用頻率調諧元件、阻抗匹配網路調諧或具有前 向功率伺服(forward power serv〇ing)的頻率調諧,以 減少反射的功率,並且有效將RF能量傳遞至線圈1132 與電漿生成區域1118。在一個實施例中,源組件丨〇38 包含阻抗匹配元件1037以及RF功率源1039。RF功率 源1039可在電漿控制裝置1〇72外部,電氣上透過阻抗 匹配το件1037耦接線圈1132並且提供RF能量給線圈 1132。 在電聚源1 0 0 0的一個實施例中,可進—步設置第一 RF功率源組件丨042以將RF功率傳遞至使用點燃促進電 25 201143552 極的電漿生成區域1118的一部份,使得電漿能在其中更 易點燃。在一個實施例中,第二RF功率源組件1〇42包 含阻抗匹配元件1041以及RF功率源1〇43。RF功率源 1043可位於電漿控制裝置1072外部,其電氣耦接點燃 促進電極,諸如第一冷卻板1134及/或第二冷卻板1146 (第2B圖),以將傳遞至電極的能量電容式耦合配置在 電衆生成區域1118中的氣體原子。在一個範例中,如第 2B圖所示,RF功率源1〇43是設以提供RF偏壓給第二 冷卻板1146 (諸如介於約200 V(伏特)至約1〇 kv之間) 而點燃電漿生成區域1118中的電漿。第一冷卻板“Μ 與第二冷卻板1146可由導電材料(例如金屬)形成,其 配置在相鄰電漿生成區域1118處並且與之平行。至少一 部分的核心元件1Π0可通過環形第一冷卻板1134=第 二冷卻板1146的中心。在一種組態中,第一與第二冷卻 板1134、1146每一者具有徑向的切割線或裂隙(例如第 2A圖中的元件㈣1146A),其在處理期間抑制核心元 件mo生成的場所導致的環形元件中的渴電流形成。隔 離板m5大體上配置在電衆控制裝置ι〇72盥腔室蓋 测之間,其得以使用具大表面積的點燃促進電:至該 大表面積是RF熱點以供在雷喈赴抽 仕电浆點燃與處理期間改善電 容式柄合配置在電漿生成區域1118中的氣體。隔離板 1145防止電極透過處理腔室1〇2〇令的 路而接地。 I件坆成紐 另一種組態中,RF功率源购電氣上耗接電極1142 26 201143552 (第2B圖),該電極嵌在側覆蓋件ιι4〇内,以電容式將 傳遞至電極1142的能量麵合配置在電漿生成區域1118 中的氣體原子。電極1142可為導電環狀板(例如金屬), 該板具有表面1142A,配置於鄰接電漿生成區域1118且 與之平行處。至少一部分的核心元件113〇可通過環形電 極1142的中心。在一種組態中,環形電極1142透過介 電材料與電漿生成區域1118中形成的電漿分開並且實體 上隔離,且亦可含有徑向的切割線或裂隙,其在處理期 間抑制核心元件1130生成的場所導致的環形元件中的 滿電流形成。 在替代性實施例中,可透過使用調諧電容器1〇36達成 阻抗匹配,該電谷器附接纏繞在核心元件丨〖3 〇周圍的線 圈1132。調諧電容器1〇36的電容經選擇以用由rf功率 源1039傳遞的能量之頻率共振線圈1132。—個實施例 中,動態阻抗匹配與調諧電容器1036組態一併使用。在 此實例中’傳遞至電聚源i # RF能量比使用交換式 電源供應器的習知功率傳遞方案更有效地傳遞至電漿生 成區域’因為所傳遞的能量不需要使用卫作週期(即隨 時間所分配的脈衝式開/關電源)以控制功率至形成電聚 的處理氣體之間的傳遞。功率至電聚間非間歇式的傳遞 改善電敷生成製程的效能,並且改善調整傳遞至電衆的 功率層級之能力。 如第2F圖所繪示,-些組態中,源組件1〇38可包 系列岐的電子元件,其由⑽功率源1G39所驅動 27 201143552 形成電漿生成區域1118中的電漿。在功率傳遞線路中使 用固定的電子元件相較於使用主動RF匹配是有益的,因 為能夠減少源組件1〇38與電漿源1〇〇〇的複雜度。在一 個實施例中,如第2F圖所示,電容器1〇33在一端接地, 而在另一端透過視情況任選的調諧電容器1〇36耦接rf 功率源1039。RF功率源1039以及視情況任選的調諧電 容器1036亦耦接饋送線路1〇34與點燃線路1〇44。在此 組態中’單- RF功率源、1039能夠使用耦接點燃促進電 極的點燃線路1044在電漿生成區域ni8中形成電漿, 同時亦透過使用饋送線路1〇34在電漿生成區域HU中 維持電漿。饋送線路1G34㈣線圈1132,該線圈概略 性顯示如帛2F ®中的感應式線圏類型元件。在此實例 中’不需要第=RF功率源組件1()42,這是因為增加點 燃線路1044連接點燃促進電極(諸如第-冷卻板1134、 第二冷卻板1146及/或電極1142)之故。在一個範例中, 電容器H)33與調譜電容器1G36經選擇以使所形成的Μ 線路得以在接近共振下執行,且在—個範例中,每一者 可匕3 G.5至3GG奈法拉(n__Farad)的電容。為了避免 反射的功率問題,可在頻率調諸模式使用RF功率源1039 以有效傳遞功率給線圈1132與錢生成區域⑴8。 為了進-步改善所傳遞的RF能量從源組件ι〇38到電 漿生成區域1118的耦人,站也时, 妁耦σ,致動态1(M7以及系統控制器 28 201143552 發現到藉由相對於電毅生成區域m8調整核心元件 〇的位置’可調整電特徵與耦合效能。因此在一個實 施例中於電漿源1 〇〇〇的初始設定期間,可相對電漿生 成區域111 8調整核心元件i i 3 〇的位置以使功率耦合最 大化,然後將核心元件1130固定在此位置。 或者,藉由使用致動器1047與系統控制器1001,可 相對於電漿生成區1118,在製程腔室i㈣中執行的一 個或多個基材處理順序期間調整核心元件"30的位 置。在—個組態中,致動器购為飼服馬達或者步進馬 達’其能夠藉由使用耦接致動器的感測器(例如馬達編 碼益)、旎夠偵測所傳遞的向前及反射的RF功率變化之 感测器、以及系統控制II 1〇〇1而調整、控制(以封閉迴 圈式)、及/或最佳化核心元件113〇在+χ $向或-X方向 上的位置(第2F圖)。在—個實施例中,系統控制器_ 大體上適於控制所有電漿源1〇〇〇内所含的部件。系統控 制器1001大體上是設計成助於控制及自動化此述的電 聚處理技術’並且-般可包括中央處理單元(CPU,圖 中未示)、記憶體(圖中未示)以及支援電路(或1/0, 圖中未示)。CPU可為電腦處理器任何型式之―,該等電 腦處理器用於4環境中,以控制各種製程與硬體(例 如馬達、電源供應器、腔室硬體)並且監視製程(例如 基材溫度、氣體流率、傳遞至核元件的能量之量值、 核心元件之位置)°記憶體(圖中未示)連接至CPU,且 其可為-種或多種可讀取的記憶體,諸如隨機存取記憶 29 201143552 體(R A Μ )、唯讀記憶體(R 〇 M )、快閃記憶體、軟碟機、 硬碟機或其他形式的本地端或遠端數位儲存裝置。可將 軟體指令與資料編碼與儲存於記憶體内以指示cpu。支 援電路(圖中未示)亦連接cpu以用習知方式支援處理 器支援電路可包括習知两速緩衝存儲器、電源供應器、 時脈電路、輸入/輸出電路與次系統等。系統控制器可讀 的程式(或電腦指令)#定何種任務可在電黎源與基材 上執行。 第2B圖僅繪示定位在環狀電浆源外側的單―感 圈1132’然而此組態不欲限制本發明之範疇,因為線圈 1132的數目與位置可視應用或期望的電漿離子密度而改 變。在一些實施例中,如第2G圖中概略所示,線圈HU 可纏繞核心、元件1130的外部於任—端處、於核心元件 U30的中心部(例如第5圖中的U32b或ιΐ3叫或 均等地從-端包裹核心元件113〇至另一端。在一個實施 例中,如f 2G目所示,倘若使用多重線圈,每一線圈 1132F、1132G可個別連接個別的RF功率源,諸如源組 件1〇38與1058。源組件1〇58可包含RF功率源、ι〇59及 /或阻抗匹配元件1〇57。傳遞至每一 RF線圈u32F il32G 的RF功率訊號之每一者的相位與功率層級可彼此相對 調整或設置,而改善電襞生成區幻118中的電浆均句性 及/或改善RF功率耦合。 在-些實施例中,線圈1132可包含兩個或多個線圈 (例如’線圈U32F& 1132G),其㈣式定位在核心元 30 201143552 件1130上而相對電漿生成區域ni8,而以平行核心元件 U30的方向(即x方向)在電漿生成區域ui8中形成 均勻的電漿。在一個範例中,如第2J圖概略所示,兩個 或多個線圈串聯連接至源組件1〇38,並且在電漿生成區 域ms的相對側上纏繞核心元件113(^相信將源功率傳 遞到串聯式連接的線圈具有處理上的優點。處理優點包 括透過具有類似圈數的線圈可更對稱/均勻地在核心元 件1130中生成磁場,因為相同的電流流過串聯式連接的 線圈二者。相信核心元件113〇中更加對稱/均勻的生成 場能夠改善在平行核心元件〗13Q的方向(# χ方向)上 電漿生成區域1118中電漿的側向均勻度。在另一範例 中,如第21圖所概略繪示,兩個或多個線圈並聯連接源 '“且件1()38並'在電衆生成11域1118的相對側上纏繞核 心π件1130。在一個組態中,對稱定位的線圈(諸如線 圈1132F與U32G)以相同方向纏繞核心元件。在一些 實例中,一般較不青睞以相反方向纏繞核心元件^ 1 的 線圈’因為至少部份的生成場會消滅。@定的電子元件 型式源組件1038繪示於第21圖與第21圖,此源組件組 態不欲做為此述的本發明之範疇之限制。 電漿均勻度調整 如第2D圖所概略繪示,由於傳遞Rf能量所形成的通 過核〜7L件之磁場強度於徑向上的差異之故,形成於電 漿生成區域1118中的電漿在接近内i 1150處相較於電 31 201143552 漿塊體im的外壁U56處具有較高的電漿密度。因此, 為了進一步改善電漿耦合及/或使電漿生成區域1U8内 的電漿均勻度更加均勻,可使用各種電漿調整技術。 電漿源1000的一個實施例_,如第3圖所示,一個以 上的場塑形元件(諸如永久磁體1152)定位在電聚生成 區域1118周圍’以調整電漿均勻性。第3圖是根據本發 明一個實施例的電漿塊體1116部份等角剖面視圖。第3 圖中,磁性核心元件1130(以虛線代表)、冷卻板ιΐ34 與側覆蓋# 1140均為簡明起見而省略。電漿控制裝置 1072的一個實施例中,多重永久磁體ιΐ52嵌在電漿塊 體1U6的内壁1150的周邊,以調整電毁生成區域"μ 中形成的電漿。在一態樣中,電漿塊體1116的内壁ιΐ5〇 設有複數個開口 1154,這些開口是設以於其中納置永久 磁體1152。永久磁冑1152大體上是以對稱樣式間隔開, 並且以交替磁極之方式排列,即交替的北極「N」與南極 S」。環繞在内壁1150周圍定位的永久磁體1152趨於 推動在電漿生成區域1118中形成的電漿朝圓形區域中間 或外壁1156遠離電漿塊體1116的内壁U5〇。使用場塑 形元件因而使形成於内壁1150與外壁1156之間通過電 漿生成區域1118的電漿密度更加均勻。場塑形元件可包 含永久磁體、電磁體或其他能夠塑形電漿生成區域ιιΐ8 中形成的生成場與電漿的類似元件。 在一些貫例中,不使用場塑形元件,而是將線圈纏繞 至少一部分的電聚塊體1116,使得透過將電流流過此纏 32 201143552 繞線圈1432而生成的場會重新分配形成 域1118中的電漿。第4圖是電漿控制裝置1 〇72的側剖 面視圖,其概略性繪示根據本發明的一個實施例的纏繞 線圈組態。在此實施例中’一個以上的線圈i 43 2以期望 的圈數包裹電漿塊體1116。在一個實施例中,線圈丨4 3 2 纏繞於電漿塊體1116所有部份,諸如以3 6 〇度纏繞電聚 塊體111 6的内壁11 5 0、側壁11 5 8與外壁11 5 6與側覆蓋 件1140,以在透過功率源1433使電流通過線圈1432時 均勻配形成於電漿生成區域1118中的電漿。在一個實 施例中,DC功率傳遞至線圈1432以感應式耦合電漿生 成區域1118中生成的電漿並且重新分配之。更詳言之, 由電流通過線圈1432而產生的磁場大體上具有在接近 側壁"50中心處最強的磁通量密度,其傾向將電聚拉離 内壁1150邊緣,並且將電漿朝電敷生成區域⑴8的中 間重新分配,如箭號所指。通過線圈1432的電流傳遞因 而傾向使電衆生成區域1118中的電聚密度更均勾。在一 個實施例中’感應線圈1432用於點燃由使用能夠傳遞 RF能量的功率源1433所形成於電渡生成區域⑴8中的 電榮。倘若使用超過一個線圈1432,每—線圈⑽可 使用相同的功率與頻率驅動,或者每一線圈⑷2 同功率及/或頻率下獨立驅動。 :考量物⑷2可於任何期望位置包裹於内壁 :外壁U56周圍,或以期望間隔均等地包裹内壁 ,、外壁1156周圍。在-個實施例中,線圈⑷2包 33 201143552 裹於核心元件1130外部周圍並且鄰接冷卻板U34。在一 個態樣中,線圈1432排置在冷卻板1134與支撐元件1135 之間。支撐元件1135耦接冷卻板n 34的一側,且可包 括一個以上的支撐板(例如第2B圖中所示的支撐板 1136、1137)以固定延伸支撐板1136與1137的核心元 件1130。在一個組態中,如第4圖所繪示,線圈1432 顯示為同相纏繞’即流過線圈1432的電流感應電漿以在 電漿生成區域1118周圍以相同方向流動。或者,線圈 1432可彼此異相纏繞。 在電漿源1〇〇〇的一些組態中,接觸電漿塊體1116的 電漿生成區域1118的僅有的内表面可由電絕緣體製成, 諸如不會與電漿化學物質反應的融合的氧化矽或陶瓷。 因此,在一些組態中,電漿塊體可主要由金屬製成,諸 如塗佈介電質的鋁、陽極氧化鋁或不鏽鋼。在電漿塊體 111 6的幾個部份(諸如内壁丨丨5 〇、側壁1丨5 8及/或外壁 1156)由導電材料(例如金屬)製成的組態中,需要設 置絕緣間隔物(圖中未示)或介電質裂隙以破壞或抑制 渦電流,該渦電流大體上流於壁115〇、1156、U58中, 這是由於將電流傳遞至線圈1432而生成場所㈣加介 於導電壁之間的絕緣間隔物大體上使電漿源、工咖組件 更加複雜且耗費成本,同時也使其更難在真空密閉的組 態中組裴。因此’倘若内壁Π50或外壁1156及側壁1158 是由絕緣或非導電材料製成時,可省略絕緣間隔物。 34 201143552 氣趙傳遞硬體 如上文所記敘,電漿處理期間’處理氣體從氣體供應 器1124透過電漿塊體1116的進入通口 1127 (第2B圖) 傳遞進入電漿生成區域111 8,而受到激發的氣體原子透· 過亦形成於電漿塊體1116中的排出通口 1126離開電聚 生成區域111 8。在一個實施例中,電漿控制裝置1 072 的圓頂部份1110用於將處理氣體傳遞至電漿塊體1116 的進入通口 1127以及形成於其中的電漿生成區域 111 8。在一個實施例中,圓頂部份111 〇大體上包括氣體 入口 1120與氣體擴散器主體1122。氣體擴散器主體1122 大體上延伸通過電漿塊體1116的外壁1156中的進入通 口 11 27。氣體入口 1120連接外部氣體源(例如製程氣體 供應器11 24 )以將製程氣體或清潔氣體導入電漿控制裝 置1072。在一個實施例中,圓頂部份111 〇包含氣體分配 充氣部1123’該充氣部形成於氣體擴散器主體1122内’ 並且用於重新分配及控制氣體傳遞通過形成於氣體擴散 器主體1122中形成的孔洞1121而進入電漿生成區域 1118。電漿塊體1116的外壁1156設有氣體/電漿進入通 口 1126,該進入通口引導至電漿源1〇〇〇中的處理區域 1128。因此,氣體分配充氣部1123與電漿生成區域1118 流體連通。 製程氣體或清潔氣體可包括含氧或含氮氣體,諸如 NH3、N20、NO、N02、〇2,或含氟氣體,諸如 f2、NF3、 NH4F、CF4、C3F8、SF6、或 c2F5H,以及其組合,以及 35 201143552 視it况任選的載氣,諸如氬氣、氦氣或氮氣。在一態樣 :’製程氣體基本上包含氬氣(Ar)。另一㈣中,製程 氣體基本上包含氨氣(ΝΑ)。另一態樣中,將氨氣(ΝΑ) 與三氟化氮(NF3)氣體導入電衆控制裝置1〇72,以生成 NH4F電漿,該電漿隨後可用於移除放置在電漿源1000 中的基材上的原生氧化物。應考量到,視應用而定可使 用其他處理刖驅物氣體,諸如TSA、石夕院、或其他 實用的前驅物氣體。可以介於約100sccm至約looosccm 之間的流率提供前驅物氣體。可以介於約100 sccm至約 1000 seem之間的流率提供前驅物氣體。處理期間,電漿 源1000中處理區域1128的壓力可維持於約1〇〇爪丁〇訂 至約20 T〇rr之間。在一個範例中,腔冑壓力維持在約 100 mTorr 至約 1·25 Torr 之間。 參考第4圖,複數個氣體開口或孔洞1121可以一種以 上的角度1121A相對於氣體擴散器主體U22的中心軸 1121B形成,因而使得製程氣體或清潔氣體得以用切線 ^式傳遞進人錢生成區域1118,以形成環形渦旋式流 態,而非引發流入氣體在進入電漿生成區域ui8後沖擊 内壁1150的内表面。在一個範例中,相對電漿生成區域 1118徑向(如第4圖中所示的中心軸U2ib)呈一角度 而形成的孔洞1121對分(bisect)氣體擴散器主體h22並 且延伸通過核心元件中心軸n3〇s。在—個實施例中, 預定角度範圍可從約20度至約70度,諸如約45度。複 數孔洞的每-開口可具有期望寬度與長度,其視應又用而 5 36 201143552 疋。氣體擴散器主體1122可含有期望數目的開口,例如 約1 0個以上的開口’諸如約2 〇個以上的開口。 多重電漿源組態
在一些處理組態中,可使用並聯的多重電漿源,使得 具有類似或不同組成的製程氣體能傳遞至每一電漿源, 而提供具有變化的混合物比率及/或能量的高能氣體原 子予以製程腔室1020的處理區域u28。第5圖繪示簡 化的根據本發明之實施例之電漿腔室之剖面視圖,該電 漿腔室具有兩個電漿控制裝置1〇72A、1〇72B,該等電漿 控制裝置耦接製程腔室1〇2〇的腔室蓋ι〇1〇。為便於說 明’已省略電漿腔室部件,然而,應考量到製程腔室丨〇2〇 含有所有與第2B圖所示的製程腔室相同的部件,不同處 是該腔室適於納置兩個電漿控制裝置1〇72A、1〇72B。在 此實施例中’兩個電漿控制裝置1〇72A ' 1072b附接或 架設在製程腔室102 0的腔室蓋loio上,以產生氣體自 由基及/或離子化氣體’並且使之通過喷頭組件1〇27導 入下游的製程腔室1020。兩個電漿控制裝置i〇72A、 1072B平行排列,並且共享通用的核心元件U3〇,該核 心元件是穿過電漿塊體1016A、1016B的内壁1150A、 1150B配置。此組態中的核心元件U3〇大體上是類似上 述的部件,除了其可需要一些增加的長度以使其服務電 漿控制裝置1072A與1072B二者。在本發明一些實施例 中’兩個以上的電漿生成區域(例如電漿生成區域1118A 37 201143552 與1118B)全形成在諸如電漿塊體1〇16A的單一電漿塊 體(圖中未示)中,以減少複製的部件的數目並且改善 將兩個以上電聚生成區域對準核心元件1 1 3 〇的能力。 如第5圖所繪示,線圈1132A可纏繞核心元件113〇 的外部。線圈1132A的圈數可變化,其範圍在約!圈至 10圈之間。在一些實例中,線圈丨丨3 2 A可具有1 〇圈以 上的圈數。線圈1132A可沿核心元件U3〇排列在不同位 置。在一個實施例中,線圈i 132A可包含分佈在不同位 置的多重線圈。在一個實施例中,線圈是以任一種下列 方式分佈:(1)在線圈位置1132A與1132e ; (2)在線圈位 置1132B與1132D ; (3)僅在線圈位置1132c ; (4)在線圈 位置1132A與1132C,或者是1132C與1132E;以及(5) 在線圈位置 1132A、113 2B、1132C、113 2D、與 Π32Ε, 其取決於應用或電漿離子密度的需求而定。亦應考量 到,當使用多重線圈時,線圈可個別連接個別的rf功率 源組件(例如第2G圖中的1〇38、1〇58)。傳遞至每一 RF線圈的RF功率訊號可相對彼此調整或設置,以達成 期望的電漿均勻度、氣體自由基能量或電漿離子分佈。 類似地炚製程條件(例如功率、壓力、氣體流率、氣 體組成)改變、電漿控制裝置定位的方式、電漿中基材 的置及/或電梁控制裝置的固有物理特性而可改變所 生成的電漿之均勻度。在一個實施例中,為了進一步改 。將所傳遞的RF能量與兩個電漿控制裝置1072A、 1〇72B的電漿生成區域1118A、1118B的耦合,致動器 38 3 201143552 1047與系統控制器1〇〇1用於調整及調諧核心元件η” 相對於電漿生成區域丨丨丨8 A、丨丨丨8B的位置以改善RF 與配置於其中的氣體之耦合。在一些組態中核心元件 1130相對於第一電漿控制裝置1072A的位置受到控制, 而核心元件1130相對於第二電漿控制裝置1〇72B的位置 是次要的(即為附屬件)。 在一個態樣中,電漿控制裝置1〇72A、1〇72B的氣體 入口 1110A、1110B可個別連接相同的或不同的外部氣體 源(圖中未不),以提供不同的製程氣體或清潔氣體給電 漿控制裝置1072A、1072B,其視應用而定。雙電漿控制 裝置組態能夠快速切換不同電漿,其可有利於某些諸如 使用遠端電漿源以用於沉積或清潔必須環境的peald (電漿增強ALD )或CVD之應用。雙電漿控制裝置組態 亦實用於容許同時從不相容的氣體(其無法如期望般在 單一電聚控制裝置中受到激發)傳遞高能氣體物料。 第6A與第6B圖是繪示根據本發明一個實施例之具有 二個電漿控制裝置(例如元件符號丨〇 7 2 c、1 〇 7 2 D與 1072E )的電漿源1 〇〇〇之剖面視圖的概略圖式,該等電 漿控制裝置麵接核心元件(例如,元件符號113 〇 A、 1130B )。為便於說明’已省略製程腔室1 〇2〇部件,在第 6A圖與第6B圖中僅概略性繪示電漿控制裝置1〇72C ' 1072D與1072E與磁通透核心元件h30A、1130B。然而, 該等部件之每一者可包括如上文一併與第2A至2G圖及 第5圖所述之硬體部件。在一個範例中,第6 A圖或第 39 201143552 6B圖中所示的電漿控制裝置1072C、1072D與1072E之 各者含有所有如上文一併與第2B圖所述之相同部件。類 似於第5圖,三個電漿控制裝置1〇72C、1〇72D與ι〇72Ε 平行排列並且共享通用的核心元件丨丨3 〇 A、丨丨3 〇b,該等 核〜元件穿過電漿塊體(圖中未示)的内部環配置,並 且延伸穿過電漿控制裝置1〇72c、i〇72d與1072E的每 一者之相對側。
在一個組態中,線圈1132纏繞核心元件U3〇A(第6A 圖)或1130B (第6B圖)之外部。類似地’視應用與電 漿離子之需求而定,線圈的圈數與位置可以連同第5圖 中所論及之類似方式調整。在每一電漿控制裝置 1072C、1072D及1072E之每一者中所設的氣體入口(圖 中未示)可個別連接相同或不同的外部氣體源(圖中未 示)’因而能夠快速切換製程氣體以形成具有相同或不同 組成的«’而形成期望的氣體自由基或氣體離子,其 如前文所述。 在電漿源1000的一個實施例中,三個電聚控制裝置 umc、贈dw〇72e共享通用的核心元件(例如元件 符號113H i測),該核心^件在不同的核心元件部 份具有不同的直徑《例如,在第6A圖中,延伸通過第一 電衆控制裝置ΗΠ2Α (即最左邊或最外面之該者)的核 心元件113〇A的直徑可大於延伸通過第二與第三電毁控 制裝置1072D與1072E之核心元件U3〇A之區域。或者, 如第6B圖所*,延伸通過第二電衆控制裝i 1〇72〇 (即 40 201143552 中間的電漿控制裝置)的核心元件丨130B之直徑可小於 延伸通過第一與第三電漿控制裝置1〇72<::與1072£之核 心兀件1130B之部份的直徑。因為核心元件的外表面與 電f生成區域之間的距離會影響所生成的RF場對電漿 的電漿耦合效能,故改變相對於類似設置的電漿塊體之 核“元件的直徑會使得每一電漿源中麵合效能與電漿密 度有所不同。在每一電漿控制裝置1〇72C、1〇72〇及 1072E中所設的電漿生成區域各者中生成的不同電漿特 性是由於核心元件直徑差' 或電漿塊體中形成的電漿生 成區域之形狀或直徑所致,每一電漿控制裝置l〇72c、 1072D、l〇72E中的電漿性質可最佳化,以用於傳遞至每 一電漿控制裝置的特定類型之製程氣體或製程氣體混合 物。取決於核心元件的直徑或核心元件外表面與電漿生 成區域之間的相對距離,不同的能量可耦合進入電漿空 腔。 在每一電漿生成區域中產生的不同電漿特性是由於電 漿控制裝置1072C、1072D及1072E中的硬體組態、氣 體組成及/或氣體流率差異所造成,該不同的電漿特性能 因此用於生成具有不同特徵的氣體自由基及/或氣體離 子並且將之透過腔室蓋1〇1〇中的開口 1〇nc、1〇111)與 1011E傳遞至製程腔室1〇2〇的製程區域1128。 别述者疋導向本發明的實施例,在不背離本發明基本 範疇的情況下,可設計本發明之其他與進一步的實施 例而本發明之氣嚕由隨後的申請專利範圍所決定。 41 201143552 【圖式簡單說明】 藉由參照些繪示於附加圖式中實施例,可獲得如上 2所簡短總結的本發明之更特定的描述,如此可得到詳 、瞭解本發明之前述特徵的方法。然而,應注意,附加 式僅繪示本發明之典型實施例,且因此不欲視為其範 之限制,因本發明可允許其他同等有效之實施例。 第1圖繪示習知遠端電漿源裝置的剖面視圖。 第2A圖是根據本發明一個實施例的耦接處理腔 寬毁源之等角視圖。 第2B圖是根據本發明一個實施例的第2 a圖中所繪示 電7灸源的側剖面視圖。 第2C圖疋根據本發明一個實施例的第2a圖中所繪示 之電漿塊體的側剖面視圖。 第2D圖疋根據本發明一個實施例的電漿塊體的側 面視圖。 ° 第 · 2Ε圖是根據本發明一個實施例的電漿塊 視圖。 寺用 气第2F圖是根據本發明一個實施例之源組件的概略側 視圖°亥源組件用於在電漿源的電漿生成區域中形 戍電漿。 、第2G圖疋根據本發明一個實施例的電漿源之側剖面 現圖,該電%、、塔θ 6、/、有兩個以上線圈,該等線圈纏繞核心 42 201143552 元件。 第2H圖綠不根據本發明__個實施_ 中的核心元件的剖面形狀的三個範例。帛在電裝源 :圖是根據本發明-個實施例的概略的源組件側剖 第2J圖疋根據本發明一個實施例的概略的源組件㈣ 面視圖。 第3圖是根據本發明一個實施例的電漿塊體等角剖面 視圖。 第4圖疋根據本發明一個實施例之電漿控制裝置的側 剖面視® H置的線關繞於該電漿生成區域的至少 一部分。 第5圖繪示根據本發明一個實施例之具有耦接製程腔 至的兩個電漿控制裝置的電漿腔室之概略剖面視圖。 第6Α圖與第6Β圖是根據本發明之另一實施例的電漿 腔室的概略剖面視圖,該電漿腔室具有至少三個耦接製 程腔室的電漿控制裝置。 第7Α圖是根據本發明另—實施例的電漿腔室之側剖 面視圖’該電毀腔室具有溫度控制核心元件。 第7Β圖是根據本發明另—實施例的第7Α圖中所示的 溫度控制核心元件部份的側剖面視圖。 【主要元件符號說明】 43 201143552 100電漿腔室 1 0 4、1 0 6 核心 112、114 區域 PR區域 1000電漿源 1001系統控制器 1005 腔室側壁 1010腔室蓋 1011 入口 1011C-E 開口 1 01 5基材底座 1 016背側氣體供應器 1016A-B電漿塊體 1020製程腔室 1021 腔室主體 1025製程氣體供應器 1026孔洞 1027喷頭組件 1030基材 1031基材表面 1033 電容器 1034饋送線路 1 035真空泵系統 1 0 3 7 匹配元件 1038 源組件 103 9 RF功率源 1041 匹配元件 1042 RF功率源組件 1043 RF功率源 1044點燃線路 1047致動器 1072電漿控制裝置 1072A-E電漿控制裝置 1073處理區域組件 111 0 圓頂部份 1110A-B 電漿生成區 111 6電漿塊體 111 6 S 中心軸 1118電漿生成區域 1120 氣體入口 11 2 1 孔洞 1121A 角 11 2 1B 中心轴 1122氣體擴散主體 1123氣體分配充氣部 1124製程氣體供應器 1126排出通口 201143552 1127 進入通口 1146 冷卻板 11 2 8 處理區域 1150 内壁 1129屏蔽件 1150A 内壁 11 3 0核心元件 1152 永久磁體 1130A-B核心元件 1154 開口 1130S 中心軸 1154B 内壁 1131A-B 兩端 1156 外壁 1132線圈 1158 側壁 1132A、1132B、1132D 1432 線圈 圈 1433 功率源 1132C 、 1132E 位置 1710 熱交換組件 1132F-G 線圈 1711 外殼 11 3 4冷卻板 1711 A外表面 11 3 5支撐元件 1711B 進入通口 1136、1137 支撐板 1 7 11 C排出通口 11 3 8 冷卻通道 1721 核心元件 1139熱交換源 1722 中央通道 1140侧覆蓋件 1723 間隙 1143 電極 1724 特徵結構 11 4 3 冷卻劑入口 11 44冷卻劑出口 1725 B場 内部區域 1145 絕緣板 P電 漿 45

Claims (1)

  1. 201143552 七 、申請專利範圍: L —種耦接一處理腔室的電漿源’其包含: 以及 一核心元件,其具有一第一端、一第 一核心元件中心軸; —第一電漿塊體’其具有一個或多個表面,該 等表面至少部份包圍一第一環形電漿生成區域,其 中該第一環形電漿生成區域配置在環繞該核心元 件的一第一部份處,以及 —線圈,其配置於該核心元件之™部份之上。 2·如請求項第丨項所述之電漿源’其進一步包含: 壁,其具有: ,其與該第一環形電漿生成 該處理腔室之 一第一入口 區域流體速通;以及 一第二入口,其與一第二環形電漿生成 區域流體連通,該第二環形電漿生成區域配 置在環繞該核心元件的一第二部份處’其中 該第一入口與第二入口二者皆與該處理腔室 的一處理區域流體連通。 3.如請求項第2頊所述之電漿源,其中該核心元件的該 第一部份具有〆第-直徑,而該核心元件的該第二部 份具有一第二直授,其中該第一直徑有別於該第二直 46 201143552 徑。 4.如請求項第1項所述之電漿源,其進一步包含: —外殼,其具有一入口與一出口,其中該核心 元件配置在該外殼的一内部區域内;以及 —熱交換組件,其設以引發一溫度控制流體流 過該外殼的該入口、該内部區域以及該出口。 5·如請求項第1項所述之電漿源,其進一步包含: —致動器’其設以相對該第一環形電漿生成區 域移動該核心元件。 6. 如°月求項第1項所述之電漿源,其中該第-電漿塊體 包含一材料’該材料選自由氧化鋁(a】2〇3)、氮化鋁 (A1N)、氧化皱(Be〇)與氮化硼(BN)所構成之群組。 7. 如明求項第1項所述之電漿源,其中該線圈於該第一 端或於—中間位置配置在該核心元件之一部份上 方°亥中間位置是配置於該第一端與該第二端之間。 8. 如仴求項第1項所述之電漿源,其進-步包含: 複數個永久磁體,該等磁體配置在該核心元件 與該第—環形電漿生成區域之間。 9. 如叫求項第1項所述之電漿源,其進-步包含: RF功率源’其耦接該線圈;以及 47 5 201143552 一電漿重新分配線圈,其配置在該第一環形電 漿生成區域之至少一部分周圍,並且耦接一 DC功 率源。 10. 如請求項第i項所述之電漿源,其中該核心元件具棒 狀。 11. 如請求項第1項所述之電漿源,其進一步包含: —電極,其配置在鄰接該第一環形電漿生成區 域處;以及 一第一功率源’其在電氣上耦接該線圈與該電 極。 12. —種耦接一處理腔室的電漿源,其包含: 一核心元件’其包含一第一端、一第二端以及 一核心元件中心轴; 一第一電聚塊體,其具有一個或多個表面,該 等表面至少部份包圍一第一環形電漿生成區域,其 中該第一壞形電衆生成區域配置在環繞該核心元 件的,第一部份處,並且具有大體上重合該核心元 件中心軸的一中心轴; 一第二電衆塊體’其具有一個或多個表面,該 等表面至少部份包圍一第二環形電漿生成區域,其 中該第二環形電漿生成區域配置在環繞該核心元 件的〆第二部份處,並且具有大體上重合該核心元 48 201143552 件中心軸的一中心車由;以及 、夕_ 〇 一線圈,其配置於該核心元件之一部你 13. 如請求項第12項所述之電漿源’其進一步包含· 矣0 一冷卻板,其耦接該第一電漿塊體的〆 14. 如請求項第12項所述之電漿源,其進一少包3 -第-氣體出口 ’其形成於該第-電漿塊體 中,其中該第一氣體出口設以接收在該第〆環形電 漿生成區域中形成的氣體自由基,並且傳遞該等氣 體自由基至該處理腔室的一處理區域;以及 一第二氣體出口,其形成於該第二電聚塊體 中’其中該第二氣體出口設以接收在該第二環形電 漿生成區域中形成的氣體自由基,並且傳遞該等氣 體自由基至該處理腔室的該處理區域。 15·如請求項第12項所述之電漿源,其進一步包含: —外殼’其具有一入口與一出口,其中該核心 元件配置在該外殼的一内部區域内;以及 '熱交換組件,其設以引發一溫度控制流體流 過°亥外殼的該入口、該内部區域以及該出口。 16·如明求項第12項所述之電漿源’其進一步包含: —致動器,其設以相對該第一環形電漿生成區 域移動該核心元件;以及 49 201143552 系統控制器’其設以自動調整該核心元件相 對於該第一環形電漿生成區域的位置。 17·如請求項帛12項所述之電漿源,其中該卜電漿塊 體與該第—電漿塊體每一者包含一材料,該材料選自 由氧化鋁(Al2〇3)、氮化鋁(A1N)、氧化鈹(Be0)與氮化 硼(BN)所構成之群組。 18·如請求項帛12項所述之電㈣,其中該線圈於該第 一端或於一中間位置配置在該核心元件之一部份上 方該令間位置疋配置於該第一端與該第二端之間。 19.如請求項第12項所述之電漿源,其進一步包含: RF功率源,其耦接配置在該核心元件之一 部份上方的該線圈;以及 一電漿重新分配線圈,其配置在該第一環形電 漿生成區域之至少一部分周圍,並且耦接一 DC功 率源。 2〇. 一種形成高能的氣體原子的方法,其包含以下步驟: 將一製程氣體流進—第一環形電漿生成區域, 該第一環形電聚生成區域至少部份被一第一電聚 塊體的一個或多個表面所包圍,其中該第一環形電 漿生成區域配置在環繞一核心元件的一部分處;以 及 50 201143552 透過將RF功率傳遞至纏繞該核心元件的一部 刀的一線圈,而生成一電漿於該第一環形電漿生成 區域中。 種轉接—處理腔室的電漿源,其包含: 一核心元件,其具有一第一端、一第二端以及 —核心元件中心軸; —第—電漿塊體,其具有一個或多個表面,該 等表 面至少部份包圍一第一環形電漿生成區域,其 ^ I —環形電漿生成區域配置在環繞該核心元 件的—第—部份處; 第—線圈,其配置於該核心元件之該第一部 份之上;以及 第二線圈,其配置在該核心元件之/第二部 ’其中該第一電漿塊體配置在該核心元件的 4伤與該核心元件的該第二部份之間。 22.如請求項第21項所述之„源,其 該第二線圈串聯式連接—RF功率源 2 3.如請求項第 該第二線圈 21項所述之電漿源,其中該第 並聯式連接一 RF功率源。 --線圈與 2 4.如請求項第 2 1項所述之電漿源,其中該第〆線圈與 51 201143552 該第二線圈以相同方向纏繞該核心元件。 25.如請求項第21項所述之電漿源,其中該第一電漿塊 體以對稱式定位在該第一線圈與該第二線圈之間。
TW099139828A 2009-11-18 2010-11-18 Plasma source design TW201143552A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US26250509P 2009-11-18 2009-11-18
US12/905,940 US8742665B2 (en) 2009-11-18 2010-10-15 Plasma source design

Publications (1)

Publication Number Publication Date
TW201143552A true TW201143552A (en) 2011-12-01

Family

ID=44010797

Family Applications (2)

Application Number Title Priority Date Filing Date
TW099139386A TWI544837B (zh) 2009-11-18 2010-11-16 電漿源的設計
TW099139828A TW201143552A (en) 2009-11-18 2010-11-18 Plasma source design

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW099139386A TWI544837B (zh) 2009-11-18 2010-11-16 電漿源的設計

Country Status (6)

Country Link
US (1) US8742665B2 (zh)
JP (1) JP2013511812A (zh)
KR (2) KR20120089752A (zh)
CN (1) CN102714913A (zh)
TW (2) TWI544837B (zh)
WO (2) WO2011062755A2 (zh)

Families Citing this family (397)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9206512B2 (en) * 2011-06-21 2015-12-08 Applied Materials, Inc. Gas distribution system
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10271416B2 (en) * 2011-10-28 2019-04-23 Applied Materials, Inc. High efficiency triple-coil inductively coupled plasma source with phase control
US8933628B2 (en) * 2011-10-28 2015-01-13 Applied Materials, Inc. Inductively coupled plasma source with phase control
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8944003B2 (en) * 2012-11-16 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Remote plasma system and method
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
KR101498192B1 (ko) * 2013-01-11 2015-03-04 주식회사 테라텍 원격 플라즈마 발생기
US8970114B2 (en) * 2013-02-01 2015-03-03 Lam Research Corporation Temperature controlled window of a plasma processing chamber component
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
JP5861045B2 (ja) * 2013-03-28 2016-02-16 パナソニックIpマネジメント株式会社 プラズマ処理装置及び方法
JP6074668B2 (ja) * 2013-03-28 2017-02-08 パナソニックIpマネジメント株式会社 プラズマ処理装置及び方法
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US20150083042A1 (en) * 2013-09-26 2015-03-26 Applied Materials, Inc. Rotatable substrate support having radio frequency applicator
US9070538B2 (en) * 2013-10-25 2015-06-30 Varian Semiconductor Equipment Associates, Inc. Pinched plasma bridge flood gun for substrate charge neutralization
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
KR101527374B1 (ko) * 2013-12-06 2015-06-09 주식회사 테라텍 원격 라디칼 드라이 클리닝 장치 및 이를 이용한 클리닝 방법
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9240308B2 (en) 2014-03-06 2016-01-19 Applied Materials, Inc. Hall effect enhanced capacitively coupled plasma source, an abatement system, and vacuum processing system
US9230780B2 (en) 2014-03-06 2016-01-05 Applied Materials, Inc. Hall effect enhanced capacitively coupled plasma source
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US10192717B2 (en) 2014-07-21 2019-01-29 Applied Materials, Inc. Conditioning remote plasma source for enhanced performance having repeatable etch and deposition rates
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
JP6473889B2 (ja) * 2014-09-19 2019-02-27 パナソニックIpマネジメント株式会社 プラズマ処理装置及び方法、電子デバイスの製造方法
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US10083818B2 (en) * 2014-09-24 2018-09-25 Applied Materials, Inc. Auto frequency tuned remote plasma source
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10490429B2 (en) * 2014-11-26 2019-11-26 Applied Materials, Inc. Substrate carrier using a proportional thermal fluid delivery system
US10573496B2 (en) * 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
TWI670749B (zh) * 2015-03-13 2019-09-01 美商應用材料股份有限公司 耦接至工藝腔室的電漿源
US11384432B2 (en) * 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10256075B2 (en) * 2016-01-22 2019-04-09 Applied Materials, Inc. Gas splitting by time average injection into different zones by fast gas valves
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10431425B2 (en) * 2016-02-23 2019-10-01 Tokyo Electron Limited Poly-phased inductively coupled plasma source
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10453657B2 (en) * 2016-07-08 2019-10-22 Applied Materials, Inc. Apparatus for depositing metal films with plasma treatment
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) * 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10435787B2 (en) 2016-11-14 2019-10-08 Applied Materials, Inc. Hydrogen partial pressure control in a vacuum process chamber
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10777394B2 (en) 2016-12-09 2020-09-15 Applied Materials, Inc. Virtual sensor for chamber cleaning endpoint
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10840068B2 (en) * 2017-02-15 2020-11-17 Yield Engineering Systems, Inc. Plasma spreading apparatus and method of spreading plasma in process ovens
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
JP6544374B2 (ja) * 2017-03-24 2019-07-17 Tdk株式会社 磁気センサ
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
DE102018204585A1 (de) * 2017-03-31 2018-10-04 centrotherm international AG Plasmagenerator, Plasma-Behandlungsvorrichtung und Verfahren zum gepulsten Bereitstellen von elektrischer Leistung
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10991550B2 (en) * 2018-09-04 2021-04-27 Lam Research Corporation Modular recipe controlled calibration (MRCC) apparatus used to balance plasma in multiple station system
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US10832893B2 (en) 2019-03-25 2020-11-10 Recarbon, Inc. Plasma reactor for processing gas
US20200312629A1 (en) * 2019-03-25 2020-10-01 Recarbon, Inc. Controlling exhaust gas pressure of a plasma reactor for plasma stability
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR102143989B1 (ko) * 2019-10-25 2020-08-12 재단법인 철원플라즈마 산업기술연구원 질화붕소 나노튜브 제조 장치
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
US20210268596A1 (en) * 2020-02-28 2021-09-02 The Esab Group Inc. Electromagnetic components cooling apparatus, method, and configuration
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11710630B2 (en) * 2020-04-23 2023-07-25 Applied Materials, Inc. Plasma block with integrated cooling
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210136481A (ko) * 2020-05-07 2021-11-17 삼성전자주식회사 플라즈마 공정 시스템, 그 시스템에서의 플라즈마 제어방법, 및 그 제어방법을 포함한 반도체 소자 제조방법
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111470481B (zh) * 2020-05-19 2023-09-19 四川大学 一种等离子体反应雾化制备高纯氮化铝球形粉末的方法
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN114836736A (zh) * 2021-02-01 2022-08-02 江苏菲沃泰纳米科技股份有限公司 等离子体镀膜设备和镀膜方法
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3509490A (en) * 1967-04-26 1970-04-28 Ibm Inductive excitation system for plasma
US4368092A (en) * 1981-04-02 1983-01-11 The Perkin-Elmer Corporation Apparatus for the etching for semiconductor devices
US4431898A (en) * 1981-09-01 1984-02-14 The Perkin-Elmer Corporation Inductively coupled discharge for plasma etching and resist stripping
JPS58186364A (ja) * 1982-04-21 1983-10-31 Matsushita Electric Ind Co Ltd Xyリニアモ−タ装置
NL8601824A (nl) * 1986-07-11 1988-02-01 Hauzer Holding Werkwijze en inrichting voor het met een geleidend plasmakanaal ontsteken van een boog.
US5106827A (en) * 1989-09-18 1992-04-21 The Perkin Elmer Corporation Plasma assisted oxidation of perovskites for forming high temperature superconductors using inductively coupled discharges
US5197818A (en) * 1990-06-21 1993-03-30 Johnson David W Tower constructed of pultruded composites
US5290382A (en) * 1991-12-13 1994-03-01 Hughes Aircraft Company Methods and apparatus for generating a plasma for "downstream" rapid shaping of surfaces of substrates and films
JP2972477B2 (ja) 1993-01-27 1999-11-08 日本電気株式会社 Rf・ecrプラズマエッチング装置
JPH06290897A (ja) * 1993-03-31 1994-10-18 Shibaura Eng Works Co Ltd プラズマ発生装置
KR100324792B1 (ko) * 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5435881A (en) * 1994-03-17 1995-07-25 Ogle; John S. Apparatus for producing planar plasma using varying magnetic poles
JP3585578B2 (ja) * 1995-05-30 2004-11-04 アネルバ株式会社 プラズマ処理装置
US6471822B1 (en) * 1996-01-24 2002-10-29 Applied Materials, Inc. Magnetically enhanced inductively coupled plasma reactor with magnetically confined plasma
JPH09250986A (ja) * 1996-03-16 1997-09-22 Horiba Ltd Icp発光分光分析装置の点火回路
US6214162B1 (en) * 1996-09-27 2001-04-10 Tokyo Electron Limited Plasma processing apparatus
US5969470A (en) * 1996-11-08 1999-10-19 Veeco Instruments, Inc. Charged particle source
US6158384A (en) * 1997-06-05 2000-12-12 Applied Materials, Inc. Plasma reactor with multiple small internal inductive antennas
JP2868120B2 (ja) * 1997-06-11 1999-03-10 川崎重工業株式会社 電子ビーム励起プラズマ発生装置
US6150628A (en) * 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6924455B1 (en) * 1997-06-26 2005-08-02 Applied Science & Technology, Inc. Integrated plasma chamber and inductively-coupled toroidal plasma source
US6388226B1 (en) * 1997-06-26 2002-05-14 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US7569790B2 (en) * 1997-06-26 2009-08-04 Mks Instruments, Inc. Method and apparatus for processing metal bearing gases
US6815633B1 (en) * 1997-06-26 2004-11-09 Applied Science & Technology, Inc. Inductively-coupled toroidal plasma source
US7166816B1 (en) * 1997-06-26 2007-01-23 Mks Instruments, Inc. Inductively-coupled torodial plasma source
JPH11135296A (ja) * 1997-07-14 1999-05-21 Applied Materials Inc マルチモードアクセスを有する真空処理チャンバ
US5998933A (en) * 1998-04-06 1999-12-07 Shun'ko; Evgeny V. RF plasma inductor with closed ferrite core
US6184489B1 (en) * 1998-04-13 2001-02-06 Nec Corporation Particle-removing apparatus for a semiconductor device manufacturing apparatus and method of removing particles
US6204607B1 (en) * 1998-05-28 2001-03-20 Applied Komatsu Technology, Inc. Plasma source with multiple magnetic flux sources each having a ferromagnetic core
US6592709B1 (en) * 2000-04-05 2003-07-15 Applied Materials Inc. Method and apparatus for plasma processing
US6418874B1 (en) * 2000-05-25 2002-07-16 Applied Materials, Inc. Toroidal plasma source for plasma processing
US6494986B1 (en) * 2000-08-11 2002-12-17 Applied Materials, Inc. Externally excited multiple torroidal plasma source
US7137354B2 (en) * 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
EP1307896A2 (en) * 2000-08-11 2003-05-07 Applied Materials, Inc. Externally excited torroidal plasma source
US6453842B1 (en) * 2000-08-11 2002-09-24 Applied Materials Inc. Externally excited torroidal plasma source using a gas distribution plate
JP4717295B2 (ja) * 2000-10-04 2011-07-06 株式会社半導体エネルギー研究所 ドライエッチング装置及びエッチング方法
US6755150B2 (en) * 2001-04-20 2004-06-29 Applied Materials Inc. Multi-core transformer plasma source
JP2003323997A (ja) * 2002-04-30 2003-11-14 Lam Research Kk プラズマ安定化方法およびプラズマ装置
US20030015965A1 (en) * 2002-08-15 2003-01-23 Valery Godyak Inductively coupled plasma reactor
US7255774B2 (en) * 2002-09-26 2007-08-14 Tokyo Electron Limited Process apparatus and method for improving plasma production of an inductively coupled plasma
KR100500852B1 (ko) * 2002-10-10 2005-07-12 최대규 원격 플라즈마 발생기
KR100542740B1 (ko) * 2002-11-11 2006-01-11 삼성전자주식회사 가스 플라즈마 생성 방법 및 장치, 플라즈마 생성용 가스조성물 및 이를 이용한 반도체 장치의 제조 방법
KR100488348B1 (ko) * 2002-11-14 2005-05-10 최대규 플라즈마 프로세스 챔버 및 시스템
US6872909B2 (en) * 2003-04-16 2005-03-29 Applied Science And Technology, Inc. Toroidal low-field reactive gas and plasma source having a dielectric vacuum vessel
KR100603646B1 (ko) * 2004-08-10 2006-07-24 주식회사 뉴파워 프라즈마 대기압 다중 플라즈마 발생기 및 이를 이용한 대기압플라즈마 처리 시스템
EP1727186B1 (en) * 2005-05-23 2012-01-25 New Power Plasma Co., Ltd. Plasma chamber with discharge inducing bridge
JP5257917B2 (ja) * 2006-04-24 2013-08-07 株式会社ニューパワープラズマ 多重マグネチックコアが結合された誘導結合プラズマ反応器
KR100793457B1 (ko) * 2006-04-24 2008-01-14 최대규 다중 방전실을 갖는 플라즈마 반응기
US7405410B2 (en) * 2006-07-14 2008-07-29 Mark Morehouse Method and apparatus for confining, neutralizing, compressing and accelerating an ion field
US7837826B2 (en) * 2006-07-18 2010-11-23 Lam Research Corporation Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof
JP2008047620A (ja) 2006-08-11 2008-02-28 Mitsubishi Heavy Ind Ltd プラズマ処理方法、及び、プラズマ処理装置
KR101349195B1 (ko) 2007-01-15 2014-01-09 최대규 코어 커버를 구비한 유도 결합 플라즈마 반응기
US7872523B2 (en) * 2008-07-01 2011-01-18 Mks Instruments, Inc. Radio frequency (RF) envelope pulsing using phase switching of switch-mode power amplifiers
KR100961704B1 (ko) * 2008-07-10 2010-06-10 한국타이어 주식회사 전기 가류기 드럼의 가스 순환장치

Also Published As

Publication number Publication date
WO2011063146A2 (en) 2011-05-26
KR20170097786A (ko) 2017-08-28
KR101920842B1 (ko) 2018-11-21
WO2011063146A3 (en) 2011-11-24
WO2011062755A2 (en) 2011-05-26
KR20120089752A (ko) 2012-08-13
US20110115378A1 (en) 2011-05-19
CN102714913A (zh) 2012-10-03
US8742665B2 (en) 2014-06-03
TW201143551A (en) 2011-12-01
JP2013511812A (ja) 2013-04-04
WO2011062755A3 (en) 2011-09-15
TWI544837B (zh) 2016-08-01

Similar Documents

Publication Publication Date Title
TW201143552A (en) Plasma source design
US8771538B2 (en) Plasma source design
US6265031B1 (en) Method for plasma processing by shaping an induced electric field
US5397962A (en) Source and method for generating high-density plasma with inductive power coupling
JP2635267B2 (ja) Rfプラズマ処理装置
EP1727186B1 (en) Plasma chamber with discharge inducing bridge
JP2004501277A (ja) マグネトロンスパッタリングを向上させる誘導プラズマループ
KR100513163B1 (ko) Icp 안테나 및 이를 사용하는 플라즈마 발생장치
US20160086772A1 (en) Auto frequency tuned remote plasma source
US20030071035A1 (en) Induction plasma reactor
US20100074807A1 (en) Apparatus for generating a plasma
KR20040062846A (ko) 유도결합형 안테나 및 이를 채용한 플라즈마 처리장치
JP2007317661A (ja) プラズマ反応器
JP2004111960A (ja) 誘導結合プラズマ発生装置
TW201143547A (en) Plasma processing apparatus
US7217337B2 (en) Plasma process chamber and system
US6136140A (en) Plasma processing apparatus
JP7383824B2 (ja) プラズマの生成に使用するための方法および装置
JP7352029B2 (ja) プラズマの生成に使用するための方法および装置
KR102467297B1 (ko) 마그네틱 코어 방열패드
USRE40963E1 (en) Method for plasma processing by shaping an induced electric field
CN116169001A (zh) 电感耦合等离子体装置以及镀膜设备