KR20090101918A - 증착 시스템 및 방법 - Google Patents

증착 시스템 및 방법

Info

Publication number
KR20090101918A
KR20090101918A KR1020097014140A KR20097014140A KR20090101918A KR 20090101918 A KR20090101918 A KR 20090101918A KR 1020097014140 A KR1020097014140 A KR 1020097014140A KR 20097014140 A KR20097014140 A KR 20097014140A KR 20090101918 A KR20090101918 A KR 20090101918A
Authority
KR
South Korea
Prior art keywords
substrate
transfer head
deposition
gas
output face
Prior art date
Application number
KR1020097014140A
Other languages
English (en)
Inventor
데이비드 하워드 레비
Original Assignee
이스트맨 코닥 캄파니
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=39272914&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=KR20090101918(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by 이스트맨 코닥 캄파니 filed Critical 이스트맨 코닥 캄파니
Publication of KR20090101918A publication Critical patent/KR20090101918A/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • C23C16/545Apparatus specially adapted for continuous coating for coating elongated substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02183Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02192Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing at least one rare earth metal element, e.g. oxides of lanthanides, scandium or yttrium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02551Group 12/16 materials
    • H01L21/02557Sulfides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Abstract

본 발명은 일련의 기체 흐름을 박막 증착 시스템의 전달 헤드의 출력 면으로부터 기판 표면으로 동시에 유도시킴을 포함하는, 기판 상에 박막 물질을 증착시키는 방법을 제공하며, 이때 일련의 기체 흐름은 적어도 제 1 반응성 기상 물질, 불활성 퍼지 기체, 및 제 2 반응성 기상 물질을 포함하고, 제 1 반응성 기상 물질은 제 2 반응성 기상 물질로 처리된 기판 표면과 반응할 수 있으며, 기체 흐름 중 하나 이상은 전달 헤드 면으로부터 기판 표면을 분리하는데 적어도 일조하는 압력을 제공한다. 상기 방법을 수행할 수 있는 시스템이 또한 개시된다.

Description

증착 시스템 및 방법{DEPOSITION SYSTEM AND METHOD}
본 발명은 일반적으로 박막 물질의 증착, 더욱 구체적으로는 기체 흐름을 기판 상으로 동시에 유도하는 분배 헤드를 사용하여 기판 상에 원자층을 증착시키는 장치에 관한 것이다.
박막 증착을 위해 널리 사용되는 기법 중에는, 반응 챔버에서 반응하여 기판에 원하는 막을 증착하는 화학적으로 반응성인 분자를 사용하는 화학 기상 증착법(CVD)이 있다. CVD 용도에 유용한 분자 전구체는 증착될 막의 원소(원자) 성분을 포함하고, 전형적으로 또한 추가적인 원소도 포함한다. CVD 전구체는 기판에서 반응하여 그 위에 박막을 형성하도록 기체 상으로 챔버로 전달되는 휘발성 분자이다. 화학적 반응물은 원하는 막 두께를 갖는 박막으로 증착된다.
대부분의 CVD 기법에 공통되는 것은 하나 이상의 분자 전구체의 CVD 반응기로의 양호하게 제어된 유동의 적용에 대한 필요성이다. 기판은 부산물의 효과적인 제거가 수반되는 분자 전구체들 간의 화학적 반응을 촉진시키도록 제어된 압력 조건 하에서 양호하게 제어된 온도로 유지된다. 최적 CVD 성능을 얻기 위해서는 전 공정에 걸쳐서 기체 흐름, 온도 및 압력을 정상 상태로 달성 및 유지시키고, 과도 현상을 최소화 또는 제거하는 능력이 필요하다.
특히 반도체, 집적 회로 및 기타 전자 디바이스 분야에 있어서, 통상적인 CVD 기법의 달성할 수 있는 한계를 넘어서는, 더 우수한 등각 코팅 특성을 가진 박막, 특히 고품질이고 고밀도의 박막이 필요하고, 특히 저온에서 제조될 수 있는 박막이 필요하다.
원자층 증착("ALD")은, CVD 선행 기술에 비하여, 개선된 두께 분해능과 등각 능력을 제공할 수 있는 대안의 막 증착 기법이다. ALD 공정은 종래의 CVD의 통상적인 박막 증착 공정을 단일 원자층 증착 단계로 분할한다. 유리하게도, ALD 단계는 자체-종결되고, 자체-종결 노출 시간까지 또는 이를 초과하여 전도될 때 하나의 원자층을 증착시킬 수 있다. 원자층은 전형적으로 0.1 내지 0.5 분자 단일층이고, 대략 수 Å 이하의 전형적인 치수를 갖는다. ALD에 있어서, 원자층의 증착은 화학적 분자 전구체와 기판 간의 화학적 반응의 결과이다. 각 별개의 ALD 반응 증착 단계에서, 순수한 반응은 원하는 원자층을 증착시키고, 분자 전구체에 원래 포함되어 있는 "여분의" 원자를 실질적으로 제거한다. 그의 가장 순수한 형태로, ALD는 다른 전구체 또는 반응 전구체의 부재하에, 각 전구체의 흡착 및 반응을 포함한다. 실제로, 임의의 시스템에 있어서, 소량의 화학 기상 증착 반응에 이르게 되는 일부 다양한 전구체의 직접적인 반응을 피하는 것은 곤란하다. ALD를 수행하는 것이 요구되는 임의의 시스템의 목적은, 소량의 CVD 반응이 허용될 수 있다는 것을 인지하면서, ALD 시스템에 적당한 디바이스 성능 및 특성을 얻는 것이다.
ALD 용도에 있어서, 전형적으로 2개의 분자 전구체는 별개의 단계에서 ALD 반응기로 도입된다. 예를 들어, 금속 전구체 분자 MLx는 원자 또는 분자 리간드 L에 결합되는 금속 원자 M을 포함한다. 예를 들어, M은 Al, W, Ta, Si, Zn 등일 수 있으나, 이에 제한되지 않는다. 기판 표면이 분자 전구체와 직접 반응하도록 준비될 때에 금속 전구체는 기판과 반응한다. 예를 들어, 전형적으로 기판 표면은, 금속 전구체와 반응하는 수소-함유 리간드 AH 등을 포함하도록 준비된다. 황(S), 산소(O) 및 질소(N)는 일부 전형적인 A 종이다. 기상 금속 전구체 분자는 기판 표면 상의 리간드 전부와 효과적으로 반응하여, 금속의 단일 원자층을 증착시킨다.
기판-AH + MLx -> 기판-AMLx-1 + HL
상기 식에서,
HL은 반응 부산물이다.
반응 동안, 초기 표면 리간드 AH는 소모되고, 표면은 금속 전구체 MLx와 더 이상 반응할 수 없는 L 리간드로 도포된다. 따라서, 표면 상의 초기 AH 리간드 전부가 AMLx-1 종으로 대체될 때에 반응은 자가-종결된다. 전형적으로, 상기 반응 단계에 이어, 제 2 반응성 기상 전구체 물질의 별도 도입 이전에 과량의 금속 전구체를 챔버로부터 제거시키는 불활성-기체 퍼지(purge) 단계가 뒤따른다.
이어서, 제 2 분자 전구체는 금속 전구체에 대한 기판의 표면 반응성을 회복시키기 위해 사용된다. 이는 예컨대, L 리간드를 제거하고, AH 리간드를 재증착시킴으로써 이루어진다. 이 경우, 제 2 전구체는 전형적으로 목적하는(일반적으로 비금속) 원소 A(즉, O, N, S) 및 수소(즉, H2O, NH3, H2S)를 포함한다. 후속 반응은 하기와 같다:
기판-A-ML + AHy -> 기판-A-M-AH + HL
이는 표면을 AH-도포된 상태로 다시 전환시킨다(상기 식에서, 단순화를 위해, 화학 반응은 균형을 맞추지 않았다). 목적하는 부가 원소 A가 막에 도입되고, 목적하지 않는 리간드 L은 휘발성 부산물로서 제거된다. 다시 한번, 반응은 반응성 부위(이번에는 L 종결된 부위)를 소모하고, 기판 상의 반응성 부위가 완전히 소진될 때에 반응은 자가-종결된다. 이어서, 제 2 퍼지 단계에서 불활성 퍼지-기체를 흐르게 함으로써 제 2 분자 전구체가 증착 챔버로부터 제거된다.
요약하면, 기본적인 ALD 공정은 기판으로의 화합물의 유동을 순서대로 교대시키는 것을 필요로 한다. 전술한 바와 같은 대표적인 ALD 공정은 하기 4개의 상이한 작업 단계를 갖는 사이클이다:
1. MLx 반응;
2. MLx 퍼지;
3. AHy 반응; 및
4. AHy 퍼지, 이어서 1 단계로 돌아감.
교대로 이루어지는 표면 반응 및 기판 표면을 초기 반응성 상태로 복구시키는 전구체 제거의 상기 반복된 순서는, 중간에 개입되는 퍼지 작업과 함께 전형적인 ALD 증착 사이클이다. ALD 작업의 핵심 특징은 기판을 그의 초기 표면 화학 상태로 복귀시키는 것이다. 이러한 반복된 단계를 이용하여, 화학 역학, 사이클당 증착, 조성 및 두께가 전부 동일한 균일 처리된 층으로 막이 기판 상에 적층될 수 있다.
ALD는 반도체 디바이스, 및 레지스터 및 커패시터와 같은 지지용 전자 구성요소, 절연체, 버스 라인(bus line) 및 다른 전도성 구조물을 비롯한 여러 가지 유형의 박막 전자 디바이스를 형성하기 위한 제작 단계로서 사용될 수 있다. ALD는 전자 디바이스의 구성요소에서 금속 산화물의 박층을 형성하기에 특히 적합하다. ALD에 의해 증착될 수 있는 기능성 물질의 일반적인 종류는 도체, 유전체 또는 절연체, 및 반도체를 포함한다.
도체는 임의의 유용한 전도성 물질일 수 있다. 예를 들어, 도체는 인듐-주석 산화물(ITO), 도핑된 아연 산화물 ZnO, SnO2 또는 In2O3와 같은 투명한 물질을 포함할 수 있다. 도체의 두께는 다양할 수 있으며, 구체적인 예에 따르면 50 내지 1000 nm 범위일 수 있다.
유용한 반도체 물질의 예는 비화갈륨, 질화갈륨, 황화카드뮴, 진성 산화아연 및 황화아연과 같은 화합물 반도체이다.
유전체 물질은 패턴화된 회로의 다양한 부분을 전기적으로 절연시킨다. 유전체 층은 절연체 또는 절연 층으로 지칭될 수도 있다. 유전체로서 유용한 물질의 구체적인 예는 스트론티에이트, 탄탈레이트, 티타네이트, 지르코네이트, 산화알루미늄, 산화규소, 산화탄탈륨, 산화하프늄, 산화티탄, 셀렌화아연 및 황화아연을 포함한다. 또한, 이들 예의 합금, 조합물 및 다층이 유전체로서 사용될 수 있다. 이들 물질중에서 산화알루미늄이 바람직하다.
유전체 구조물 층은 다른 유전 상수를 갖는 층을 둘 이상 포함할 수 있다. 이러한 절연체가 미국 특허 제 5,981,970 호 및 동시 계류중인 미국 특허 출원 제 11/088,645 호에서 논의되고 있다. 유전체 물질은 전형적으로 5 eV보다 큰 밴드 갭(band-gap)을 나타낸다. 유용한 유전체 층의 두께는 다양할 수 있으며, 특정한 예에 따르면 10 내지 300 nm 범위일 수 있다.
여러 가지 디바이스 구조물이 전술된 기능성 층에 의해 제조될 수 있다. 보통 내지 낮은 전도성을 갖는 전도성 물질을 선택함으로써 레지스터가 제작될 수 있다. 2개의 도체 사이에 유전체를 배치함으로써 커패시터가 제조될 수 있다. 2개의 전도성 전극 사이에 상보성 캐리어 유형의 반도체 2개를 배치함으로써 다이오드가 제조될 수 있다. 또한, 진성인 반도체 영역이 상보성 캐리어 유형의 반도체 사이에 위치할 수도 있으며, 이는 상기 영역이 적은 수의 유리 전하 캐리어를 가짐을 나타낸다. 다이오드는 또한 2개의 도체 사이에 하나의 반도체를 배치시킴으로써 구성될 수 있고, 여기서 도체/반도체 계면 중 하나는, 전류 흐름을 강하게 한 방향으로 지연시키는 쇼트키 장벽(Schottky barrier)을 발생시킨다. 트랜지스터는, 도체(게이트) 상에 절연 층을 배치하고 이어서 반도체 층을 배치함으로써 제조될 수 있다. 둘 이상의 부가적인 도체 전극(소스 및 드레인)이 서로 이격되어 상부 반도체 층과 접하게 배치되는 경우, 트랜지스터가 형성될 수 있다. 필요한 계면이 형성되는 한, 임의의 상기 디바이스가 다양한 배치형태로 형성될 수 있다.
박막 트랜지스터의 전형적인 용도에서, 디바이스를 통한 전류 흐름을 제어할 수 있는 스위치가 필요하다. 이와 같이, 스위치가 켜질 때, 고 전류가 디바이스를 통해 흐를 수 있는 것이 바람직하다. 전류 흐름의 정도는 반도체 전하 캐리어 이동도와 관련된다. 상기 디바이스가 꺼질 때, 전류 흐름이 매우 작은 것이 바람직하다. 이는 전하 캐리어 농도와 관련된다. 또한, 가시광선이 박막 트랜지스터 응답에 대해 영향을 덜 끼치거나 전혀 끼치지 않는 것이 일반적으로 바람직하다. 이렇게 되기 위해서는, 가시광선에 대한 노출이 밴드간 전이를 일으키지 않도록 반도체 밴드 갭이 충분히 커야 한다(> 3eV). 높은 이동도, 낮은 캐리어 농도 및 높은 밴드 갭을 발생시킬 수 있는 물질은 ZnO이다. 또한, 이동 웹(moving web)으로의 고 체적 제조 방법에 있어서, 상기 공정에서 사용되는 화학물질은 저렴한 동시에 낮은 독성을 갖는 것이 매우 바람직하고, 이는 ZnO 및 그의 전구체 대부분을 사용함으로써 만족될 수 있다.
자가-포화 표면 반응은 ALD를 수송-불균일성에 비교적 민감하지 않게 만들고, 그렇지 않을 경우에 이는 공학 공차 및 흐름 시스템의 한계 또는 표면 형태(topography)에 관련된 한계로 인해 표면 균일성을 손상시킬 수 있다(즉, 3차원의 높은 종횡비의 구조물로 증착). 일반적으로, 반응 공정에서 화학물질의 불균일한 유동은 다른 표면적 부분에서 다른 완료 시간을 갖게 한다. 그러나, ALD을 이용하는 경우, 반응 각각이 전체 기판 표면 상에서 완료된다. 따라서, 역학의 완료에 있어서의 차이가 균일성에 대해 불이익을 주지 않는다. 이는, 반응이 첫번째로 완료된 영역은 반응을 자가-종결시키고, 다른 영역은 전체 처리된 표면이 계획된 반응을 수행할 때까지 지속될 수 있기 때문이다.
전형적으로, ALD 공정은 단일 ALD 사이클(전술한 바와 같이 1 내지 4 단계로 번호가 매겨진 하나의 사이클)에서 0.1 내지 0.2 nm 막을 증착시킨다. 많은 또는 대부분의 반도체 용도에 있어 3 nm 내지 30 nm 범위의 균일한 막 두께, 및 다른 용도에 있어 보다 두꺼운 막을 제공하기 위해서는 유용하고 경제적으로 실현가능한 사이클 횟수가 획득되어야 한다. 산업 처리량 표준에 따르면, 기판이 2분 내지 3분 내에 가공되는 것이 바람직하고, 이는 ALD 사이클 횟수가 0.6초 내지 6초 범위이어야 함을 의미한다.
ALD는 제어된 수준의 매우 균일한 박막 증착을 제공하는 상당한 전망성을 제공한다. 그러나, 그의 고유한 기법의 능력 및 장점에도 불구하고, 수많은 기술적 장애가 여전히 남아있다. 하나의 중요한 고려사항은 필요한 사이클 횟수에 관한 것이다. 반복되는 반응물 및 퍼지 사이클로 인해, ALD를 효과적으로 이용하기 위해서는 퍼지 사이클을 신속하게 수행하는 것과 함께, MLx로부터 AHy로의 화학물질의 유동을 갑자기 변경시킬 수 있는 장치를 필요로 한다. 통상적인 ALD 시스템은 상이한 기상 물질들을 기판 상에 필요한 순서로 신속하게 순환시키도록 설계된다. 그러나, 일부 원하지 않는 혼합없이 필요한 속도로 필요한 일련의 기상 배합물을 챔버 내로 도입하기 위한 신뢰할만한 방법을 획득하기가 곤란하다. 또한, 여러 기판의 비용-효과적인 코팅을 가능하게 하기 위하여 ALD 장치는 여러 사이클동안 상기 신속한 순서를 효율적으로 신뢰성있게 실행할 수 있어야 한다.
임의의 주어진 반응 온도에서, ALD 반응이 자가-종결에 이르는데 필요한 시간을 최소화하기 위한 노력으로서, 하나의 접근 방법은 일명 "펄스화" 시스템을 사용하여 ALD 반응기로 흐르는 화학물질의 유동을 최대화시키는 것이었다. ALD 반응기로의 화학물질의 유동을 최대화하기 위하여, 불활성 기체가 최소로 희석된 고압의 ALD 반응기로 분자 전구체를 도입하는 것이 유리하다. 그러나, 이러한 조치는 짧은 사이클 횟수 및 ALD 반응기로부터 이들 분자 전구체의 신속한 제거를 달성하기 위한 요구와 반대로 작용한다. 신속한 제거는 또한 ALD 반응기 내의 기체 체류 시간이 최소화되어야 함을 나타낸다. 기체 체류 시간(τ)은 ALD 반응기 내의 반응기 체적(V), 압력(P), 및 흐름 역수(Q)에 비례하며, 다음과 같이 나타낼 수 있다:
τ=VP/Q
전형적인 ALD 챔버에서, 체적(V) 및 압력(P)은 기계적인 구속 및 펌핑 구속에 의해 독립적으로 지시되어 체류 시간을 낮은 값으로 정확하게 제어하는데 있어 어려움을 낳는다. 따라서, ALD 반응기 내의 압력(P)의 감소는 짧은 기체 체류 시간을 촉진시키고, ALD 반응기로부터 화학물질 전구체의 제거(퍼지) 속도를 증가시킨다. 반대로, ALD 반응 시간의 최소화는 ALD 반응기 내의 고압을 사용함으로써 ALD 반응기 내로의 화학물질 전구체의 유동을 최대화하는 것을 필요로 한다. 또한, 기체 체류 시간 및 화학물질 사용 효율성은 모두 흐름에 반비례한다. 따라서, 흐름 감소는 효율성을 증가시킬 수 있으며, 이것은 또한 기체 체류 시간도 증가시킨다.
기존의 ALD 접근 방법은 반응 시간을 단축시키고 화학물질 이용 효율을 향상시켜야할 필요성과 다른 한편으로는 퍼지-기체 체류 및 화학물질 제거 시간을 최소화해야 할 필요성 사이에서 상충되면서 절충되어 왔다. 기상 물질의 "펄스화" 전달의 고유 한계를 극복하기 위한 하나의 접근 방법은 각각의 반응물 기체를 연속적으로 제공하고 기판을 각각의 기체를 통해 연속적으로 이동시키는 것이다. 예를 들면, 유도프스키(Yudovsky)의 "주기적 층 증착을 위한 기체 분배 시스템(GAS DISTRIBUTION SYSTEM FOR CYCLICAL LAYER DEPOSITION)" 표제하의 미국 특허 제 6,821,563 호는 진공하에, 전구체 및 퍼지 기체에 대한 별도의 기체 포트를 갖고 각각의 기체 포트 사이에 진공 펌프 포트(port)가 교대로 있는 공정 챔버를 기술하고 있다. 각각의 기체 포트는 그의 기체 스트림을 기판을 향해 수직 하향되게 유도한다. 별도의 기체 흐름은, 각각의 기체 스트림의 양쪽 측면으로 기체를 배기시키는 진공 펌프를 갖는 벽 또는 격벽에 의해 분리된다. 각각의 격벽 하부는 기판에 근접하게, 예컨대 기판 표면으로부터 0.5 mm 이상 근접하게 연장되어 있다. 이러한 방식으로, 격벽 하부는 기체 스트림이 기판 표면과 반응한 후 기체 스트림이 진공 포트를 향해 하부 주위를 흐르기에 충분한 거리만큼 기판 표면으로부터 분리되어 있다.
하나 이상의 기판 웨이퍼(wafer)를 고정시키기 위하여 회전 턴테이블 또는 다른 수송 디바이스가 제공된다. 이러한 배열을 사용하여, 상기 기판은 다른 기체 스트림 아래에서 왕복하며(shuttled), 그 결과 ALD 증착을 수행한다. 하나의 실시양태에서, 기판은 챔버를 통해 선형 경로로 이동하고, 상기 챔버 내에서 상기 기판은 앞뒤로 수회 통과한다.
연속 기체 흐름을 사용하는 또 다른 접근 방법은 선톨라(Suntola) 등의 "화합물 박막의 성장을 수행하는 방법(METHOD FOR PERFORMING GROWTH OF COMPOUND THIN FILMS)" 표제하의 미국 특허 제 4,413,022 호에 나타나있다. 기체 흐름 어레이(array)는 교대로 있는 소스 기체 개구, 캐리어 기체 개구 및 진공 배기 개구를 구비하고 있다. 상기 어레이 상에서의 기판의 왕복 운동은 펄스화 작업의 필요없이 ALD 증착을 다시 수행한다. 도 13 및 14의 실시양태에서, 특히 기판 표면과 반응성 증기 간의 순차적 상호작용은 소스 개구의 고정된 어레이 상에서의 기판의 왕복 운동에 의해 이루어진다. 확산 장벽은 배기 개구들 사이의 캐리어 기체 개구에 의해 형성된다. 선톨라 등은 상기 실시양태를 이용하는 작업은 심지어 대기압에서도 가능하다고 기재하고 있지만, 공정 또는 예들의 세부설명이 거의 또는 전혀 제공되지 않는다.
유도프스키의 미국 특허 제 6,821,563 호 및 선톨라 등의 미국 특허 제 4,413,022 호에 기재된 것과 같은 시스템은 펄스화 기체의 접근 방법에 내재된 곤란성 중 일부를 피할 수 있으나, 상기 시스템은 다른 결점을 갖는다. 유도프스키의 미국 특허 제 6,821,563 호의 기재내용의 기체 흐름 전달 유닛 또는 선톨라 등의 미국 특허 제 4,413,022 호의 기재내용의 기체 흐름 어레이 중 어떠한 것도 기판에 대해 0.5 mm 보다 더 밀접한 근접도를 갖고 사용될 수 없다. 유도프스키의 미국 특허 제 6,821,563 호 및 선톨라 등의 미국 특허 제 4,413,022 호의 특허에 개시된 기체 흐름 전달 장치 중 어느 것도 이동 웹 표면에 의해 사용 가능하도록 배열되지 않으며, 예컨대 전자 회로, 광 센서 또는 디스플레이를 형성하기 위한 가요성 기판으로서 사용될 수 없다. 각각, 기체 흐름 및 진공을 모두 제공하는, 유도프스키의 미국 특허 제 6,821,563 호의 기재내용의 기체 흐름 전달 유닛 및 선톨라 등의 미국 특허 제 4,413,022 호의 기재내용의 기체 흐름 어레이 둘 다의 복잡한 배열은 상기 해결책을 실시하기 곤란하게 만들고 대량 생산에 고 비용이 들게 하며 제한된 치수를 갖는 이동 기판 상에의 증착 용도에 대한 그들의 잠재적인 유용성을 제한한다. 더욱이, 어레이의 다른 지점에서 균일한 진공을 유지하는 것과 상보 압력에서 동시적인 기체 흐름 및 진공을 유지하는 것이 매우 어려울 것이고, 따라서 기판 표면에 제공되는 기체 유동의 균일성을 손상시킬 것이다.
셀리처(Selitser)의 미국 특허 제 2005/0084610 호는 대기압 원자층 화학 기상 증착 공정을 개시하고 있다. 셀리처 등은 작업 압력을 대기압으로 변화시켜서 반응물 농도의 차수 증가를 수반하고 표면 반응물 속도를 결과적으로 증가시킴으로써 반응 속도의 현저한 증가가 이루어진다고 기재하고 있다. 셀리처의 미국 특허 제 2005/0084610 호에서 도 10은 챔버 벽이 제거되어 있는 실시양태를 나타내지만, 셀리처 등의 실시양태는 공정의 각 단계를 위한 별도의 챔버를 포함한다. 일련의 분리된 인젝터(injector)는 회전하는 원형 기판 홀더 트랙(holder track) 주위에서 이격되어 있다. 각각의 인젝터는 독립적으로 작동되는 반응물, 퍼지 및 배기 기체 다기관을 통합하며, 공정하에서 그곳을 통과할 때에 각각의 기판에 대해 하나의 완전한 단층 증착 및 반응물 퍼지 사이클을 제어하며 작동한다. 셀리처 등은 기체 흐름을 퍼징시켜 인접한 인젝터로부터의 교차오염이 방지되고 각각의 인젝터 내에 배기 다기관이 통합되도록 인젝터의 간격이 선택된다고 기재하고 있으나, 기체 인젝터 또는 다기관의 구체적인 세부사항은 셀리처 등에 의해 거의 기재되어 있지 않거나 또는 전혀 기재되어 있지 않다.
특별히 관심있는 ALD 공정의 한가지 양태는 규소 웨이퍼 기판의 온도 제어와 관련된다. 물질 증착 동안 정확한 온도 제어를 위해 제안된 해결책 중에는 그란느만(Granneman)에 의한 미국 특허 제 2004/0142558 호에 개시된 것들이 있다. 그란느만의 미국 특허 제 2004/0142558 호의 개시내용에서는, 웨이퍼 위 및 아래에 위치한 플래튼(platen)이 기체 공급원 및 가열 구성요소 둘 다로서 작용한다. 그란느만의 "공정 중 반도체 웨이퍼를 지지하는 방법 및 장치(METHOD AND APPARATUS FOR SUPPORTING A SEMICONDUCTOR WAFER DURING PROCESSING)" 표제하의 미국 특허 제 6,183,565 호에 기재된 펄스화된 증착 실시양태에서, 반도체 웨이퍼 기판은 증착 동안 가열된 기체 스트림에 의해 지지되며, 이에 따라 상기 공정 중에 조사된 열 전달보다 오히려 전도성 난방장치를 사용하여 온도 제어가 제공된다. 유사하게, CVD 용도에 있어서, 수지모토(Sugimoto)의 "웨이퍼 위치화 테이블(TABLE FOR WAFER POSITIONING)" 표제하의 일본 특허 제 62-021237 호, 하시모토(Hashimoto) 등의 "반도체 증기 성장 장치(SEMICONDUCTOR VAPOR GROWTH EQUIPMENT)" 표제하의 일본 특허 제 04-078130 호, 및 토키수(Tokisue) 등의 "기상 부유 에피택시 성장(GAS PHASE FLOATING EPITAXIAL GROWTH)" 표제하의 일본 특허 제 61-294812 호는 증착 공정 동안 기체 제트의 스트림에 의한 반도체 웨이퍼의 "물체부양"을 기재한다. 따라서, 화학 증착 동안 반도체 웨이퍼의 가열 및 수송은 기체 제트를 사용하여 실행될 수 있는 것으로 인식되어 왔다. 반도체 제작에 사용되는 하나 이상의 시판품인, 네덜란드 빌토벤 소재의 에이에스엠 인터네셔널 엔.브이.(ASM International N.V.)에 의해 제조되는 레비터 RTP(LEVITOR RTP(고속 열 처리)) 반응기는 그의 열 전달 및 웨이퍼-취급 장점을 위해 이러한 "기체 유체 베어링(bearing)" 방법을 사용한다. 그러나, 이러한 디바이스 및 유사한 디바이스는 증착 동안 기체를 서로 이격 분리하지 않고, 이러한 배경 물질로 상기 기재된 펄스화 전달 모델을 기반으로 한다.
공기-베어링 원리 또는 보다 일반적으로 기체 유체-베어링 원리를 사용하면 기상 증착 및 ALD 공정 동안 개선된 웨이퍼 수송에 대해 여러 가지 장점이 생길 수 있는 것으로 이해될 수 있다. 그러나, 존재하는 해결책은 펄스화 증착 시스템으로 유도되어 왔으며, 상당히 복잡한 기계적인 기체-전달 시스템 및 구성요소의 디자인을 필요로 한다. 상기 시스템에서 웨이퍼의 공기-베어링 물체부양은, 웨이퍼를 물체부양하는 불활성 기체를 연속적으로 제공하는 웨이퍼 한 면 상의 베이스 블록, 및 효율적인 물질 증착에 필요한 반복된 반응물 및 퍼지 기체 사이클의 신속한 순서화를 제공하는 웨이퍼 반대편의 증착 블록을 갖는 챔버가 제공될 것을 요구한다. 따라서, 종래의 해결책보다 개선된 기체 이동도 및 기체 흐름 분리를 제공할 수 있는 연속 공정으로 사용될 수 있는 ALD 증착 방법 및 장치에 대한 필요성이 있다는 것을 알 수 있다.
발명의 개요
본 발명은 적어도 제 1 반응성 기상 물질, 불활성 퍼지 기체, 및 제 2 반응성 기상 물질을 포함하는 일련의 기체 흐름을 박막 증착 시스템의 전달 헤드의 출력 면으로부터 기판 표면으로 동시에 유도시킴을 포함하는, 기판 상에 박막 물질을 증착시키는 방법을 제공한다. 제 1 반응성 기상 물질은 제 2 반응성 기상 물질로 처리된 기판 표면과 반응할 수 있다. 기체 흐름 중 하나 이상은 전달 헤드 면으로부터 기판 표면을 분리하는데 적어도 일조하는 압력을 제공한다.
본 발명의 다른 양태는
(a) 제 1, 제 2 및 제 3 기상 물질 각각에 대한 적어도 제 1, 제 2 및 제 3 공급원을 포함하는 복수개의 기상 물질 각각에 대한 복수개의 공급원;
(b) 기상 물질을 박막 증착을 수용하는 기판으로 전달하고, (i) 제 1, 제 2 및 제 3 기상 물질 각각을 수용하는 적어도 제 1, 제 2 및 제 3 주입 포트를 포함하는 복수개의 주입 포트; 및 (ii) 복수개의 출력 개구를 포함하고 기판 표면으로부터 일정 거리로 기판과 마주하는 출력 면을 포함하되, 이때 제 1, 제 2 및 제 3 기상 물질이 출력 면의 출력 개구로부터 동시에 배출되는, 전달 헤드; 및
(c) 기판을 지지하는 선택적인 기판 지지체
를 포함하고,
(d) 박막 증착 동안 전달 헤드의 출력 면과 기판 표면 사이에 실질적으로 균일한 거리를 유지하며, 이때 박막 증착을 위한 전달 헤드로부터 기판 표면으로의 기상 물질들 중 하나 이상의 흐름으로 인해 생성된 압력이 기판 표면으로부터 전달 헤드의 출력 면을 분리하는 힘의 적어도 일부를 제공하는
고체 물질의 기판으로의 박막 증착을 위한 증착 시스템을 제공한다.
하나의 실시양태에서, 상기 시스템은 분배 헤드와 기판 사이에 상대적인 진동 운동을 제공한다. 바람직한 실시양태에서, 상기 시스템은 기판의 연속적인 이동을 박막 증착에 적용하면서 작동될 수 있고, 이때 상기 시스템은, 바람직하게는 실질적으로 대기압에서 주변에 대해 밀봉되지 않은 환경에서 분배 헤드를 지나는 웹 상에 또는 웹으로서 지지체를 운반할 수 있다.
본 발명의 장점은 상이한 여러 가지 유형의 기판 및 증착 환경에 매우 적합한 기판 상으로의 원자층 증착을 위한 콤팩트 장치를 제공할 수 있다는 것이다.
본 발명의 추가의 장점은 바람직한 실시양태에서 대기압 조건하에 작동을 허용한다는 것이다.
본 발명의 또다른 장점은 대면적 기판 상으로의 증착을 비롯하여 웹 또는 다른 이동 기판 상으로의 증착을 위해 변형될 수 있다는 것이다.
본 발명의 또다른 장점은 대기압에서의 저온 공정에서 사용될 수 있고, 이 공정이 주변 대기압에 대해 개방된 밀봉되지 않은 환경에서 실시될 수 있다는 것이다. 본 발명의 방법은 앞서 나타낸 수학식 1에서의 관계식 중 기체 체류 시간 τ의 제어를 허용하여, 단일 변수인 기체 흐름에 의해 제어된 시스템 압력 및 부피로 체류 시간 τ를 감소시킨다.
본 발명의 예시적인 실시양태를 나타내고 기재한 도면을 참고하여, 하기의 발명의 상세한 설명을 읽을 때 당업계의 숙련자들에게 본 발명의 상기 및 다른 목적, 특징 및 이점이 명백해질 것이다.
본 명세서가, 본 발명의 청구물질을 구체적으로 지적하고 명확하게 청구하는 청구의 범위로 결론지어지지만, 본 발명은 첨부된 도면과 관련하여 판단될 때에 하기의 발명의 상세한 설명으로부터 더욱 잘 이해될 것으로 생각된다:
도 1은 본 발명에 따른 원자층 증착을 위한 전달 헤드의 한 실시양태의 측단면도이다.
도 2는 박막 증착으로 처리되는 기판에 제공된 기상 물질의 한가지 예시적인 배열을 보여주는 전달 헤드의 한 실시양태의 측단면도이다.
도 3A 및 3B는 수반된 증착 작업을 개략적으로 보여주는 전달 헤드의 한 실시양태의 측단면도이다.
도 4는 하나의 실시양태에 따른 증착 시스템의 전달 헤드의 투시 분해 조립도이다.
도 5A는 도 4의 전달 헤드에 대한 연결 판의 투시도이다.
도 5B는 도 4의 전달 헤드에 대한 기체 챔버 판의 평면도이다.
도 5C는 도 4의 전달 헤드에 대한 기체 유도 판의 평면도이다.
도 5D는 도 4의 전달 헤드에 대한 베이스 판의 평면도이다.
도 6은 하나의 실시양태에서 전달 헤드 상의 베이스 판을 보여주는 투시도이다.
도 7은 하나의 실시양태에 따른 기체 확산기 유닛의 분해 조립도이다.
도 8A는 도 7의 기체 확산기 유닛의 노즐 판의 평면도이다.
도 8B는 도 7의 기체 확산기 유닛의 기체 확산기 판의 평면도이다.
도 8C는 도 7의 기체 확산기 유닛의 면판의 평면도이다.
도 8D는 도 7의 기체 확산기 유닛 내에서의 기체 혼합의 투시도이다.
도 8E는 도 7의 기체 확산기 유닛을 사용하는 기체 통풍 경로의 투시도이다.
도 9A는 수직으로 적층된 판을 사용하는 한 실시양태에서 전달 헤드 일부의 투시도이다.
도 9B는 도 9A에 도시된 전달 헤드의 구성요소의 분해 조립도이다.
도 9C는 적층된 판을 사용하여 형성된 전달 어셈블리(assembly)를 보여주는 평면도이다.
도 10A 및 10B는 각각 도 9A의 수직 판 실시양태에서 사용되는 분리기 판의 평면도 및 투시도이다.
도 11A 및 11B는 각각 도 9A의 수직 판 실시양태에서 사용되는 퍼지 판의 평면도 및 투시도이다.
도 12A 및 12B는 각각 도 9A의 수직 판 실시양태에서 사용되는 배출 판의 평면도 및 투시도이다.
도 13A 및 13B는 각각 도 9A의 수직 판 실시양태에서 사용되는 반응물 판의 평면도 및 투시도이다.
도 13C는 다른 방위로 있는 반응물 판의 평면도이다.
도 14는 상응하는 거리 치수 및 힘의 방향을 보여주는 전달 헤드의 측면도이다.
도 15는 기판 수송 시스템에 의해 사용되는 분배 헤드를 보여주는 투시도이다.
도 16은 본 발명의 전달 헤드를 사용하는 증착 시스템을 보여주는 투시도이다.
도 17은 이동 웹에 적용되는 증착 시스템의 한 실시양태를 보여주는 투시도이다.
도 18은 이동 웹에 적용되는 증착 시스템의 다른 실시양태를 보여주는 투시도이다.
도 19는 굴곡이 있는 출력 면을 갖는 전달 헤드의 한 실시양태의 측단면도이다.
도 20은 기판으로부터 전달 헤드를 분리하는 기체 쿠션을 사용하는 한 실시양태의 투시도이다.
도 21은 이동 기판과 함께 사용하기 위한 기체 유체 베어링을 포함하는 증착 시스템에 대한 한 실시양태를 보여주는 측면도이다.
* 도면의 주요 부분에 대한 부호의 설명
10 전달 헤드
12 출력 채널
14, 16, 18 기체 주입 도관
20 기판
22 배출 채널
24 배출 도관
28a, 28b, 28c 기체 공급부
30 작동기
32 공급 라인
36 출력 면
50 챔버
52 수송 모터
54 수송 서브시스템
56 제어 논리 프로세서
60 원자층 증착(ALD) 시스템
62 웹 컨베이어
64 전달 헤드 수송
66 웹 기판
70 원자층 증착(ALD) 시스템
74 기판 지지체
90 전구체 물질에 대한 유도 채널
91 배출 유도 채널
92 퍼지 기체에 대한 유도 채널
96 기판 지지체
98 기체 유체 베어링
100 연결 판
102 유도 챔버
104 입력 포트
110 기체 챔버 판
112, 113, 115 공급 챔버
114, 116 배출 챔버
120 기체 유도 판
122 전구체 물질에 대한 유도 채널
123 배출 유도 채널
130 베이스 판
132 연장된 방출성 채널
134 연장된 배출 채널
140 기체 확산기 유닛
142 노즐 판
143, 147, 149 제1, 제 2, 제 3 확산기 출력 통로
146 기체 확산기 판
148 면판
150 전달 어셈블리
152 연장된 방출성 채널
154 연장된 배출 채널
160 분리기 판
162 퍼지 판
164 배출 판
166, 166' 반응물 판
168 틈
170 스프링
180 순차 제 1 배출 슬롯
182 순차 제 2 배출 슬롯
184 순차 제 3 배출 슬롯
A 화살표
D 거리
E 배출 판
F1, F2, F3, F4 기체 흐름
H 높이
I 제 3 불활성 기상 물질
K 방향
M 제 2 반응물 기상 물질
O 제 1 반응물 기상 물질
P 퍼지 판
R 반응물 판
S 분리기 판
w1, w2 채널 너비
X 화살표
본 발명의 상세한 설명은, 특히 본 발명에 따른 장치의 일부를 형성하거나 또는 더 직접적으로 본 발명의 장치와 협력하는 소자에 관한 것이다. 특별히 도시되거나 설명하지 않은 소자는 당업자에게 공지된 다양한 형태를 취할 수 있는 것으로 이해하여야 한다.
하기의 상세한 설명에서, "기체" 또는 "기상 물질"이란 용어는 기화된 또는 기상 원소, 화합물 또는 물질의 임의의 범위를 포함하는 광범위한 의미로 사용된다. 예컨대, 반응물, 전구체, 진공 및 불활성 기체와 같이 본원에서 사용되는 다른 용어 전부는 물질 증착 분야의 숙련자들에 의해 잘 이해되는 바와 같은 통상적인 의미를 갖는다. 제공된 도면은 일정한 비율로 작도되지 않았으나, 본 발명의 일부 실시양태의 전체적인 기능 및 구조적 배열을 나타내고자 한다.
하기의 상세한 설명에 있어서, 중첩은 하나의 소자의 부분이 다른 상응하는 부분과 함께 정렬되고 그의 주변이 일반적으로 같은 공간을 차지하는 방식으로 소자들이 다른 것들의 상부에 또는 서로에 대해 적층된다는 통상적인 의미를 갖는다.
용어 "업스트림" 및 "다운스트림"은 기체 흐름의 방향과 관련된 통상적인 의미를 갖는다.
본 발명의 장치는, 기판 표면으로 기상 물질을 전달하기 위한 개선된 분배 디바이스를 사용하고, 보다 큰, 웹-기재 또는 웹-지지된 기판 상에 증착되도록 변형될 수 있으며, 향상된 처리량 속도로 매우 균일한 박막 증착을 달성할 수 있는 ALD에 대한 통상적인 접근 방법으로부터 상당한 발전을 제공한다. 본 발명의 장치 및 방법은 연속적인(펄스화와 반대된) 기상 물질 분배를 이용한다. 본 발명의 장치는 진공하에서 뿐만 아니라 대기압 또는 대기압 근처의 압력에서의 작업을 허용하고, 밀봉되지 않거나 바깥 공기 환경에서 작동할 수 있다.
도 1을 참조하면, 본 발명에 따른 기판(20) 상으로의 원자층 증착을 위한 전달 헤드(10)의 한 실시양태의 측단면도가 도시되어 있다. 전달 헤드(10)는 제 1 기상 물질을 받아들이는 주입 포트로서 작용하는 기체 주입 도관(14), 제 2 기상 물질을 받아들이는 주입 포트용 기체 주입 도관(16), 및 제 3 기상 물질을 받아들이는 주입 포트용 기체 주입 도관(18)을 갖는다. 이들 기체는 후술되는 바와 같이 확산기를 포함할 수 있는 구조적 배열을 갖는 출력 채널(12)을 통해 출력 면(36)에서 방출된다. 도 1 및 도 2 내지 3B에서 점선 화살표는 전달 헤드(10)로부터 기판(20)으로의 기체 전달을 가리킨다. 도 1에서, 점으로 찍힌 화살표(X)는 또한 기체 배출(이 도면에서는 위를 향하는 것으로 도시됨)을 위한 경로, 및 배출 포트를 제공하는 배출 도관(24)과 연통되는 배출 채널(22)을 나타낸다. 설명을 간단하게 하기 위해, 기체 배출을 도 2 내지 3B에 나타내지 않았다. 배출 기체는 반응되지 않은 전구체를 여전히 상당량 함유할 수 있기 때문에, 주로 하나의 반응성 종을 함유하는 배출물을 주로 다른 종을 함유하는 것과 혼합되게 하는 것은 바람직하지 않을 수 있다. 이와 같이, 전달 헤드(10)는 몇몇 독립적인 배출 포트를 함유할 수 있는 것으로 인식된다.
하나의 실시양태에서, 기체 주입 도관(14, 16)은 기판 표면에서 순서대로 반응하여 ALD 증착을 실행하는 제 1 및 제 2 기체를 받아들이도록 되어있고, 기체 주입 도관(18)은 제 1 및 제 2 기체에 대해 불활성인 퍼지 기체를 수용한다. 전달 헤드(10)는 기판(20)으로부터 일정 거리(D)만큼 이격되며, 이는 하기에서 보다 상세히 기재되는 바와 같이 기판 지지체 상에 제공될 수 있다. 왕복 운동은 기판(20)의 이동, 전달 헤드(10)의 이동 또는 기판(20)과 전달 헤드(10) 둘 다의 이동 중 어느 하나에 의해 기판(20)과 전달 헤드(10) 사이에 제공될 수 있다. 도 1에 나타낸 특정 실시양태에서, 기판(20)은 도 1에서 기판(20)의 오른쪽 및 왼쪽에 대한 환상 윤곽선과 화살표(A)로 나타낸 바와 같이 왕복 방식으로 출력 면(36)을 건너 기판 지지체(96)에 의해 이동한다. 왕복 운동은 전달 헤드(10)를 사용하는 박막 증착에 항상 필요한 것이 아님을 주지해야 한다. 하기에서 보다 상세히 기재되는 바와 같이, 하나 이상의 방향에서 기판(20) 또는 전달 헤드(10)의 이동과 같은, 기판(20)과 전달 헤드(10) 사이의 상대적인 운동의 다른 유형이 또한 제공될 수 있다.
도 2의 단면도는 전달 헤드(10)의 출력 면(36)의 일부를 넘어 방출된 기체 흐름을 도시한다(상기에서 주지한 바와 같이 배출 경로는 생략함). 이러한 특정한 배열에서, 각 출력 채널(12)은 도 1에 나타낸 기체 주입 도관(14, 16, 18) 중 하나와 연통하는 기상 흐름 상태이다. 각 출력 채널(12)은 전형적으로 제 1 반응물 기상 물질(O), 제 2 반응물 기상 물질(M) 또는 제 3 불활성 기상 물질(I)을 전달한다.
도 2는 기체의 비교적 기본적이거나 단순한 배열을 도시한다. 복수개의 비-금속 증착 전구체(물질 O와 같음) 또는 복수개의 금속-함유 전구체 물질(물질 M과 같음)이 박막 단일 증착의 다양한 포트에서 순차적으로 전달될 수 있는 것으로 생각된다. 다르게는, 반응물 기체의 혼합물, 예컨대 금속 전구체 물질의 혼합물 또는 금속과 비-금속 전구체의 혼합물은 복잡한 박막 물질을 제조할 경우, 예를 들면 금속의 교대 층 또는 금속 산화물 물질에서 혼합된 더 적은 양의 도판트를 갖는 경우 단일 출력 채널에서 적용될 수 있다. 중요하게는, 퍼지 기체로서 또한 지칭되는 불활성 기체에 대해 I로 표지되는 인터-스트림이 서로 반응되기 쉬운 기체가 있는 임의의 반응물 채널을 분리시킨다. 제 1 및 제 2 반응물 기상 물질 O 및 M은 서로 반응하여 ALD 증착을 실행하지만, 반응물 기상 물질 O 또는 M 어느 것도 불활성 기상 물질 I와 반응하지 않는다. 도 2 및 이하에서 사용되는 명칭은 일부 전형적인 유형의 반응물 기체를 제시한다. 예를 들면, 제 1 반응물 기상 물질(O)은 산화 기상 물질일 수 있고, 제 2 반응물 기상 물질(M)은 금속-함유 화합물, 예컨대 아연-함유 물질이다. 불활성 기상 물질(I)은 질소, 아르곤, 헬륨 또는 ALD 시스템에서 퍼지 기체로서 통상 사용되는 다른 기체일 수 있다. 불활성 기상 물질(I)은 제 1 또는 제 2 반응물 기상 물질(O, M)에 대해 불활성이다. 제 1 및 제 2 반응물 기상 물질 간의 반응은 금속 산화물 또는 다른 2원 화합물, 예컨대 하나의 실시양태에서 반도체에 사용되는 산화아연 ZnO 또는 ZnS를 형성한다. 2가지 이상의 반응물 기상 물질들 간의 반응은 3원 화합물, 예컨대 ZnAlO를 형성할 수 있다.
도 3A 및 3B의 단면도는 단순화된 개략적인 형태로, 반응물 기상 물질(O, M)을 전달하는 경우 기판(20)이 전달 헤드(10)의 출력 면(36)을 따라 통과함에 따라 수행되는 ALD 코팅 작업을 도시한다. 도 3A에서, 먼저 기판(20) 표면은 제 1 반응물 기상 물질(O)을 전달하는 것으로 지정된 출력 채널(12)로부터 연속적으로 방출되는 산화 물질을 수용한다. 이제, 기판 표면은 부분적으로 반응된 형태의 물질(O)을 함유하고, 이는 물질(M)과 반응되기 쉽다. 그 후, 기판(20)이 제 2 반응물 기상 물질(M)의 금속 화합물의 경로로 통과함에 따라, M과의 반응이 일어나, 두 개의 반응물 기상 물질들로부터 형성될 수 있는 금속성 산화물 또는 일부 다른 박막 물질이 형성된다. 통상적인 해결책과는 달리, 도 3A 및 3B에 도시된 증착 순서는 펄스화보다 오히려 주어진 기판 또는 특정한 그의 영역에 대해 증착하는 동안 연속적이다. 즉, 물질(O, M)은 기판(20)이 전달 헤드(10)의 표면을 가로질러 통과함에 따라 또는 반대로 말하면 전달 헤드(10)가 기판(20) 표면을 따라 통과함에 따라 연속적으로 방출된다.
도 3A 및 3B에 도시된 바와 같이, 불활성 기상 물질(I)은 제 1 및 제 2 반응물 기상 물질(O, M)의 흐름 사이에 교대하는 출력 채널(12)에 제공된다. 특히, 도 1에 도시된 바와 같이, 배출 채널(22)이 존재하지만, 바람직하게는 어떠한 진공 채널도 출력 채널(12) 사이에 산재되어 있지 않다. 오직 소량의 드로우(draw)를 제공하는 배출 채널(22)만이 전달 헤드(10)로부터 방출되고 처리 중에 사용되는 소모 기체를 내보내기 위해 필요하다.
전달 헤드(10)에 대한 작동의 한 양태는 가해진 압력의 힘에 의해 적어도 부분적으로 분리 거리(D)가 유지되도록 기판(20)에 대해 기압을 제공하는 것과 관련된다. 출력 면(36)과 기판(20) 표면 사이에 일정량의 기압을 유지함으로써, 본 발명의 장치는 적어도 일부분의 공기 베어링, 또는 보다 적합하게는 전달 헤드(10) 자체 또는 다르게는 기판(20)을 위한 기체 유체 베어링을 제공한다. 이러한 배열은 후술되는 바와 같이 전달 헤드(10)에 필요한 수송을 단순화하는데 일조한다. 중요하게는, 기압에 의해 지지되도록 전달 헤드가 기판에 접근하게 하는 효과는 기체 스트림 간의 절연을 제공하는데 일조한다. 이들 스트림 상에 전달 헤드가 부유하게 함으로써, 다른 기체 스트림과 거의 또는 전혀 섞이지 않으면서 주입으로부터 배출까지 기체가 유도되는 반응성 및 퍼지 흐름 영역에 압력장이 제공된다.
하나의 실시양태에서, 분리 거리(D)가 비교적 짧기 때문에, 심지어 거리(D)의 작은 변화(예컨대, 심지어 100 마이크로미터) 조차도 분리 거리(D)를 제공하는 유속 및 그에 따른 기압의 상당한 변화를 요하게 된다. 예를 들면, 하나의 실시양태에서, 1 mm 미만의 변화를 포함하여, 분리 거리(D)를 두 배로 하는 것은 두 배보다 더 큰, 바람직하게는 4배보다 더 큰, 분리 거리(D)를 제공하는 기체의 유속을 필요로 한다. 일반적인 원리로서, 분리 거리(D)를 최소화하고, 따라서 감소된 유속에서 작동하는 것이 실행시 보다 유리한 것으로 고려된다.
도 4의 분해 조립도는 하나의 실시양태에서 전체 어셈블리의 작은 부분에 대해 전달 헤드(10)가 틈이 있는 판들의 세트로부터 어떻게 조립될 수 있는지를 보여주며, 하나의 기체의 한 부분에 대해서만 예시적인 기체 흐름 경로를 보여준다. 전달 헤드(10)의 연결 판(100)은 도 4에 도시되지 않은 전달 헤드(10)의 업스트림인 기체 공급부에 연결되는 일련의 입력 포트(104)를 갖는다. 각각의 입력 포트(104)는 수용된 기체를 기체 챔버 판(110)의 다운스트림으로 유도하는 유도 챔버(102)와 연통된다. 기체 챔버 판(110)은 기체 유도 판(120) 상의 개개의 유도 채널(122)과 기체 흐름으로 연통하는 공급 챔버(112)를 갖는다. 기체 흐름은 유도 체널(122)로부터 베이스 판(130) 상의 특정한 연장된 배출 채널(134)까지 진행된다. 기체 확산기 유닛(140)은 그의 출력 면(36)에서 입력 기체의 확산 및 최종 전달을 제공한다. 예시적인 기체 흐름(F1)은 전달 헤드(10)의 구성요소 어셈블리 각각을 가로질러 그려졌다. 도 4에 도시된 x-y-z 축 방위는 또한 본 발명의 도 5A 및 7에도 적용된다.
도 4의 예에서 도시된 바와 같이, 전달 헤드(10)의 전달 어셈블리(150)는 중첩된 틈이 있는 판: 연결 판(100), 기체 챔버 판(110), 기체 유도 판(120) 및 베이스 판(130)의 배열로 형성된다. 이들 판은 이러한 "수평" 실시양태에서 출력 면(36)에 실질적으로 평행하게 배치된다. 이하에서 기재되는 바와 같이, 기체 확산기 유닛(140)은 또한 중첩된 틈이 있는 판들로부터 형성될 수 있다. 도 4에 도시된 임의의 판들은 중첩된 판들의 스택으로부터 그 자체가 제작될 수 있는 것으로 이해될 수 있다. 예를 들어, 적합하게 함께 결합된 4 또는 5개의 적층된 틈이 있는 판들로부터 연결 판(100)을 형성하는 것이 유리할 수 있다. 이러한 유형의 배열은 유도 챔버(102) 및 입력 포트(104)를 형성하는 기계 처리 또는 몰딩 방법보다 덜 복잡할 수 있다.
기체 확산기 유닛(140)은 출력 채널을 통한 흐름을 균등하게 하는데 사용하여 기상 물질을 기판에 제공할 수 있다. "박막 증착용 기체 확산기 디바이스를 포함하는 전달 헤드(DELIVERY HEAD COMPRISING GAS DIFFUSER DEVICE FOR THIN FILM DEPOSITION)" 표제하의 동시 계류중인 동시 양도된 미국 특허 출원 제 11/620,740 호는 선택적으로 사용될 수 있는 다양한 확산기 시스템을 개시한다. 다르게는, 선톨라 등에 의한 미국 특허 제 4,413,022 호에서와 같이 출력 채널을 사용하여 확산기 없이 기상 물질을 제공할 수 있다. 확산되지 않은 흐름을 제공함으로써, 가능하게는 보다 덜 균질한 증착 비용으로 보다 높은 처리량을 수득할 수 있다. 반면, 확산기 시스템은 상기 기재한 부유 헤드 시스템에 대해 특히 유리한데, 이는 전달 헤드의 부유를 촉진시키는 전달 디바이스 내의 배압을 제공할 수 있기 때문이다.
도 5A 내지 5D는 도 4의 실시양태에서 전달 헤드(10)를 형성하기 위해 함께 결합되는 각각의 주요 구성요소를 도시하고 있다. 도 5A는 다중 유도 챔버(102)를 보여주는 연결 판(100)의 투시도이다. 도 5B는 기체 챔버 판(100)의 평면도이다. 공급 챔버(113)가 하나의 실시양태에서 전달 헤드(10)용 퍼지 또는 불활성 기체를 위해 사용된다. 공급 챔버(115)는 하나의 실시양태에서 전구체 기체(O)를 위한 혼합을 제공하고, 배출 챔버(116)는 이러한 반응성 기체를 위한 배출 경로를 제공한다. 유사하게, 공급 챔버(112)가 다른 필요한 반응성 기체인 금속성 전구체 기체(M)를 제공하고, 배출 챔버(114)가 이 기체의 배출 경로를 제공한다.
도 5C는 이 실시양태에서 전달 헤드(10)에 대한 기체 유도 판(120)의 평면도이다. 금속성 전구체 물질(M)을 제공하는 다중 유도 채널(122)은 적절한 공급 챔버(112)(이 도에서는 도시되지 않음)와 베이스 판(130)을 연결하기 위한 패턴으로 배열된다. 상응하는 배출 유도 채널(123)은 유도 체널(122) 근처에 위치한다. 유도 채널(90)은 다른 전구체 물질(O)을 제공하고 상응하는 배출 유도 채널(91)을 갖는다. 유도 채널(92)은 퍼지 기체(I)를 제공한다. 다시, 도 4 및 5A 내지 5D가 하나의 예시적인 실시양태를 보여주며, 다양한 다른 실시양태 또한 가능할 수 있음이 강조된다.
도 5D는 전달 헤드(10)에 대한 베이스 판(130)의 평면도이다. 베이스 판(130)은 배출 채널(134)이 삽입되어 있는 연장된 다중 방출성 채널(132)을 갖는다.
도 6은 수평 판으로부터 형성된 베이스 판(130)을 보여주고 입력 포트(104)를 보여주는 투시도이다. 도 6의 투시도는 출력 면으로부터 보는 경우 베이스 판(130)의 외면을 나타내며, 연장된 방출성 채널(132) 및 연장된 배출 채널(134)을 갖는다. 도 4를 참고하여, 도 6의 도는 기체 확산기 유닛(140)과 마주보는 면으로부터 보여진다.
도 7의 분해 조립도는 도 4의 실시양태 및 후술되는 다른 실시양태에서 사용되는 바와 같은 선택적인 기체 확산기 유닛(140)의 한 실시양태를 형성하는데 사용되는 구성요소의 기본적은 배열을 나타낸다. 이들은 도 8A의 평면도에 나타난 노즐 판(142)을 포함한다. 도 6, 7 및 8A의 도에서 나타낸 바와 같이, 노즐 판(142)은 베이스 판(130)에 대해 설치되고 연장된 방출성 채널(132)로부터 그의 기체 흐름을 획득한다. 도시된 실시양태에서, 출력 통로(143)는 필요한 기상 물질을 제공한다. 순차 제 1 배출 슬롯(180)은 후술되는 바와 같이 배출 경로에 제공된다.
도 8B에 도시된, 판(142, 148)과 협력하여 확산되는 기체 확산기 판(146)은 노즐 판(142)에 대해 설치된다. 노즐 판(142), 기체 확산기 판(146) 및 면판(148) 상의 다양한 통로의 배열은 기체 흐름에 대해 필요한 확산양을 제공하고, 동시에 배출 기체를 기판(20) 표면적으로부터 멀리 효과적으로 유도하도록 최적화된다. 슬롯(182)은 배출 포트를 제공한다. 도시된 실시양태에서, 제 2 확산기 출력 통로(147) 및 배출 슬롯(182)을 형성하는 기체 공급 슬롯은 기체 확산기 판(146)에서 교대로 있다.
다음으로 도 8C에 도시된 면판(148)은 기판(20)과 마주본다. 다시, 기체를 제공하는 제 3 확산기 출력 통로(149) 및 배출 슬롯(184)은 이 실시양태와 교대하게 된다.
도 8D는 기체 확산기 유닛(140)을 통한 기체 전달 경로에 초점을 맞춘다. 다음으로, 도 8E는 상응하는 방식의 기체 방출 경로를 나타낸다. 도 8D를 참조하면, 대표적인 기체 포트 세트에 있어서, 하나의 실시양태에서 출력 흐름(F2)에 대한 반응물 기체의 철저한 확산에 사용되는 전체 배열이 도시되어 있다. 베이스 판(130)(도 4)으로부터의 기체는 노즐 판(142) 상의 제 1 출력 통로(143)를 통해 제공된다. 기체는 기체 확산기 판(146) 상의 제 2 확산기 출력 통로(147)에 대해 다운스트림으로 내려간다. 도 8D에 도시된 바와 같이, 하나의 실시양태에서 통로들(143, 147) 사이에 수직 오프셋(offset)(즉, 수평 판의 평면에 대해 통상적으로 수직인 도 7에 도시된 수평 판 배열을 사용하는 것)이 있을 수 있으며, 이는 배압 생산을 도와 보다 균일한 흐름을 촉진한다. 그 후, 기체는 출력 채널(12)을 제공하는 면판(148) 상의 제 3 확산기 출력 통로(149)에 대해 더욱 다운스트림으로 내려간다. 상이한 출력 통로(143, 147, 149)는 공간적으로 오프셋일뿐만 아니라 혼합을 최적화하도록 상이한 기하형태를 가질 수 있다.
선택적인 확산기 유닛의 부재하에, 베이스 판 중의 연장된 방출성 채널(132)은 제 3 확산기 출력 통로(149) 대신에 전달 헤드(10)에 대한 출력 채널(12)로서 작용할 수 있다.
도 8E는 유사한 실시양태에서 기체를 내보내도록 제공된 배출 경로를 상징적으로 추적하며, 여기서 다운스트림 방향은 공급된 기체의 것과 반대이다. 흐름(F3)은 각각 순차적으로 제 3, 제 2 및 제 1 배출 슬롯(184, 192, 180)을 통과하는 배출된 기체의 경로를 나타낸다. 기체 공급을 위한 흐름(F2)의 보다 더 에두르는 혼합 경로와 달리, 도 8E에 도시된 배출 배열은 소비된 기체를 표면으로부터 신속히 이동시키도록 의도된다. 따라서, 흐름(F3)은 비교적 직접적으로, 기판 표면으로부터 멀리 기체를 배출한다.
다시 도 4를 참조하면, 연결 판(100), 기체 챔버 판(110), 기체 유도 판(120) 및 베이스 판(130)으로서 도시된 구성요소의 조합은 전달 어셈블리(150)를 제공하도록 그룹지어질 수 있다. 다른 실시양태에서는 대등한 배열 및 도 4의 도를 사용하여, 수평의 틈이 있는 판보다 오히려 수직으로부터 형성된 것을 포함한 전달 어셈블리(150)가 가능하다.
도 9A를 참조하면, 저면도(즉, 기체 방출면으로부터 보이는 것)로부터, 출력 면(36)에 대해 수직으로 배치되어 있는 중첩된 틈이 있는 판의 스택을 사용하는 전달 어셈블리(150)를 위해 사용될 수 있는 다른 배열이 도시되어 있다. 설명을 간단하게 하기 위해, 도 9A의 "수직 실시양태"에서 도시된 전달 어셈블리(150) 부분은 두 개의 연장된 방출성 채널(152) 및 두 개의 연장된 배출 채널(154)을 갖는다. 도 9A 내지 도 13C의 수직 판 배열은 다수의 방출성 및 배출 채널을 제공하도록 즉시 확장될 수 있다. 도 9A 및 9B에서와 같이 출력 면(36)의 평면에 대해 수직으로 배치된 틈이 있는 판을 갖는, 각각의 연장된 방출성 채널(152)은 이하에서 자세히 나타낸 바와 같이 반응물 판이 분리기 판들 사이의 중앙에 있는 분리기 판에 의해 한정된 측벽을 가짐으로써 형성된다. 다음으로, 적절한 틈의 정렬은 기상 물질의 공급부와 유체 연통한다.
도 9B의 분해 조립도는 도 9A에 도시된 전달 어셈블리(150)의 작은 구획을 형성하는데 사용되는 틈이 있는 판의 배열을 도시한다. 도 9C는 방출된 기체에 대해 5개의 연장된 채널을 갖고 적층된 틈이 있는 판을 사용하여 형성된 전달 어셈블리(150)를 도시하는 평면도이다. 다음으로, 도 10A 내지 도 13B는 평면도 및 투시도로 다양한 틈이 있는 판들을 도시한다. 단순성을 위해, 문자 명칭은 틈이 있는 판: 분리기 S, 퍼지 P, 반응물 R 및 배출 E의 각 유형에 주어진다.
도 9B에서 왼쪽에서 오른쪽으로 분리기 판(160)(S)이 있으며, 또한 도 10A 및 10B에도 도시되어 있고, 기판을 향해 또는 기판으로부터 멀리 기체를 유도하기 위해 사용되는 판들 사이에 교대로 있다. 도 11A 및 11B에는 퍼지 판(162)(P)이 도시되어 있다. 도 12A 및 12B에는 배출 판(164)(E)이 도시되어 있다. 도 13A 및 13B에는 반응물 판(166)(R)이 도시되어 있다. 도 13C는 도 12A의 반응물 판(166)을 수평으로 플리핑하여 얻어진 반응물 판(166')이 도시되어 있으며, 이러한 다른 방위는 또한 필요하다면 배출 판(164)과 함께 사용될 수 있다. 틈이 있는 판들 각각에서의 틈(168)은 판이 중첩되는 경우 정렬되어, 이에 따라 도 1을 참조하여 기재된 바와 같이 기체가 전달 어셈블리(150)를 통해 연장된 방출성 출력 채널(152) 및 배출 채널(154)로 통과되게끔 하는 도관을 형성한다.
도 9B로 다시 돌아오면, 전달 어셈블리(150)의 일부만 도시되어 있다. 이 부분의 판 구조는 상기 할당된 문자 약어를 사용하여 나타낼 수 있다, 즉 S-P-S-E-S-R-S-E-(S)(이러한 순서 중 마지막 분리기 판은 도 9A 또는 도 9B에 도시되어 있지 않다). 이 순서에서 보여지는 바와 같이, 분리기 판(160)(S)은 측벽을 형성함으로써 각 채널에 한정된다. 필요한 퍼지 기체와 함께 두 개의 반응성 기체를 제공하는 최소 전달 어셈블리(150) 및 전형적인 ALD 증착을 위한 배출 채널은 다음과 같은 전체적인 약어 순서를 사용하여 나타내었다:
S-P-S-E1-S-R1-S-E1-S-P-S-E2-S-R2-S-E2-S-P-S-E1-S-R1-S-E1-S-P-S-E2-S-R2-S-E2-S-P-S-E1-S-R1-S-E1-S-P-S
상기에서,
R1 및 R2는 상이한 방위의 반응물 판(166)을 나타내며, 사용된 두 개의 상이한 반응물 기체에 있어서, E1 및 E2는 상응하게 상이한 방위의 배출 판(164)을 나타내다.
필요한 배출 채널(154)은 통상적인 상식으로 진공 포트는 아니지만, 그의 상응하는 출력 채널(12)로부터의 흐름을 배수시키도록 단순하게 제공될 수 있으며, 이에 따라 채널 내에 균일한 흐름 패턴을 촉진시킨다. 이웃하는 연장된 방출성 채널(152)에서 정반대의 기체 압력보다 약간 더 적은 부 인발(negative draw)은 규칙적인 흐름을 촉진시키는데 일조할 수 있다. 예를 들면, 부 인발은 0.2 내지 1.0 대기압의 공급원(예컨대, 진공 펌프)에서 인발 압력으로 작동할 수 있는 반면, 전형적인 진공은 예컨대, 0.1 대기압 미만이다.
전달 헤드(10)에 의해 제공된 흐름 패턴을 사용하면, 예컨대 배경기술 부분에 상술한 바와 같이, 증착 챔버로 개별적으로 기체를 펄스하는 통상적인 접근 방법에 비해 여러 장점이 제공된다. 증착 장치의 이동도는 개선되고, 본 발명의 디바이스는 기판 치수가 증착 헤드의 크기를 초과하는 고압 증착 용도에 적합하게 된다. 또한, 흐름 역학은 종래의 접근 방법보다 개선된다.
본 발명에 사용되는 흐름 배열은 도 1에 도시된 바와 같이 전달 헤드(10)와 기판(20) 사이의 매우 짧은 거리(D), 바람직하게는 1 mm 이하를 허용한다. 출력 면(36)은 기판 표면 1 mil(대략 0.025 mm) 이내로 매우 근접하게 위치할 수 있다. 근접한 위치는 반응물 기체 흐름에 의해 생성된 기압에 의해 촉진된다. 비교하면, CVD 장치는 상당히 큰 분리 거리를 필요로 한다. 앞서 인용한 유도프스키의 미국 특허 제 6,821,563 호에 기재된 주기적 증착과 같은 종래의 접근 방법은 기판 표면에 대해 0.5 mm 이상의 거리로 제한되는 반면, 본 발명의 실시양태는 0.5 mm 미만, 예를 들면 0.450 mm 미만에서 실행될 수 있다. 사실, 기판 표면에 보다 근접한 전달 헤드(10)의 위치가 본 발명에서 바람직하다. 특히 바람직한 실시양태에서, 기판 표면으로부터의 거리(D)는 0.20 mm 이하, 바람직하게는 100 ㎛ 미만일 수 있다.
다수의 판이 적층된-판 실시양태에서 어셈블리되는 경우, 기판에 전달된 기체 흐름은 기체 흐름을 전달하는 모든 채널(I, M 또는 O 채널)에 걸쳐 균일한 것이 바람직하다. 이는 각 방출성 출력 또는 배출 채널에 재현가능한 압력 강하를 제공하도록 정확하게 기계 가공된 각각의 판에서의 흐름 패턴의 일부 부분에 제한을 갖는 것과 같이, 틈이 있는 판을 적절히 설계함으로써 이루어질 수 있다. 하나의 실시양태에서, 출력 채널(12)은 10% 이하의 편차내에서 개구의 길이를 따라 실질적으로 동등한 압력을 나타낸다. 심지어 보다 높은 공차, 예컨대, 5% 이하 또는 심지어 2%만큼 적은 편차를 허용하는 공차가 제공될 수 있다.
적층된 틈이 있는 판들을 사용하는 방법이 본 발명의 기술을 구성하는 특히 유용한 방식이지만, 다른 실시양태에서 유용할 수 있는 상기 구조를 구축시키는 여러 가지 다른 방법이 있다. 예를 들면, 상기 장치는 금속 블록 또는 서로 부착된 여러 금속 블록을 직접 기계 가공함으로써 구성될 수 있다. 또한, 당업계의 숙련자에 의해 이해되는 바와 같이 내부 몰드 특징부에 관련된 몰딩 기법이 이용될 수 있다. 상기 장치는 또한 임의의 다양한 입체석판술(stereolithography) 기법을 사용하여 구성될 수 있다.
본 발명의 전달 헤드(10)에 의해 제공되는 하나의 장점은 그의 출력 면(36)과 기판(20) 표면 사이에 적당한 분리 거리(D)(도 1)가 유지되는 것과 관련된다. 도 14는 전달 헤드(10)로부터 방출된 기체 흐름의 압력을 사용하여 거리(D)를 유지하는 몇몇 주요한 고려사항을 보여준다.
도 14에는, 대표적인 다수의 출력 채널(12) 및 배출 채널(22)이 도시되어 있다. 하나 이상의 출력 채널(12)로부터 방출된 기체의 압력은 이 도면에서 아래로향하는 화살표로 나타낸 바와 같은 힘을 생성한다. 이 힘이 전달 헤드(10)에 대해 유용한 쿠셔닝 또는 "공기" 베어링(기체 유체 베어링) 효과를 제공하기 위해서는, 충분한 착륙 영역, 즉 기판과 근접하게 접하게 될 수 있는 출력 면(36)에 따른 고체 표면적이 있어야 한다. 착륙 영역의 비율은 그 아래에서 기압의 축적을 허용하는 출력 면(36)의 고체 영역의 상대적인 양에 상응한다. 가장 단순한 항으로, 착륙 영역은 출력 면(36)의 총 면적에서 출력 채널(12)과 배출 채널(22)의 총 면적을 뺀 것으로서 계산될 수 있다. 이는 너비(w1)를 갖는 출력 채널(12) 또는 너비(w2)를 갖는 배출 채널(22)의 기체 흐름 영역을 제외한 총 표면적이 가능한 한 많이 최대화되어야 함을 의미한다. 하나의 실시양태에서 95%의 착륙 영역이 제공된다. 다른 실시양태는, 예를 들면 85% 또는 75%의 보다 작은 착륙 영역 값을 사용할 수 있다. 기체 흐름 속도의 조정은 또한 분리 또는 쿠셔닝 힘을 변경하여 그에 따른 거리(D)를 변화시키기 위해 사용될 수 있다.
기체 유체 베어링을 제공하는 장점이 존재하여, 전달 헤드(10)가 실질적으로 기판(20) 상에 일정 거리(D)로 유지되는 것으로 이해될 수 있다. 이는 임의의 적합한 유형의 수송 메커니즘을 사용하는 전달 헤드(10)의 필수적인 마찰없는 운동을 허용한다. 그러면, 전달 헤드(10)는, 앞 뒤로 교신함에 따라 물질 증착 동안 기판(20) 표면을 가로질러 쓸고 지나가는 기판(20) 표면 상에 "호버(hover)"를 야기시킬 수 있다.
도 14에 도시된 바와 같이, 전달 헤드(10)는 너무 무거워서, 아래로 향하는 기체 힘이 필요한 분리를 유지하기에 충분하지 않을 수 있다. 이러한 경우, 보조적인 리프팅 구성요소, 예컨대 스프링(170), 자석 또는 다른 디바이스를 사용하여 리프팅 힘을 보충할 수 있다. 다른 경우, 기체 흐름은 반대 문제를 일으킬 만큼 충분히 높아, 전달 헤드(10)가 추가적인 힘이 가해지지 않는 한 너무 큰 거리만큼 기판(20) 표면으로부터 떨어지도록 강요될 수 있다. 이러한 경우, 스프링(170)은 거리(D)를 유지하기 위해 필요한 추가의 힘(도 14의 배열에 대해 아래로 향함)을 제공하는 압축 스프링일 수 있다. 다르게는, 스프링(170)은 자석, 탄성 스프링 또는 아래로 향하는 힘을 보충하는 다른 디바이스일 수 있다.
다르게는, 전달 헤드(10)는 기판(20)에 대해 일부 다른 방위로 위치할 수 있다. 예를 들면, 기판(20)은 중력에 대항하는 공기 베어링 효과에 의해 지지되어, 기판(20)은 증착 동안 전달 헤드(10)를 따라 이동될 수 있다. 기판(20)이 전달 헤드(10) 상에서 쿠셔닝된 기판(20)으로의 증착을 위해 공기 베어링 효과를 사용하는 하나의 실시양태는 도 20에 도시되어 있다. 전달 헤드(10)의 출력 면(36)에 걸친 기판(20)의 이동은 도시된 바와 같이 양쪽 화살표에 따른 방향이다.
도 21의 다른 실시양태는 기판 지지체(74), 예컨대 전달 헤드(10)와 기체 유체 베어링(98) 사이에서 K 방향으로 이동하는 웹 지지체 또는 롤러 상의 기판(20)을 도시하고 있다. 이 실시양태에서, 전달 헤드(10)는 공기-베어링, 보다 적절하게는 기체 유체-베어링 효과를 갖고, 출력 면(36)과 기판(20) 사이에 원하는 거리(D)를 유지하기 위해 기체 유체 베어링(98)과 협력한다. 기체 유체 베어링(98)은 불활성 기체, 또는 공기, 또는 일부 다른 기상 물질의 흐름(F4)을 사용하여 압력을 유도할 수 있다. 이러한 증착 시스템에서, 기판 지지체 또는 홀더가 증착 동안 기판과 접촉될 수 있으며, 기판 지지체는 기판을 운반하는 수단, 예컨대 롤러일 수 있음을 주지한다. 따라서, 처리되는 기판의 열적 절연은 본 시스템의 필요요건이 아니다.
특히 도 3A 및 3B에 대해 기재된 바와 같이, 전달 헤드(10)는 그의 증착 기능을 수행하기 위해 기판(20) 표면에 상대적인 이동을 필요로 한다. 이러한 상대적인 이동은 전달 헤드(10) 및 기판(20) 둘 다 또는 둘 중 하나의 이동을 포함한 여러 가지 방식으로, 예컨대 기판 지지체를 제공하는 장치의 이동에 의해 얻을 수 있다. 얼마나 많은 증착 사이클이 필요한지에 따라, 이동은 진동 또는 왕복성일 수 있거나 연속 이동일 수 있다. 연속 공정이 바람직하지만, 특히 배치(batch) 공정에서는 기판의 회전을 또한 사용할 수 있다. 작동기가 전달 헤드의 몸체에 결합, 예컨대 기계적으로 연결될 수 있다. 다르게는, 자기장을 변화시키는 것과 같은 다른 힘이 사용될 수 있다.
전형적으로, ALD는 각 사이클을 사용하여 제어된 막 깊이를 구축시키는 다중 증착 사이클을 필요로 한다. 앞서 제공된 기상 물질 유형에 대한 명칭을 사용하여, 예컨대 단순 디자인의 단일 사이클은 제 1 반응물 기상 물질(O)의 일회 적용 및 제 2 반응물 기상 물질(M)의 일회 적용을 제공할 수 있다.
O 및 M 반응물 기상 물질에 대한 출력 채널들 간의 거리는 각 사이클을 완료시키기 위해 왕복 운동에 필요한 거리를 결정한다. 상기 예에서, 도 4의 전달 헤드(10)는 반응물 기상 채널 출구와 이웃하는 퍼지 채널 출구 사이의 너비로 0.1 인치(2.54 mm)의 공칭 채널 너비를 가질 수 있다. 따라서, 동일한 표면의 모든 영역으로 하여금 전체 ALD 사이클을 간파하게 하는 왕복 운동(본원에서 사용되는 y 축을 따라)의 경우, 0.4 인치(10.2 mm) 이상의 스트로크(storke)가 필요하다. 이러한 예에서, 기판(20) 영역은 상기 거리에 걸친 이동에 의해 제 1 반응물 기상 물질(O) 및 제 2 반응물 기상 물질(M) 둘 다에 노출된다. 다르게는, 전달 헤드는 그의 스트로크에 대해 훨씬 더 큰 거리로, 심지어 기판의 한 끝에서 다른쪽으로 이동할 수 있다. 이 경우, 성장 필름은 그의 성장 기간 동안 주변 조건에 노출되어 다양한 사용 환경에 어떠한 불리한 효과도 야기시키지 않을 수 있다. 일부 경우, 균일성에 대한 고려사항은 왕복 주행의 양 극단을 따라 가장자리 효과 또는 구축을 감소시키는 것과 같은, 각 사이클에서 왕복 운동량에 대한 무작위 측정을 필요로 할 수 있다.
전달 헤드(10)는 단일 사이클을 제공하기에 충분한 출력 채널(12)만을 구비할 수 있다. 다르게는, 전달 헤드(10)는 다중 사이클 배열을 가져서, 더 큰 증착 영역을 도포하게 하거나, 또는 왕복 운동 거리의 하나의 횡단에서 2 이상의 증착 사이클을 허용하는 거리에 걸쳐 그 왕복 운동이 가능하게 한다.
예를 들어, 하나의 특정 용도에서, 각각의 O-M 사이클이, 처리된 표면의 1/4 위에서 1 원자 직경의 층을 형성한다는 것을 발견하였다. 따라서, 이 경우에, 처리된 표면 상의 1 원자 직경의 균일한 층을 형성하기 위해서는 4회 사이클이 필요하다. 유사하게, 이 경우에 10 원자 직경의 균일한 층을 형성하기 위해서는 40회 사이클이 필요할 것이다.
본 발명의 전달 헤드(10)에 사용되는 왕복 운동의 장점은 출력 면(36)의 면적을 초과하는 면적을 갖는 기판(20) 상의 증착을 허용한다는 점이다. 도 15는 화살표(A)에 의해 나타난 바와 같이 y축에 따른 왕복 운동 및 x 축에 대한 왕복 운동에 대해 직각 또는 가로지르는 방향인 이동을 사용하여 더 넓은 영역의 도포가 어떻게 이루어질 수 있는지를 개략적으로 도시한다. 다시, 도 15에 도시된 바와 같이, x 또는 y 방향으로의 운동이 전달 헤드(10)의 이동에 의해, 또는 이동을 제공하는 기판 지지체(74)가 구비된 기판(20)의 이동에 의해, 또는 전달 헤드(10)와 기판(20) 둘 다의 이동에 의해 이루어질 수 있음이 강조되어야 한다.
도 15에서, 전달 헤드 및 기판의 상대적인 운동 방향은 서로에 대해 수직이다. 상기 상대적인 운동은 평행할 수도 있다. 이 경우, 상기 상대적인 운동은 진동을 나타내는 비제로(nonzero) 진동수 구성요소, 및 기판의 변위를 나타내는 제로 진동수 구성요소를 가질 필요가 있다. 상기 조합은, 고정된 기판 상의 전달 헤드의 변위와 조합된 진동; 고정된 기판 전달 헤드에 대한 기판의 변위와 조합된 진동; 또는 진동 및 고정된 운동이 전달 헤드 및 기판 둘 모두의 이동에 의해 제공되는 임의의 조합에 의해 이루어질 수 있다.
유리하게, 전달 헤드(10)는 다양한 유형의 증착 헤드에 있어 가능한 것보다 더 작은 크기로 제작될 수 있다. 예를 들면, 하나의 실시양태에서, 출력 채널(12)은 0.005 인치(0.127 mm)의 너비(w1)를 갖고, 3 인치(75 mm)로 길이가 확장된다.
바람직한 실시양태에서, ALD는 대기압 또는 그 부근의 압력에서 광범위한 주변 온도 및 기판 온도에 걸쳐, 바람직하게는 300℃ 이하의 온도에서 수행될 수 있다. 바람직하게는, 오염 가능성을 최소화하기 위해 비교적 청정 환경이 필요하다. 그러나, 본 발명의 장치의 바람직한 실시양태를 사용하는 경우 양호한 성능을 획득하기 위해 완전 "청정실" 조건 또는 불활성 기체-충진된 밀폐가 요구되지는 않는다.
도 16은 비교적 잘 제어되고 오염되지 않은 환경을 제공하기 위한 챔버(50)를 갖는 원자층 증착(ALD) 시스템(60)을 도시한다. 기체 공급부(28a, 28b, 28c)는 공급 라인(32)을 통해 전달 헤드(10)로 제 1, 제 2 및 제 3 기상 물질을 제공한다. 가요성 공급 라인(32)의 선택적인 사용은 전달 헤드(10)의 이동을 용이하게 한다. 단순화를 위해, 선택적인 진공 증기 회수 장치 및 다른 지지 구성요소가 도 16에 도시되어 있지 않으나, 사용될 수도 있다. 수송 서브시스템(54)은, 전달 헤드(10)의 출력 면(36)을 따라 기판(20)을 운반하여 본 개시내용에서 이용된 좌표축 시스템을 사용하는 x 방향으로의 이동을 제공하는 기판 지지체를 제공한다. 밸브 및 다른 지지 구성요소의 전체적인 제어뿐만 아니라 운동 제어가, 예컨대 컴퓨터 또는 전용 마이크로프로세서 어셈블리와 같은 제어 논리 프로세서(56)에 의해 제공될 수 있다. 도 16의 배열에서, 제어 논리 프로세서(56)는 전달 헤드(10)에 왕복 운동을 제공하기 위한 작동기(30)를 제어하고, 또한 수송 서브시스템(54)의 수송 모터(52)를 제어한다. 작동기(30)는 이동하는 기판(20)(또는 다르게는 고정 기판(20))에 따른 전달 헤드(10)의 앞 뒤 운동을 유발하는데 적합한 임의의 여러 가지 디바이스일 수 있다.
도 17은 기판 지지체로서 작용하는 웹 컨베이어(62)를 따라 전달 헤드(10)를 지나 운반되는 웹 기판(66) 상으로의 박막 증착을 위한 원자층 증착(ALD) 시스템(70)의 다른 실시양태를 도시한다. 웹 그 자체는 기판일 수 있거나, 추가의 기판에 대한 지지체를 제공할 수 있다. 전달 헤드 수송(64)은 웹 주행 방향을 가로지르는 방향으로 웹 기판(66)의 표면을 가로질러 전달 헤드(10)를 운반한다. 하나의 실시양태에서, 전달 헤드(10)는 완전한 분리 힘이 기압에 의해 제공되면서 웹 기판(66)의 표면을 가로질러 앞 뒤로 추진된다. 다른 실시양태에서, 전달 헤드 수송(64)은 웹 기판(66)의 너비를 가로지르는 엄지 나사(lead screw) 또는 유사한 메커니즘을 사용한다. 다른 실시양태에서, 다중 전달 헤드(10)는 웹(62)을 따라 적절한 위치에서 사용된다.
도 18은 흐름 패턴이 도 17의 구성에 대해 직각으로 배향되어 있는 고정 전달 헤드(10)를 사용하는 웹 배열의 또다른 원자층 증착(ALD) 시스템(70)을 도시한다. 상기 배열에서, 웹 컨베이어(62)의 운동 그 자체는, ALD 증착에 필요한 이동을 제공한다. 또한, 왕복 운동은 이러한 환경에 사용될 수 있다. 도 19를 참조하면, 출력 면(36)이 일정량의 굴곡을 가져서 일부 웹 코팅 용도에 유리할 수 있는 전달 헤드(10)의 일부의 실시양태가 도시되어 있다. 볼록 또는 오목 굴곡이 제공될 수 있다.
웹 제작에 특히 유용할 수 있는 다른 실시양태에서, ALD 시스템(70)은 기판(66)의 한 면 상에 하나가 배치된 다중 전달 헤드(10) 또는 이중 전달 헤드(10)를 가질 수 있다. 다르게는 가요성 전달 헤드(10)가 제공될 수 있다. 이는 증착 표면에 대해 적어도 일부 정합성을 나타내는 증착 장치를 제공할 것이다.
다른 실시양태에서, 전달 헤드(10)의 하나 이상의 출력 채널(12)은 일찍이 인용된 레비(Levy) 등에 의한 2006년 3월 29일자로 출원된 "원자층 증착을 위한 장치(APPARATUS FOR ATOMIC LAYER DEPOSITION)" 표제하의 미국 특허 출원 제 11/392,006 호에 개시된 횡단 기체 흐름 배열을 사용할 수 있다. 상기 실시양태에서, 전달 헤드(10)와 기판(20) 사이의 분리를 지지하는 기압은 일부 다양한 출력 채널(12), 예를 들면 퍼지 기체를 방출하는 채널(도 2 내지 3B에서 I로 표지된 채널)에 의해 유지될 수 있다. 횡단 흐름은 반응물 기체를 방출하는 하나 이상의 출력 채널(12)(도 2 내지 3B에서 O 또는 M으로 표지된 채널)을 위해 사용된다.
본 발명의 장치는 일부 실시양태에서 실온 또는 실온 부근의 온도를 비롯한 광범위한 온도에 걸쳐 기판 상에 증착을 수행할 수 있다는 점에서 유리하다. 본 발명의 장치는 진공 환경에서 작동할 수 있으나, 대기압 또는 대기압 부근의 압력에서 작동하기에 특히 적합하다.
본 발명의 방법에 따라 제조된 반도체 막을 갖는 박막 트랜지스터는, 0.01 cm2/Vs 초과, 바람직하게는 0.1 cm2/Vs 이상, 더욱 바람직하게는 0.2 cm2/Vs 초과인 전계 효과 전자 이동도를 나타낼 수 있다. 또한, 본 발명에 따라 제조된 반도체 막을 갖는 n-채널 박막 트랜지스터는 104 이상, 유리하게는 105 이상의 온/오프 비를 제공할 수 있다. 온/오프 비는 게이트 전압이 하나의 값으로부터 디스플레이의 게이트 라인에 사용될 수 있는 적절한 전압을 나타내는 다른 값으로 스위핑(sweeping)될 때의 드레인 전류의 최대/최소 값으로 측정된다. 전형적인 일련의 값은 30V로 유지되는 드레인 전압에서 -10V 내지 40V일 것이다.
공기 베어링 효과가 기판(20) 표면으로부터 적어도 부분적으로 분리된 전달 헤드(10)에 사용될 수 있지만, 본 발명의 장치는 다르게는 전달 헤드(10)의 출력 표면(36)으로부터 기판(20)을 리프팅하거나 물체부양시키기 위해 사용될 수 있다. 다르게는, 예컨대 플래튼을 비롯한 기판 홀더의 다른 유형이 사용될 수 있다.
비교예 C1:
본 발명과 비교하기 위해, Al2O3 막을, 레비 등에 의한 2006년 3월 29일자로 출원된 "원자층 증착을 위한 장치(APPARATUS FOR ATOMIC LAYER DEPOSITION)" 표제하의 미국 특허 출원 제 11/392,006 호에 개시된 대조군 APALD(대기압 원자층 증착)를 사용하여 규소 웨이퍼 상에 성장시켰다. APALD 디바이스는 다음과 같은 구성의 출력 채널 11개를 갖도록 구성된다:
채널 1: 퍼지 기체
채널 2: 산화제 함유 기체
채널 3: 퍼지 기체
채널 4: 금속 전구체 함유 기체
채널 5: 퍼지 기체
채널 6: 산화제 함유 기체
채널 7: 퍼지 기체
채널 8: 금속 전구체 함유 기체
채널 9: 퍼지 기체
채널 10: 산화제 함유 기체
채널 11: 퍼지 기체
막을 150℃의 기판 온도에서 성장시켰다. APALD 코팅 헤드로 전달된 기체 흐름은 다음과 같다:
(i) 질소 불활성 퍼지 기체를 2000 sccm(분 당 표준 cm3)의 총 유속에서 채널 1, 3, 5, 7, 9 및 11로 공급하였다.
(ii) 트라이메틸알루미늄(TMA)을 함유하는 질소-계 기체 스트림을 채널 4 및 8로 공급하였다. 이 기체 스트림은 실온에서 TMA로 포화된 질소 7 sccm의 흐름과 순수한 질소 300 sccm의 흐름을 혼합하여 제조하였다.
(iii) 수증기를 함유하는 질소-계 기체 스트림을 채널 2, 6 및 10에 공급하였다. 이 기체 스트림은 실온에서 수증기로 포화된 질소 25 sccm의 흐름과 순수한 질소 300 sccm의 흐름을 혼합하여 제조하였다.
상기 기체 공급 스트림을 갖는 코팅 헤드를 마이크로미터 조정 메커니즘을 사용하여 기판 상에 대략 30 마이크로미터의 고정된 위치로 가져왔다. 이 지점에서, 코팅 헤드를 기판을 가로질러 175 사이클에 대해 진동시켜 대략 900 Å 두께의 Al2O3 막을 생성시켰다.
알루미늄 증발 동안 쉐도우 마스크(shadow mask)를 사용하여 Al2O3 층 상부에 알루미늄 접촉을 코팅함으로써 전류 누설 시험 구조를 형성하였다. 이러한 공정은 500 마이크론 × 200 마이크론의 면적을 갖는 대략 500 Å 두께인 Al2O3 상부에 알루미늄 접촉 패드를 생성시켰다.
주어진 알루미늄 접촉 패드에서 규소 웨이퍼까지 20 V 전위를 인가하고, HP-4155C(등록상표) 파라미터 분석기를 사용하여 전류 흐름의 양을 측정하여 규소 웨이퍼로부터 Al 접촉으로의 누설 전류를 측정하였다.
20 V 전위에서의 이 샘플의 경우 누설 전류는 8.2 × 10-8 A이었다.
실시예 E1:
Al2O3 막을 본 발명의 APALD 디바이스를 사용하여 규소 웨이퍼 상에 성장시켰다. APALD 디바이스는 비교예 C1의 디바이스와 유사하게 구성된다. 막을 150℃의 기판 온도에서 성장시켰다. APALD 코팅 헤드로 전달된 기체 흐름은 다음과 같다:
(i) 질소 불활성 퍼지 기체를 3000 sccm의 총 유속에서 채널 1, 3, 5, 7, 9 및 11로 공급하였다.
(ii) 트라이메틸알루미늄을 함유하는 질소-계 기체 스트림을 채널 4 및 8로 공급하였다. 이 기체 스트림은 실온에서 TMA로 포화된 질소 3.5 sccm의 흐름과 순수한 질소 기체 약 400 sccm의 흐름을 혼합하여 제조하였다.
(iii) 수증기를 함유하는 질소-계 기체 스트림을 채널 2, 6 및 10에 공급하였다. 이 기체 스트림은 실온에서 수증기로 포화된 질소 20 sccm의 흐름과 순수한 질소 약 350 sccm의 흐름을 혼합하여 제조하였다.
상기 기체 공급 스트림을 갖는 코팅 헤드를 기판에 근접하게 가져온 후 방출시켜, 상기 기재된 기체 흐름을 기준으로 기판 상에 부유시켰다. 이 지점에서, 코팅 헤드를 기판을 가로질러 300 사이클에 대해 진동시켜 대략 900 Å 두께의 Al2O3 막을 생성시켰다.
비교예 C1에서와 동일한 절차 및 접촉 패드 크기를 사용하여 Al2O3 층 상부에 알루미늄 접촉 패드를 코팅함으로써 전류 누설 시험 구조를 형성하였다.
20 V 전위에서, Al2O3 유전체를 통한 누설은 1.3 × 10-11 A이었다. 이러한 시험 데이터로부터 알 수 있는 바와 같이, 이 실시예의 기체 상승 코팅 헤드는 상당히 낮은 전류 누설을 갖는 막을 생성하고, 이는 유용한 유전체 막의 제조에 있어 바람직하다.

Claims (64)

  1. (a) 제 1, 제 2 및 제 3 기상 물질 각각에 대한 적어도 제 1, 제 2 및 제 3 공급원을 포함하는 복수개의 기상 물질 각각에 대한 복수개의 공급원;
    (b) 기상 물질을 박막 증착을 수용하는 기판으로 전달하고, (i) 제 1, 제 2 및 제 3 기상 물질 각각을 수용하는 적어도 제 1, 제 2 및 제 3 주입 포트를 포함하는 복수개의 주입 포트; 및 (ii) 복수개의 출력 개구를 포함하고 기판 표면으로부터 일정 거리로 기판과 마주하는 출력 면을 포함하되, 이때 제 1, 제 2 및 제 3 기상 물질이 출력 면의 출력 개구로부터 동시에 배출되는, 전달 헤드; 및
    (c) 기판을 지지하는 선택적인 기판 지지체
    를 포함하고,
    (d) 박막 증착 동안 전달 헤드의 출력 면과 기판 표면 사이에 실질적으로 균일한 거리를 유지하며, 이때 박막 증착을 위한 전달 헤드로부터 기판 표면으로의 기상 물질들 중 하나 이상의 흐름으로 인해 생성된 압력이 기판 표면으로부터 전달 헤드의 출력 면을 분리하는 힘의 적어도 일부를 제공하는
    고체 물질의 기판으로의 박막 증착을 위한 증착 시스템.
  2. 제 1 항에 있어서,
    실질적으로 균일한 거리가 기상 물질 중 하나 이상의 흐름으로 인해 생성된 압력에 의해 실질적으로 유지되며, 이때 거리가 하나 이상의 기상 물질의 유속을 변화시킴으로써 조정되는 증착 시스템.
  3. 제 1 항에 있어서,
    기판 표면을 따라 전달 헤드의 왕복 운동을 제공하는 전달 헤드에 결합된 작동기를 추가로 포함하는 증착 시스템.
  4. 제 1 항에 있어서,
    하나 이상의 출력 개구의 너비가 0.05 내지 2 mm인 증착 시스템.
  5. 제 1 항에 있어서,
    출력 면의 단면적이 굴곡을 갖는 증착 시스템.
  6. 제 1 항에 있어서,
    출력 개구의 단면적이 직사각형인 증착 시스템.
  7. 제 1 항에 있어서,
    전달 헤드가 하나 이상의 배출 포트를 추가로 포함하는 증착 시스템.
  8. 제 7 항에 있어서,
    하나 이상의 배출 포트가 기상 물질을 재사용하기 위해 재순환되게 하는 증착 시스템.
  9. 제 1 항에 있어서,
    기판을 지지하는 기판 지지체를 추가로 포함하되, 작동하는 동안 출력 면과 기판 표면 사이에 상대적인 이동을 제공하는 증착 시스템.
  10. 제 9 항에 있어서,
    출력 개구의 길이 방향에 실질적으로 직각인 방향으로 전달 헤드의 왕복 운동을 제공하는 전달 헤드에 결합된 작동기를 추가로 포함하여 전달 헤드에 진동 운동을 제공하는 증착 시스템.
  11. 제 9 항에 있어서,
    기판 지지체가 전달 헤드의 출력 면을 따라 기판을 이동시키는 수송 장치를 포함하는 증착 시스템.
  12. 제 9 항에 있어서,
    고체 물질의 박막 증착을 위한 기판의 총 표면적이 전달 헤드의 출력 면의 표면적을 초과하는 증착 시스템.
  13. 제 9 항에 있어서,
    기판 지지체가 이동 웹을 운반하는 증착 시스템.
  14. 제 1 항에 있어서,
    기판 표면이 전달 헤드의 출력 면의 0.4 mm 이내의 분리 거리로 유지되는 증착 시스템.
  15. 제 13 항에 있어서,
    수송 장치에 의해 제공된 웹의 이동이 연속적이고 선택적으로 왕복인 증착 시스템.
  16. 제 1 항에 있어서,
    제 1, 제 2 및 제 3 기상 물질 개구의 흐름이 박막 증착 동안 실질적으로 연속인 증착 시스템.
  17. 제 1 항에 있어서,
    박막 증착 동안 전달 헤드 및 기판을 하우징하는 챔버를 추가로 포함하는 증착 시스템.
  18. 제 13 항에 있어서,
    기판 및 전달 헤드가 대기로 개방되는 증착 시스템.
  19. 제 11 항에 있어서,
    전달 헤드의 출력 면을 지나 웹 기판을 이동시켜 웹 기판의 면적에 대해 박막 증착을 실행하는 컨베이어를 추가로 포함하되, 상기 웹 기판이 전달 헤드의 출력 면과 실질적으로 균일하게 근접하고, 증착 시스템이 시스템의 작동 동안 근접도를 유지하면서 출력 면과 기판 표면 사이의 상대적인 이동을 제공하는 증착 시스템.
  20. 제 19 항에 있어서,
    웹 이동을 가로지르는 방향으로 전달 헤드를 이동시키는 수송 어셈블리를 추가로 포함하는 증착 시스템.
  21. 제 1 항에 있어서,
    전달 헤드의 면으로부터 기판 표면을 분리하는 압력이 전달 헤드의 면으로부터 기체 흐름 모두에 의해 실질적으로 동등하게 제공되는 증착 시스템.
  22. 제 1 항에 있어서,
    일련의 기체 흐름이 전달 헤드의 출력 면에서 배출 출구에 의해 서로 분리되는 증착 시스템.
  23. 제 1 항에 있어서,
    제 1 및 제 2 반응성 기상 물질의 흐름이 적어도 불활성 퍼지 기체와 배출 출구에 의해 실절적으로 공간적으로 분리되는 증착 시스템.
  24. 제 1 항에 있어서,
    기체 흐름이 전달 헤드의 출력 면 상의 실질적으로 평행한 연장된 개구를 통해 제공되는 증착 시스템.
  25. 제 24 항에 있어서,
    실질적으로 평행한 연장된 개구가 실질적으로 중심이 같은 증착 시스템.
  26. 제 25 항에 있어서,
    불활성 기체 흐름을 제공하는 추가의 연장된 개구가 실질적으로 평행한 연장된 개구에 수직인 증착 시스템.
  27. 제 26 항에 있어서,
    실질적으로 수직인 연장된 개구가 실질적으로 평행한 연장된 개구의 말단과 전달 헤드의 바깥 가장자리 사이에 위치하는 증착 시스템.
  28. 제 1 항에 있어서,
    전달 헤드의 출력 면과 기판 사이에 실질적으로 균일하게 유지된 거리가 1 mm 미만인 증착 시스템.
  29. 제 1 항에 있어서,
    전달 헤드의 출력 면과 기판 사이에 실질적으로 균일하게 유지된 거리가 500 마이크로미터 미만인 증착 시스템.
  30. 제 1 항에 있어서,
    전달 헤드의 출력 면과 기판 사이에 실질적으로 균일하게 유지된 거리가 200 마이크로미터 미만인 증착 시스템.
  31. 제 1 항에 있어서,
    전달 헤드의 출력 면이 출력 면의 총 면적의 95% 이상의 착륙 영역을 갖는 증착 시스템.
  32. 제 1 항에 있어서,
    전달 헤드의 출력 면이 출력 면의 총 면적의 85% 이상의 착륙 영역을 갖는 증착 시스템.
  33. 제 1 항에 있어서,
    전달 헤드의 출력 면이 출력 면의 총 면적의 75% 이상의 착륙 영역을 갖는 증착 시스템.
  34. 제 26 항에 있어서,
    연장된 개구를 빠져나가는 기상 물질이 개구의 길이를 따라 10% 이하의 편차 내에서 실질적으로 동일한 압력을 갖는 증착 시스템.
  35. 제 1 항에 있어서,
    기판이 플래튼인 기판 홀더 상에 있는 증착 시스템.
  36. 제 1 항에 있어서,
    기체 유체 베어링이 기판 또는 기판에 대한 기판 홀더를 부유시키고, 또한 선택적으로 전달 헤드에 대한 지지체를 제공하고, 기체 유체 베어링이 전달 헤드와 마주보는 제 1 면에 대항하여 놓여있는 기판의 제 2 면에 대해 선택적으로 불활성 기체를 사용하여 기압을 가하는 증착 시스템.
  37. 제 20 항에 있어서,
    추가적인 제 2 전달 헤드가 전달 헤드로부터 기판의 반대 면에 제공되어, 동시에 또는 순차적으로 기판을 제 1 및 제 2 증착 부분 사이의 위치로 옮기지 않으면서 기판의 양면이 박막 증착 처리되는 증착 시스템.
  38. 제 1 항에 있어서,
    처리될 기판 상의 전달 헤드의 출력 면이 강성이고 평면이거나 비-평면인 증착 시스템.
  39. 제 1 항에 있어서,
    처리될 기판 상의 전달 헤드의 출력 면이 가요성이어서 기판에 정합되는 증착 시스템.
  40. 제 1 항에 있어서,
    출력 면과 기판 사이의 분리 거리를 유지하는데 도움이 되는 힘을 제공하는 리프팅 또는 압축 구성요소를 추가로 포함하는 증착 시스템.
  41. 제 1 항에 있어서,
    기판 홀더가 증착 동안 기판과 접촉되고/되거나 기판을 운반하는 수단이 증착 동안 기판과 접촉되는 증착 시스템.
  42. 제 2 반응성 기상 물질로 처리된 기판 표면과 반응할 수 있는 제 1 반응성 기상 물질, 불활성 퍼지 기체 및 제 2 반응성 기상 물질을 적어도 포함하고, 이 중 하나 이상이 전달 헤드 면으로부터 기판 표면을 분리하는데 적어도 일조하는 압력을 제공하는 일련의 기체 흐름을 박막 증착 시스템의 전달 헤드의 출력 면으로부터 기판 표면으로 동시에 유도시키는 단계를 포함하는, 기판 상에 박막 물질을 증착시키는 방법.
  43. 제 42 항에 있어서,
    기체 흐름이 실질적으로 평행한 일련의 개구 연장된 출력 채널로부터 제공되고, 이때 전달 헤드의 출력 면이 증착 처리되는 기판 표면의 1 mm 이내에서 이격되는 방법.
  44. 제 42 항에 있어서,
    기판을 이격되어 있는 복수개의 전달 헤드에 의해 처리하는 방법.
  45. 제 42 항에 있어서,
    주어진 기판 영역을 한 번에 500 밀리초 미만 동안 제 1 반응성 기상 물질의 기체 흐름에 노출시키는 방법.
  46. 제 42 항에 있어서,
    전달 헤드와 기판 사이에 상대적인 운동을 제공하는 단계를 추가로 포함하는 방법.
  47. 제 42 항에 있어서,
    반응성 기체 중 하나 이상의 기체 흐름이 1 sccm 이상인 방법.
  48. 제 42 항에 있어서,
    증착 동안 기판 온도가 300℃ 이하인 방법.
  49. 제 42 항에 있어서,
    제 1 반응성 기상 물질이 금속-함유 화합물이고, 제 2 반응성 기상 물질이 비-금속 화합물인 방법.
  50. 제 49 항에 있어서,
    금속이 주기율표의 제 II 족, 제 III 족, 제 IV 족, 제 V 족 또는 제 VI 족 원소인 방법.
  51. 제 49 항에 있어서,
    금속-함유 화합물이 300℃ 이하의 온도에서 기화될 수 있는 유기금속 화합물인 방법.
  52. 제 49 항에 있어서,
    금속-함유 반응성 기상 물질이 비-금속 반응성 기상 물질과 반응하여 오산화탄탈륨, 산화알루미늄, 산화티탄, 오산화니오븀, 산화지르코늄, 산화하프늄, 산화아연, 산화란튬, 산화이트륨, 산화세륨, 산화바나듐, 산화몰리브데넘, 산화망간, 산화주석, 산화인듐, 산화텅스텐, 이산화규소, 황화아연, 황화스트론튬, 황화칼슘, 황화납 및 이들의 혼합물로 이루어진 군 중에서 선택된 산화 물질 또는 황화 물질을 형성하는 방법.
  53. 제 42 항에 있어서,
    전달 헤드의 출력 면 중의 제 1 출력 개구 및 마지막 출력 개구에서의 제 1 기상 흐름 및 마지막 기상 흐름이 비-반응성 기상 물질이어서, 증착 방법에 사용되는 반응성 기상 물질이 주변 공기와 혼합되는 것이 방지되는 방법.
  54. 제 42 항에 있어서,
    증착 방법을 사용하여 트랜지스터에 사용하기 위한 반도체 또는 유전체 박막을 기판 상에 제조하되, 이때 박막이 적어도 2개의 반응성 기체로서 유기금속 전구체 화합물을 포함하는 제 1 반응성 기체 및 반응성 산소-함유 기상 물질을 포함하는 제 2 반응성 기체의 반응 생성물인 금속-산화물-계 물질을 포함하고, 300℃ 이하의 온도에서 기판 상에 금속-산화물-계 물질의 하나 이상의 층을 형성하는 단계를 포함하는 방법.
  55. 제 42 항에 있어서,
    기판 표면이 기판과 마주보는 출력 면의 개구에 대해 출력 면으로부터 1 mm 아래의 거리에서 위치하는 방법.
  56. 제 55 항에 있어서,
    근접도가 0.5 mm 미만인 방법.
  57. 제 42 항에 있어서,
    증착 방법을 실행하는 동안, 전달 헤드에 부착된 기판 지지체 또는 작동기, 또는 이들 둘 다가 출력 면과 기판 표면 사이에 상대적 운동을 제공하는 방법.
  58. 제 42 항에 있어서,
    증착 동안, 진동 운동, 선택적으로 전달 헤드의 출력 채널의 길이 방향에 수직인 진동 운동을 전달 헤드에 제공하는 단계를 추가로 포함하는 방법.
  59. 제 42 항에 있어서,
    전달 헤드의 출력 면을 따라, 선택적으로 하나의 방향에서 연속적으로, 기판을 이동시키는 단계를 추가로 포함하는 방법.
  60. 제 42 항에 있어서,
    박막 물질 증착을 위한 기판의 표면적이 전달 헤드의 출력 면의 표면적을 초과하는 방법.
  61. 제 42 항에 있어서,
    기판이 전달 헤드의 출력 면의 0.3 mm 이내의 분리 거리에 있는 방법.
  62. 제 42 항에 있어서,
    제 1, 제 2 및 제 3 출력 채널 내의 기상 물질의 흐름이 증착 실행 동안 실질적으로 연속적인 방법.
  63. 제 42 항에 있어서,
    기판 및 전달 헤드가 대기로 개방되는 방법.
  64. 제 42 항에 있어서,
    전달 헤드의 출력 면을 지나 웹을 이동시켜 기판 영역 상에 박막 증착을 실시하는 컨베이어를 추가로 포함하는 기판 상에 박막 증착을 위한 방법으로서, 상기 웹이 추가의 기판을 지지하거나 박막 증착용 기판이고, 상기 기판이 전달 헤드의 출력 면에 근접하게 있고, 증착 방법의 실행 동안 웹에 대한 컨베이어, 전달 헤드에 대한 작동기 또는 이들 둘 다가 출력 면과 기판 사이를 근접하게 유지하면서 이들 사이에 상대적인 이동을 제공하는 방법.
KR1020097014140A 2007-01-08 2007-12-26 증착 시스템 및 방법 KR20090101918A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/620,744 2007-01-08
US11/620,744 US11136667B2 (en) 2007-01-08 2007-01-08 Deposition system and method using a delivery head separated from a substrate by gas pressure

Publications (1)

Publication Number Publication Date
KR20090101918A true KR20090101918A (ko) 2009-09-29

Family

ID=39272914

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020097014140A KR20090101918A (ko) 2007-01-08 2007-12-26 증착 시스템 및 방법

Country Status (7)

Country Link
US (3) US11136667B2 (ko)
EP (2) EP3002346B1 (ko)
JP (2) JP2010515821A (ko)
KR (1) KR20090101918A (ko)
CN (1) CN101578391B (ko)
TW (1) TW200837214A (ko)
WO (1) WO2008085467A1 (ko)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130001248A (ko) * 2010-02-18 2013-01-03 네덜란제 오르가니자티에 포오르 토에게파스트-나투우르베텐샤펠리즈크 온데르조에크 테엔오 연속적인 패턴화된 층 증착
KR101311974B1 (ko) * 2010-09-30 2013-09-26 소이텍 원자층 증착법에 의하여 반도체 물질들을 형성하는 시스템들 및 방법들
KR20140008751A (ko) * 2012-07-11 2014-01-22 김원구 알루미늄-실리콘 화합물의 증착방법 및 그 증착장치
KR101530445B1 (ko) * 2013-12-23 2015-07-02 신화일렉트론 주식회사 금속 산화막 형성 장치
KR101539095B1 (ko) * 2014-05-08 2015-07-24 (주)브이앤아이솔루션 박막증착장치 및 그에 사용되는 리니어소스
KR20160111962A (ko) * 2014-01-21 2016-09-27 어플라이드 머티어리얼스, 인코포레이티드 저압 툴 교체를 허용하는 원자 층 증착 프로세싱 챔버
KR20180054730A (ko) * 2015-10-19 2018-05-24 도시바 미쓰비시덴키 산교시스템 가부시키가이샤 성막 장치
KR20210061321A (ko) * 2016-04-11 2021-05-27 유니버셜 디스플레이 코포레이션 패턴 조성물용 노즐 출구 컨투어
US11981987B2 (en) 2021-10-26 2024-05-14 Universal Display Corporation Nozzle exit contours for pattern composition

Families Citing this family (169)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7573420B2 (en) * 2007-05-14 2009-08-11 Infineon Technologies Ag RF front-end for a radar system
US7789961B2 (en) * 2007-01-08 2010-09-07 Eastman Kodak Company Delivery device comprising gas diffuser for thin film deposition
US11136667B2 (en) * 2007-01-08 2021-10-05 Eastman Kodak Company Deposition system and method using a delivery head separated from a substrate by gas pressure
US20080166880A1 (en) * 2007-01-08 2008-07-10 Levy David H Delivery device for deposition
US7875559B2 (en) * 2007-01-09 2011-01-25 Electronics And Telecommunications Research Institute Method of manufacturing P-type ZnO semiconductor layer using atomic layer deposition and thin film transistor including the P-type ZnO semiconductor layer
US8287647B2 (en) * 2007-04-17 2012-10-16 Lam Research Corporation Apparatus and method for atomic layer deposition
US20080299771A1 (en) * 2007-06-04 2008-12-04 Irving Lyn M Methods of making thin film transistors comprising zinc-oxide-based semiconductor materials and transistors made thereby
US8092599B2 (en) * 2007-07-10 2012-01-10 Veeco Instruments Inc. Movable injectors in rotating disc gas reactors
US8039052B2 (en) * 2007-09-06 2011-10-18 Intermolecular, Inc. Multi-region processing system and heads
US7572686B2 (en) 2007-09-26 2009-08-11 Eastman Kodak Company System for thin film deposition utilizing compensating forces
US8211231B2 (en) * 2007-09-26 2012-07-03 Eastman Kodak Company Delivery device for deposition
US7851380B2 (en) * 2007-09-26 2010-12-14 Eastman Kodak Company Process for atomic layer deposition
US8182608B2 (en) * 2007-09-26 2012-05-22 Eastman Kodak Company Deposition system for thin film formation
US7972898B2 (en) * 2007-09-26 2011-07-05 Eastman Kodak Company Process for making doped zinc oxide
US8398770B2 (en) * 2007-09-26 2013-03-19 Eastman Kodak Company Deposition system for thin film formation
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
KR20090088056A (ko) * 2008-02-14 2009-08-19 삼성전기주식회사 가스공급 유닛 및 화학기상증착 장치
US11634815B2 (en) 2008-07-03 2023-04-25 Rasirc, Inc. Method, system, and device for storage and delivery of process gas from a substrate
US8470718B2 (en) 2008-08-13 2013-06-25 Synos Technology, Inc. Vapor deposition reactor for forming thin film
US20100037824A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Plasma Reactor Having Injector
US20100037820A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Vapor Deposition Reactor
US8851012B2 (en) * 2008-09-17 2014-10-07 Veeco Ald Inc. Vapor deposition reactor using plasma and method for forming thin film using the same
US8770142B2 (en) * 2008-09-17 2014-07-08 Veeco Ald Inc. Electrode for generating plasma and plasma generator
EP3483919A1 (en) * 2008-12-04 2019-05-15 Veeco Instruments Inc. Chemical vapor deposition flow inlet elements and methods
US8293013B2 (en) * 2008-12-30 2012-10-23 Intermolecular, Inc. Dual path gas distribution device
US8871628B2 (en) * 2009-01-21 2014-10-28 Veeco Ald Inc. Electrode structure, device comprising the same and method for forming electrode structure
US8257799B2 (en) 2009-02-23 2012-09-04 Synos Technology, Inc. Method for forming thin film using radicals generated by plasma
US20100221426A1 (en) * 2009-03-02 2010-09-02 Fluens Corporation Web Substrate Deposition System
FR2956869B1 (fr) * 2010-03-01 2014-05-16 Alex Hr Roustaei Systeme de production de film flexible a haute capacite destine a des cellules photovoltaiques et oled par deposition cyclique des couches
US8758512B2 (en) * 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
US20110023775A1 (en) * 2009-07-31 2011-02-03 E.I. Du Pont De Nemours And Company Apparatus for atomic layer deposition
US8657959B2 (en) * 2009-07-31 2014-02-25 E I Du Pont De Nemours And Company Apparatus for atomic layer deposition on a moving substrate
US20110076421A1 (en) * 2009-09-30 2011-03-31 Synos Technology, Inc. Vapor deposition reactor for forming thin film on curved surface
US20110097492A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Fluid distribution manifold operating state management system
US20110097494A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Fluid conveyance system including flexible retaining mechanism
US20110097491A1 (en) 2009-10-27 2011-04-28 Levy David H Conveyance system including opposed fluid distribution manifolds
US20110097490A1 (en) 2009-10-27 2011-04-28 Kerr Roger S Fluid distribution manifold including compliant plates
US20110097493A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Fluid distribution manifold including non-parallel non-perpendicular slots
US20110097489A1 (en) 2009-10-27 2011-04-28 Kerr Roger S Distribution manifold including multiple fluid communication ports
US20110097488A1 (en) 2009-10-27 2011-04-28 Kerr Roger S Fluid distribution manifold including mirrored finish plate
US20110097487A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Fluid distribution manifold including bonded plates
US9347987B2 (en) 2009-11-06 2016-05-24 Intel Corporation Direct liquid-contact micro-channel heat transfer devices, methods of temperature control for semiconductive devices, and processes of forming same
NL2003836C2 (en) * 2009-11-19 2011-05-23 Levitech B V Floating wafer track with lateral stabilization mechanism.
US20110120544A1 (en) 2009-11-20 2011-05-26 Levy David H Deposition inhibitor composition and method of use
US20110120543A1 (en) * 2009-11-20 2011-05-26 Levy David H Method for selective deposition and devices
US7998878B2 (en) * 2009-11-20 2011-08-16 Eastman Kodak Company Method for selective deposition and devices
US8168546B2 (en) 2009-11-20 2012-05-01 Eastman Kodak Company Method for selective deposition and devices
US8153529B2 (en) 2009-11-20 2012-04-10 Eastman Kodak Company Method for selective deposition and devices
US8318249B2 (en) * 2009-11-20 2012-11-27 Eastman Kodak Company Method for selective deposition and devices
WO2011062779A1 (en) 2009-11-20 2011-05-26 Eastman Kodak Company Method for selective deposition and devices
US20110140726A1 (en) * 2009-11-23 2011-06-16 Applied Materials, Inc. Apparatus and Methods for Measuring Solar Cell Module Performance
US8803203B2 (en) * 2010-02-26 2014-08-12 Eastman Kodak Company Transistor including reentrant profile
US7923313B1 (en) 2010-02-26 2011-04-12 Eastman Kodak Company Method of making transistor including reentrant profile
WO2012012381A1 (en) * 2010-07-22 2012-01-26 Synos Technology, Inc. Treating surface of substrate using inert gas plasma in atomic layer deposition
FI20105903A0 (fi) 2010-08-30 2010-08-30 Beneq Oy Laite
US8486192B2 (en) 2010-09-30 2013-07-16 Soitec Thermalizing gas injectors for generating increased precursor gas, material deposition systems including such injectors, and related methods
US8133806B1 (en) 2010-09-30 2012-03-13 S.O.I.Tec Silicon On Insulator Technologies Systems and methods for forming semiconductor materials by atomic layer deposition
TWI541378B (zh) * 2010-10-16 2016-07-11 奧特科技公司 原子層沉積鍍膜系統及方法
US8771791B2 (en) 2010-10-18 2014-07-08 Veeco Ald Inc. Deposition of layer using depositing apparatus with reciprocating susceptor
US8338291B2 (en) 2011-01-07 2012-12-25 Eastman Kodak Company Producing transistor including multiple reentrant profiles
US8383469B2 (en) 2011-01-07 2013-02-26 Eastman Kodak Company Producing transistor including reduced channel length
WO2012094109A1 (en) 2011-01-07 2012-07-12 Eastman Kodak Company Transistor including reduced channel length
US8847232B2 (en) 2011-01-07 2014-09-30 Eastman Kodak Company Transistor including reduced channel length
US7985684B1 (en) 2011-01-07 2011-07-26 Eastman Kodak Company Actuating transistor including reduced channel length
US8847226B2 (en) 2011-01-07 2014-09-30 Eastman Kodak Company Transistor including multiple reentrant profiles
US8304347B2 (en) 2011-01-07 2012-11-06 Eastman Kodak Company Actuating transistor including multiple reentrant profiles
WO2012094357A2 (en) 2011-01-07 2012-07-12 Eastman Kodak Company Transistor including multiple reentrant profiles
US8492769B2 (en) 2011-01-07 2013-07-23 Eastman Kodak Company Transistor including multi-layer reentrant profile
US8409937B2 (en) 2011-01-07 2013-04-02 Eastman Kodak Company Producing transistor including multi-layer reentrant profile
US8840958B2 (en) 2011-02-14 2014-09-23 Veeco Ald Inc. Combined injection module for sequentially injecting source precursor and reactant precursor
US8877300B2 (en) 2011-02-16 2014-11-04 Veeco Ald Inc. Atomic layer deposition using radicals of gas mixture
US9163310B2 (en) 2011-02-18 2015-10-20 Veeco Ald Inc. Enhanced deposition of layer on substrate using radicals
US20120222620A1 (en) 2011-03-01 2012-09-06 Applied Materials, Inc. Atomic Layer Deposition Carousel with Continuous Rotation and Methods of Use
US20120225191A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
CN102732861B (zh) * 2011-04-14 2014-12-17 北京北方微电子基地设备工艺研究中心有限责任公司 托盘及具有其的化学气相沉积设备
US20130000679A1 (en) * 2011-07-01 2013-01-03 Parra-Garcia Manuel Multi-channel de-applicator
US8617942B2 (en) 2011-08-26 2013-12-31 Eastman Kodak Company Producing transistor including single layer reentrant profile
US8637355B2 (en) 2011-08-26 2014-01-28 Eastman Kodak Company Actuating transistor including single layer reentrant profile
US8592909B2 (en) 2011-08-26 2013-11-26 Eastman Kodak Company Transistor including single layer reentrant profile
US8623757B2 (en) 2011-09-29 2014-01-07 Eastmak Kodak Company Producing a vertical transistor including reentrant profile
US8803227B2 (en) 2011-09-29 2014-08-12 Eastman Kodak Company Vertical transistor having reduced parasitic capacitance
US8273654B1 (en) 2011-09-29 2012-09-25 Eastman Kodak Company Producing a vertical transistor including reentrant profile
US8865576B2 (en) 2011-09-29 2014-10-21 Eastman Kodak Company Producing vertical transistor having reduced parasitic capacitance
US8618003B2 (en) 2011-12-05 2013-12-31 Eastman Kodak Company Method of making electronic devices using selective deposition
US9748125B2 (en) 2012-01-31 2017-08-29 Applied Materials, Inc. Continuous substrate processing system
KR20140013726A (ko) * 2012-07-26 2014-02-05 삼성디스플레이 주식회사 기상 증착 장치 및 유기 발광 표시 장치 제조 방법
US8846545B2 (en) 2012-08-31 2014-09-30 Eastman Kodak Company Method of forming patterned thin film dielectric stack
US8653516B1 (en) 2012-08-31 2014-02-18 Eastman Kodak Company High performance thin film transistor
US8791023B2 (en) 2012-08-31 2014-07-29 Eastman Kodak Company Patterned thin film dielectric layer formation
US8927434B2 (en) 2012-08-31 2015-01-06 Eastman Kodak Company Patterned thin film dielectric stack formation
TWI470110B (zh) * 2012-09-07 2015-01-21 Manz Taiwan Ltd 用於化學沉積設備的夾固裝置
US9512519B2 (en) * 2012-12-03 2016-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Atomic layer deposition apparatus and method
US9175389B2 (en) * 2012-12-21 2015-11-03 Intermolecular, Inc. ALD process window combinatorial screening tool
US20140205769A1 (en) * 2013-01-22 2014-07-24 Veeco Ald Inc. Cascaded plasma reactor
US20140206137A1 (en) * 2013-01-23 2014-07-24 David H. Levy Deposition system for thin film formation
KR102124042B1 (ko) 2013-02-18 2020-06-18 삼성디스플레이 주식회사 기상 증착 장치, 이를 이용한 증착 방법 및 유기 발광 표시 장치 제조 방법
CN105143502B (zh) 2013-03-11 2017-11-14 应用材料公司 高温处理腔室盖体
WO2014197396A1 (en) * 2013-06-03 2014-12-11 Ultratech, Inc. Gas deposition head for spatial ald
US8946070B2 (en) 2013-06-19 2015-02-03 Eastman Kodak Company Four terminal transistor fabrication
US20140374806A1 (en) 2013-06-19 2014-12-25 Lee W. Tutt Four terminal transistor
US8921236B1 (en) 2013-06-21 2014-12-30 Eastman Kodak Company Patterning for selective area deposition
US8937016B2 (en) 2013-06-21 2015-01-20 Eastman Kodak Company Substrate preparation for selective area deposition
KR102203098B1 (ko) 2013-07-25 2021-01-15 삼성디스플레이 주식회사 기상 증착 장치
TWI654666B (zh) 2014-01-27 2019-03-21 Veeco Instruments, Inc. 用於化學氣相沉積系統之具有複合半徑容置腔的晶圓載具
US9147770B1 (en) 2014-03-06 2015-09-29 Eastman Kodak Company VTFT with extended electrode
US9236486B2 (en) 2014-03-06 2016-01-12 Eastman Kodak Company Offset independently operable VTFT electrodes
US9178029B2 (en) 2014-03-06 2015-11-03 Eastman Kodak Company Forming a VTFT gate using printing
US9198283B2 (en) 2014-03-06 2015-11-24 Eastman Kodak Company Vertically spaced electrode structure
US9214560B2 (en) 2014-03-06 2015-12-15 Eastman Kodak Company VTFT including overlapping electrodes
US9153445B2 (en) 2014-03-06 2015-10-06 Eastman Kodak Company Forming a VTFT with aligned gate
WO2015134082A1 (en) 2014-03-06 2015-09-11 Eastman Kodak Company Vtft with polymer core
US9093470B1 (en) 2014-03-06 2015-07-28 Eastman Kodak Company VTFT formation using capillary action
US9142647B1 (en) 2014-03-06 2015-09-22 Eastman Kodak Company VTFT formation using selective area deposition
US9117914B1 (en) 2014-03-06 2015-08-25 Eastman Kodak Company VTFT with polymer core
US9129993B1 (en) 2014-03-06 2015-09-08 Eastman Kodak Company Forming a VTFT using printing
US9331205B2 (en) 2014-03-06 2016-05-03 Eastman Kodak Company VTFT with post, cap, and aligned gate
US9153698B2 (en) 2014-03-06 2015-10-06 Eastman Kodak Company VTFT with gate aligned to vertical structure
US9202898B2 (en) 2014-03-06 2015-12-01 Eastman Kodak Company Fabricating VTFT with polymer core
US9123815B1 (en) 2014-03-06 2015-09-01 Eastman Kodak Company VTFTs including offset electrodes
US9368491B2 (en) 2014-10-29 2016-06-14 Eastman Kodak Company Enhancement mode inverter with variable thickness dielectric stack
US9443887B1 (en) 2015-06-12 2016-09-13 Eastman Kodak Company Vertical and planar TFTS on common substrate
US9634145B2 (en) 2014-10-29 2017-04-25 Eastman Kodak Company TFT substrate with variable dielectric thickness
US9391210B2 (en) 2014-09-16 2016-07-12 Eastman Kodak Company Top gate TFT with polymer interface control layer
US9299853B1 (en) 2014-09-16 2016-03-29 Eastman Kodak Company Bottom gate TFT with multilayer passivation
US9368490B2 (en) 2014-10-29 2016-06-14 Eastman Kodak Company Enhancement-depletion mode inverter with two transistor architectures
US9328418B2 (en) 2014-09-16 2016-05-03 Eastman Kodak Company Method of forming a patterned polymer layer
US9620501B1 (en) 2014-09-16 2017-04-11 Eastman Kodak Company Enhancement-depletion mode circuit element with differential passivation
MX2014013233A (es) * 2014-10-30 2016-05-02 Ct Investig Materiales Avanzados Sc Tobera de inyeccion de aerosoles y su metodo de utilizacion para depositar diferentes recubrimientos mediante deposito quimico de vapor asistido por aerosol.
NL2013739B1 (en) * 2014-11-04 2016-10-04 Asm Int Nv Atomic layer deposition apparatus and method for processing substrates using an apparatus.
US9506147B2 (en) 2015-02-13 2016-11-29 Eastman Kodak Company Atomic-layer deposition apparatus using compound gas jet
US9528184B2 (en) 2015-02-13 2016-12-27 Eastman Kodak Company Atomic-layer deposition method using compound gas jet
US9499908B2 (en) 2015-02-13 2016-11-22 Eastman Kodak Company Atomic layer deposition apparatus
US9499906B2 (en) 2015-02-13 2016-11-22 Eastman Kodak Company Coating substrate using bernoulli atomic-layer deposition
US9653493B2 (en) 2015-06-12 2017-05-16 Eastman Kodak Company Bottom-gate and top-gate VTFTs on common structure
US9401430B1 (en) 2015-06-12 2016-07-26 Eastman Kodak Company VTFT with a top-gate structure
JP6639657B2 (ja) 2015-10-04 2020-02-05 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 熱質量が小さい加圧チャンバ
US10020327B2 (en) 2016-06-07 2018-07-10 Eastman Kodak Company Method for selective thin film deposition
US10074554B2 (en) * 2016-06-27 2018-09-11 Tel Nexx, Inc. Workpiece loader for a wet processing system
FI127502B (en) * 2016-06-30 2018-07-31 Beneq Oy Method and apparatus for coating a substrate
US9859308B1 (en) 2016-07-29 2018-01-02 Eastman Kodak Company Multiple TFTs on common vertical support element
JP6568508B2 (ja) * 2016-09-14 2019-08-28 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US9799752B1 (en) 2016-10-31 2017-10-24 Eastman Kodak Company Method for forming a thin-film transistor
US20180265977A1 (en) 2017-03-14 2018-09-20 Eastman Kodak Company Deposition system with vacuum pre-loaded deposition head
US10422038B2 (en) 2017-03-14 2019-09-24 Eastman Kodak Company Dual gas bearing substrate positioning system
US11248292B2 (en) 2017-03-14 2022-02-15 Eastman Kodak Company Deposition system with moveable-position web guides
US10550476B2 (en) 2017-03-14 2020-02-04 Eastman Kodak Company Heated gas-bearing backer
US10501848B2 (en) 2017-03-14 2019-12-10 Eastman Kodak Company Deposition system with modular deposition heads
US10435788B2 (en) 2017-03-14 2019-10-08 Eastman Kodak Deposition system with repeating motion profile
US10400332B2 (en) * 2017-03-14 2019-09-03 Eastman Kodak Company Deposition system with interlocking deposition heads
US10584413B2 (en) * 2017-03-14 2020-03-10 Eastman Kodak Company Vertical system with vacuum pre-loaded deposition head
US10895011B2 (en) 2017-03-14 2021-01-19 Eastman Kodak Company Modular thin film deposition system
CN107419239A (zh) * 2017-07-28 2017-12-01 京东方科技集团股份有限公司 用于镀膜的喷头、设备和相应方法
FI128453B (en) * 2017-10-18 2020-05-29 Beneq Oy Apparatus for processing the surface of a substrate
CN107604309B (zh) * 2017-11-06 2023-09-15 京东方科技集团股份有限公司 掩膜板贴合装置以及其贴合方法
US11635170B2 (en) 2017-11-17 2023-04-25 Rasirc, Inc. Method, system, and device for storage and delivery of process gas from a substrate
US10542619B2 (en) 2017-12-12 2020-01-21 Eastman Kodak Company Electronic element with embedded information
US10354963B2 (en) 2017-12-12 2019-07-16 Eastman Kodak Company Decoding information embedded in an electronic element
US10606213B2 (en) 2017-12-12 2020-03-31 Eastman Kodak Company Embedding an optically-detectable pattern of information in an electrical element
US11588140B2 (en) * 2018-01-12 2023-02-21 Universal Display Corporation Organic vapor jet print head for depositing thin film features with high thickness uniformity
FI128427B (en) 2018-04-12 2020-05-15 Beneq Oy Nozzle head and device
FR3084275B1 (fr) * 2018-07-30 2020-07-31 Centre Nat Rech Scient Tete et systeme compacts de depot en phase vapeur
US11306396B2 (en) * 2018-11-30 2022-04-19 Meidensha Corporation Oxide film forming device
CN110042364B (zh) * 2019-03-15 2021-04-06 纳晶科技股份有限公司 一种沉积装置以及沉积方法
EP4013905B1 (en) 2019-08-12 2023-02-22 Kurt J. Lesker Company Ultra high purity conditions for atomic scale processing
WO2021108656A1 (en) 2019-11-26 2021-06-03 Carpe Diem Technologies, Inc. Atomic layer deposition system
JP2023506526A (ja) * 2019-12-18 2023-02-16 ピー. マッセルマン,ケヴィン 薄膜堆積のための装置および方法
JP7098677B2 (ja) 2020-03-25 2022-07-11 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
EP3992328A1 (en) 2020-11-02 2022-05-04 SMIT Thermal Solutions Layer deposition device
US20230047186A1 (en) * 2021-08-13 2023-02-16 Nano-Master, Inc. Apparatus and Methods for Roll-to-Roll (R2R) Plasma Enhanced/Activated Atomic Layer Deposition (PEALD/PAALD)

Family Cites Families (125)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3588176A (en) 1968-11-13 1971-06-28 Ibm Article transport system and method
US4226526A (en) * 1976-10-04 1980-10-07 Harry Arthur Hele Spence-Bate Transport and positioning mechanism
US4081201A (en) * 1976-12-27 1978-03-28 International Business Machines Corporation Wafer air film transportation system
FI57975C (fi) * 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
SU980146A1 (ru) * 1981-06-24 1982-12-07 Предприятие П/Я А-1067 Плавающа оптическа головка
US4663197A (en) * 1981-08-26 1987-05-05 Integrated Automation Limited Method and apparatus for coating a substrate
NL8103979A (nl) * 1981-08-26 1983-03-16 Bok Edward Methode en inrichting voor het aanbrengen van een film vloeibaar medium op een substraat.
NL8203318A (nl) * 1982-08-24 1984-03-16 Integrated Automation Inrichting voor processing van substraten.
US4607167A (en) * 1982-10-19 1986-08-19 Varian Associates, Inc. Charged particle beam lithography machine incorporating localized vacuum envelope
US4594702A (en) * 1982-11-12 1986-06-10 Discovision Associates Fluid bearing for axially movable head
US4622918A (en) 1983-01-31 1986-11-18 Integrated Automation Limited Module for high vacuum processing
JPS6074626A (ja) 1983-09-30 1985-04-26 Fujitsu Ltd ウエハー処理方法及び装置
US4574093A (en) 1983-12-30 1986-03-04 At&T Bell Laboratories Deposition technique
US4590042A (en) * 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
JPS61294812A (ja) 1985-06-24 1986-12-25 Hitachi Ltd 気相浮上エピタキシヤル成長装置
JPS6221237A (ja) 1985-07-22 1987-01-29 Ulvac Corp ウエハ位置決め用テ−ブル
JPS62142783A (ja) * 1985-12-18 1987-06-26 Canon Inc プラズマcvd法による堆積膜形成装置
JPS62150711A (ja) 1985-12-24 1987-07-04 Seiko Epson Corp 気相成長法
US4801352A (en) * 1986-12-30 1989-01-31 Image Micro Systems, Inc. Flowing gas seal enclosure for processing workpiece surface with controlled gas environment and intense laser irradiation
JP2679073B2 (ja) * 1987-01-27 1997-11-19 旭硝子株式会社 常圧cvd用ガス導入ノズル
US4987856A (en) * 1989-05-22 1991-01-29 Advanced Semiconductor Materials America, Inc. High throughput multi station processor for multiple single wafers
JP2832724B2 (ja) * 1989-06-16 1998-12-09 東京エレクトロン株式会社 被処理体処理装置
KR0170391B1 (ko) 1989-06-16 1999-03-30 다카시마 히로시 피처리체 처리장치 및 처리방법
CA2016970A1 (en) 1990-05-16 1991-11-16 Prasad N. Gadgil Inverted diffusion stagnation point flow reactor for vapor deposition of thin films
JP3061401B2 (ja) * 1990-07-20 2000-07-10 株式会社東芝 半導体気相成長装置
JPH077046B2 (ja) * 1990-09-20 1995-01-30 新技術事業団 静磁場測定装置
US5155062A (en) * 1990-12-20 1992-10-13 Cree Research, Inc. Method for silicon carbide chemical vapor deposition using levitated wafer system
US5122391A (en) 1991-03-13 1992-06-16 Watkins-Johnson Company Method for producing highly conductive and transparent films of tin and fluorine doped indium oxide by APCVD
US5134963A (en) * 1991-10-28 1992-08-04 International Business Machines Corporation LPCVD reactor for high efficiency, high uniformity deposition
JPH06155398A (ja) * 1992-11-25 1994-06-03 Osaki Eng Kk Pcb加工機およびその運転方法
US6200389B1 (en) * 1994-07-18 2001-03-13 Silicon Valley Group Thermal Systems Llc Single body injector and deposition chamber
JPH0945624A (ja) * 1995-07-27 1997-02-14 Tokyo Electron Ltd 枚葉式の熱処理装置
JPH0992134A (ja) * 1995-09-22 1997-04-04 Dainippon Printing Co Ltd ノズル塗布方法及び装置
NL1003538C2 (nl) * 1996-07-08 1998-01-12 Advanced Semiconductor Mat Werkwijze en inrichting voor het contactloos behandelen van een schijfvormig halfgeleidersubstraat.
US6183565B1 (en) * 1997-07-08 2001-02-06 Asm International N.V Method and apparatus for supporting a semiconductor wafer during processing
US5981970A (en) 1997-03-25 1999-11-09 International Business Machines Corporation Thin-film field-effect transistor with organic semiconductor requiring low operating voltages
JPH1154496A (ja) * 1997-08-07 1999-02-26 Tokyo Electron Ltd 熱処理装置及びガス処理装置
US5898179A (en) * 1997-09-10 1999-04-27 Orion Equipment, Inc. Method and apparatus for controlling a workpiece in a vacuum chamber
US20020011215A1 (en) * 1997-12-12 2002-01-31 Goushu Tei Plasma treatment apparatus and method of manufacturing optical parts using the same
US5997963A (en) * 1998-05-05 1999-12-07 Ultratech Stepper, Inc. Microchamber
DE19835339A1 (de) * 1998-08-05 2000-02-17 Alfred Jaeger Luftlager, insbesondere für die Welle einer Motorspindel
US6148761A (en) * 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
NL1010003C2 (nl) * 1998-09-03 2000-03-13 Asm Int Reactor voorzien van verwarming.
JP3543672B2 (ja) * 1999-04-22 2004-07-14 シャープ株式会社 プラズマを用いた試料の表面処理装置
AU2324200A (en) * 1999-02-04 2000-08-25 Nikon Corporation Flat motor device and its driving method, stage device and its driving method, exposure apparatus and exposure method, and device and its manufacturing method
TWI242112B (en) * 1999-04-19 2005-10-21 Asml Netherlands Bv Lithographic projection apparatus and method of operating a lithographic projection apparatus
TW513617B (en) * 1999-04-21 2002-12-11 Asml Corp Lithographic projection apparatus and method of manufacturing a device using a lithographic projection apparatus
US6358327B1 (en) * 1999-06-29 2002-03-19 Applied Materials, Inc. Method for endpoint detection using throttle valve position
US6780704B1 (en) * 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
US6503330B1 (en) * 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6863019B2 (en) * 2000-06-13 2005-03-08 Applied Materials, Inc. Semiconductor device fabrication chamber cleaning method and apparatus with recirculation of cleaning gas
JP3578398B2 (ja) * 2000-06-22 2004-10-20 古河スカイ株式会社 成膜用ガス分散プレート及びその製造方法
US6583597B2 (en) * 2000-07-07 2003-06-24 Nikon Corporation Stage apparatus including non-containing gas bearings and microlithography apparatus comprising same
KR100458982B1 (ko) * 2000-08-09 2004-12-03 주성엔지니어링(주) 회전형 가스분사기를 가지는 반도체소자 제조장치 및 이를이용한 박막증착방법
JP2002208563A (ja) * 2001-01-09 2002-07-26 Ebara Corp 被加工物の加工装置及び加工方法
WO2002058126A1 (fr) * 2001-01-22 2002-07-25 Tokyo Electron Limited Dispositif et procede de traitement
KR100400044B1 (ko) * 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
JP3886424B2 (ja) * 2001-08-28 2007-02-28 鹿児島日本電気株式会社 基板処理装置及び方法
US6764386B2 (en) * 2002-01-11 2004-07-20 Applied Materials, Inc. Air bearing-sealed micro-processing chamber
US6793733B2 (en) * 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
US6796054B2 (en) * 2002-03-12 2004-09-28 Tokyo Electron Limited Low-pressure dryer and low-pressure drying method
JP3852356B2 (ja) * 2002-03-27 2006-11-29 日本ゼオン株式会社 ディップ成形用組成物、ディップ成形品およびその製造方法
US20050084610A1 (en) * 2002-08-13 2005-04-21 Selitser Simon I. Atmospheric pressure molecular layer CVD
US7383843B2 (en) * 2002-09-30 2008-06-10 Lam Research Corporation Method and apparatus for processing wafer surfaces using thin, high velocity fluid layer
US6821563B2 (en) * 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040065255A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
JP4364494B2 (ja) * 2002-10-07 2009-11-18 積水化学工業株式会社 プラズマ表面処理装置
US6916374B2 (en) * 2002-10-08 2005-07-12 Micron Technology, Inc. Atomic layer deposition methods and atomic layer deposition tools
US20040142558A1 (en) * 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
US7064089B2 (en) 2002-12-10 2006-06-20 Semiconductor Energy Laboratory Co., Ltd. Plasma treatment apparatus and method for plasma treatment
JP3866655B2 (ja) * 2002-12-26 2007-01-10 励起 渡辺 処理装置及び処理方法
US6888172B2 (en) 2003-04-11 2005-05-03 Eastman Kodak Company Apparatus and method for encapsulating an OLED formed on a flexible substrate
EP1498778A1 (en) * 2003-06-27 2005-01-19 ASML Netherlands B.V. Lithographic apparatus and device manufacturing method
JP2005171272A (ja) 2003-12-08 2005-06-30 Sony Corp レーザcvd装置
JP2005179705A (ja) * 2003-12-17 2005-07-07 Sony Corp レーザcvd装置
KR101748504B1 (ko) * 2004-01-05 2017-06-16 가부시키가이샤 니콘 노광 장치, 노광 방법 및 디바이스 제조 방법
US20050172897A1 (en) * 2004-02-09 2005-08-11 Frank Jansen Barrier layer process and arrangement
US8133364B2 (en) * 2004-02-17 2012-03-13 Advanced Integration, Inc. Formation of photoconductive and photovoltaic films
DE102004015216B4 (de) * 2004-03-23 2006-07-13 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Modul und Verfahren für die Modifizierung von Substratoberflächen bei Atmosphärenbedingungen
JP4677986B2 (ja) * 2004-04-19 2011-04-27 株式会社ニコン ノズル部材、露光方法、露光装置及びデバイス製造方法
KR101259190B1 (ko) * 2004-06-17 2013-04-29 가부시키가이샤 니콘 액침 리소그래피 렌즈에 대한 유체 압력 보상
JP2006005316A (ja) 2004-06-21 2006-01-05 Seiko Epson Corp プラズマ処理装置
KR100614801B1 (ko) * 2004-07-05 2006-08-22 삼성전자주식회사 반도체 장치의 막 형성방법
JP2006040936A (ja) * 2004-07-22 2006-02-09 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 絶縁膜の成膜方法および絶縁膜成膜装置
US8675174B2 (en) * 2004-09-17 2014-03-18 Nikon Corporation Exposure apparatus, exposure method, and method for producing device
JP2006147773A (ja) * 2004-11-18 2006-06-08 Ebara Corp 研磨装置および研磨方法
US20060214154A1 (en) 2005-03-24 2006-09-28 Eastman Kodak Company Polymeric gate dielectrics for organic thin film transistors and methods of making the same
US7583358B2 (en) * 2005-07-25 2009-09-01 Micron Technology, Inc. Systems and methods for retrieving residual liquid during immersion lens photolithography
WO2007016688A1 (en) * 2005-08-02 2007-02-08 New Way Machine Components, Inc. A method and a device for depositing a film of material or otherwise processing or inspecting, a substrate as it passes through a vacuum environment guided by a plurality of opposing and balanced air bearing lands and sealed by differentially pumped groves and sealing lands in a non-contact manner
US7456928B2 (en) * 2005-08-29 2008-11-25 Micron Technology, Inc. Systems and methods for controlling ambient pressure during processing of microfeature workpieces, including during immersion lithography
JP2007073832A (ja) * 2005-09-08 2007-03-22 Ntt Advanced Technology Corp パタン転写装置
US20070076780A1 (en) * 2005-09-30 2007-04-05 Champetier Robert J Devices, systems and methods for determining temperature and/or optical characteristics of a substrate
JP5358057B2 (ja) 2006-02-24 2013-12-04 富士フイルム株式会社 放射線画像撮影装置及び撮影方法
EP2000008B1 (en) * 2006-03-26 2011-04-27 Lotus Applied Technology, Llc Atomic layer deposition system and method for coating flexible substrates
US7456429B2 (en) * 2006-03-29 2008-11-25 Eastman Kodak Company Apparatus for atomic layer deposition
US7413982B2 (en) * 2006-03-29 2008-08-19 Eastman Kodak Company Process for atomic layer deposition
US8440049B2 (en) * 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US20070281089A1 (en) * 2006-06-05 2007-12-06 General Electric Company Systems and methods for roll-to-roll atomic layer deposition on continuously fed objects
US11136667B2 (en) * 2007-01-08 2021-10-05 Eastman Kodak Company Deposition system and method using a delivery head separated from a substrate by gas pressure
US20080166880A1 (en) * 2007-01-08 2008-07-10 Levy David H Delivery device for deposition
US7789961B2 (en) * 2007-01-08 2010-09-07 Eastman Kodak Company Delivery device comprising gas diffuser for thin film deposition
US8207063B2 (en) * 2007-01-26 2012-06-26 Eastman Kodak Company Process for atomic layer deposition
US8182608B2 (en) * 2007-09-26 2012-05-22 Eastman Kodak Company Deposition system for thin film formation
US8211231B2 (en) * 2007-09-26 2012-07-03 Eastman Kodak Company Delivery device for deposition
US7851380B2 (en) * 2007-09-26 2010-12-14 Eastman Kodak Company Process for atomic layer deposition
US8398770B2 (en) * 2007-09-26 2013-03-19 Eastman Kodak Company Deposition system for thin film formation
US8030212B2 (en) * 2007-09-26 2011-10-04 Eastman Kodak Company Process for selective area deposition of inorganic materials
US20090081360A1 (en) * 2007-09-26 2009-03-26 Fedorovskaya Elena A Oled display encapsulation with the optical property
US7858144B2 (en) * 2007-09-26 2010-12-28 Eastman Kodak Company Process for depositing organic materials
US20090081356A1 (en) * 2007-09-26 2009-03-26 Fedorovskaya Elena A Process for forming thin film encapsulation layers
US7572686B2 (en) * 2007-09-26 2009-08-11 Eastman Kodak Company System for thin film deposition utilizing compensating forces
US20090095222A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
US20110097487A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Fluid distribution manifold including bonded plates
US20110097489A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Distribution manifold including multiple fluid communication ports
US20110097490A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Fluid distribution manifold including compliant plates
US20110097493A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Fluid distribution manifold including non-parallel non-perpendicular slots
US20110097494A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Fluid conveyance system including flexible retaining mechanism
US20110097491A1 (en) * 2009-10-27 2011-04-28 Levy David H Conveyance system including opposed fluid distribution manifolds
US20110097492A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Fluid distribution manifold operating state management system
US20110097488A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Fluid distribution manifold including mirrored finish plate
EP2362411A1 (en) * 2010-02-26 2011-08-31 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus and method for reactive ion etching
CN102709731A (zh) 2012-05-04 2012-10-03 东莞高得电工器材有限公司 一种防触电的电源插座
US20140206137A1 (en) * 2013-01-23 2014-07-24 David H. Levy Deposition system for thin film formation
US10422038B2 (en) * 2017-03-14 2019-09-24 Eastman Kodak Company Dual gas bearing substrate positioning system
US20190186012A1 (en) * 2017-12-19 2019-06-20 Eastman Kodak Company Thin-film optical device with varying layer composition

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130001248A (ko) * 2010-02-18 2013-01-03 네덜란제 오르가니자티에 포오르 토에게파스트-나투우르베텐샤펠리즈크 온데르조에크 테엔오 연속적인 패턴화된 층 증착
KR101311974B1 (ko) * 2010-09-30 2013-09-26 소이텍 원자층 증착법에 의하여 반도체 물질들을 형성하는 시스템들 및 방법들
KR20140008751A (ko) * 2012-07-11 2014-01-22 김원구 알루미늄-실리콘 화합물의 증착방법 및 그 증착장치
KR101530445B1 (ko) * 2013-12-23 2015-07-02 신화일렉트론 주식회사 금속 산화막 형성 장치
KR20160111963A (ko) * 2014-01-21 2016-09-27 어플라이드 머티어리얼스, 인코포레이티드 저압 툴 교체를 허용하는 얇은 필름 캡슐화 프로세싱 시스템 및 프로세스 키트
KR20160111962A (ko) * 2014-01-21 2016-09-27 어플라이드 머티어리얼스, 인코포레이티드 저압 툴 교체를 허용하는 원자 층 증착 프로세싱 챔버
KR20210144933A (ko) * 2014-01-21 2021-11-30 어플라이드 머티어리얼스, 인코포레이티드 저압 툴 교체를 허용하는 얇은 필름 캡슐화 프로세싱 시스템 및 프로세스 키트
KR101539095B1 (ko) * 2014-05-08 2015-07-24 (주)브이앤아이솔루션 박막증착장치 및 그에 사용되는 리니어소스
KR20180054730A (ko) * 2015-10-19 2018-05-24 도시바 미쓰비시덴키 산교시스템 가부시키가이샤 성막 장치
US11124877B2 (en) 2015-10-19 2021-09-21 Toshiba Mitsubishi-Electric Industrial Systems Corporation Film forming device including a detachable bottom plate for forming a film on a substrate
KR20210061321A (ko) * 2016-04-11 2021-05-27 유니버셜 디스플레이 코포레이션 패턴 조성물용 노즐 출구 컨투어
US11168391B2 (en) 2016-04-11 2021-11-09 Universal Display Corporation Nozzle exit contours for pattern composition
KR20220062479A (ko) * 2016-04-11 2022-05-17 유니버셜 디스플레이 코포레이션 패턴 조성물용 노즐 출구 컨투어
US11981987B2 (en) 2021-10-26 2024-05-14 Universal Display Corporation Nozzle exit contours for pattern composition

Also Published As

Publication number Publication date
EP3002346A1 (en) 2016-04-06
US20090130858A1 (en) 2009-05-21
JP2015078442A (ja) 2015-04-23
US20180148839A1 (en) 2018-05-31
US20170029949A1 (en) 2017-02-02
EP3002346B1 (en) 2018-01-24
CN101578391A (zh) 2009-11-11
EP2122005B1 (en) 2015-11-11
WO2008085467A1 (en) 2008-07-17
US10351954B2 (en) 2019-07-16
TW200837214A (en) 2008-09-16
US11136667B2 (en) 2021-10-05
JP2010515821A (ja) 2010-05-13
CN101578391B (zh) 2013-07-24
EP2122005A1 (en) 2009-11-25

Similar Documents

Publication Publication Date Title
US10351954B2 (en) Deposition system and method using a delivery head separated from a substrate by gas pressure
US8398770B2 (en) Deposition system for thin film formation
US8420168B2 (en) Delivery device for deposition
US8182608B2 (en) Deposition system for thin film formation
US20080166880A1 (en) Delivery device for deposition
TWI419992B (zh) 用於薄膜沈積之輸送裝置
US7851380B2 (en) Process for atomic layer deposition
EP1999296A2 (en) Apparatus for atomic layer deposition
JP2009531549A (ja) 原子層堆積法
US20140206137A1 (en) Deposition system for thin film formation

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E601 Decision to refuse application