JP2009531549A - 原子層堆積法 - Google Patents

原子層堆積法 Download PDF

Info

Publication number
JP2009531549A
JP2009531549A JP2009502830A JP2009502830A JP2009531549A JP 2009531549 A JP2009531549 A JP 2009531549A JP 2009502830 A JP2009502830 A JP 2009502830A JP 2009502830 A JP2009502830 A JP 2009502830A JP 2009531549 A JP2009531549 A JP 2009531549A
Authority
JP
Japan
Prior art keywords
substrate
output
delivery manifold
gas phase
output channel
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2009502830A
Other languages
English (en)
Other versions
JP2009531549A5 (ja
JP5149272B2 (ja
Inventor
ハワード レヴィ,ディヴィッド
Original Assignee
イーストマン コダック カンパニー
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by イーストマン コダック カンパニー filed Critical イーストマン コダック カンパニー
Publication of JP2009531549A publication Critical patent/JP2009531549A/ja
Publication of JP2009531549A5 publication Critical patent/JP2009531549A5/ja
Application granted granted Critical
Publication of JP5149272B2 publication Critical patent/JP5149272B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • C23C16/545Apparatus specially adapted for continuous coating for coating elongated substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/407Oxides of zinc, germanium, cadmium, indium, tin, thallium or bismuth
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45504Laminar flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B33ADDITIVE MANUFACTURING TECHNOLOGY
    • B33YADDITIVE MANUFACTURING, i.e. MANUFACTURING OF THREE-DIMENSIONAL [3-D] OBJECTS BY ADDITIVE DEPOSITION, ADDITIVE AGGLOMERATION OR ADDITIVE LAYERING, e.g. BY 3-D PRINTING, STEREOLITHOGRAPHY OR SELECTIVE LASER SINTERING
    • B33Y80/00Products made by additive manufacturing

Landscapes

  • Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Fluid Mechanics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本発明は、基板上への薄膜堆積のための堆積プロセスであって、少なくとも第一、第二および第三の気相材料を含む複数の気相材料を提供する段階を有するものに関する。第一および第二の気相材料は互いと反応性があって、第一または第二の気相材料の一方が基板表面上にあるとき、第一または第二の気相材料の他方が反応して基板上に少なくとも材料の層を堆積させ、第三の気相材料は第一または第二の気相材料との反応に関しては不活性である。本プロセスは、気相材料を、基板表面に近接してその表面を横切るように、複数の細長いチャネルの長さ方向に沿って流す段階を有する。

Description

本発明は概括的には薄膜(thin-film)物質の堆積(deposition)に関し、より詳細には基板を横切る横ガス流を許容する配送マニホールド(distribution manifold)を使った基板上への原子層堆積(atomic vapor deposition)のプロセスに関する。
薄膜堆積に広く使われる技術のうちには、反応チェンバーで反応して基板上に所望の膜を堆積させる化学反応性分子を使う化学気相堆積(CVD: Chemical Vapor Deposition)がある。有用なCVD適用の分子前駆体は堆積すべきフィルムの要素(原子)成分を含み、典型的には追加的な要素も含む。CVD前駆体(CVD precursor)は基板で反応してその上に薄膜を形成するために気相でチェンバーに送達される揮発性分子である。化学反応によって所望の膜圧の薄膜が堆積される。
たいていのCVD技術に共通するのは、一つまたは複数の分子前駆体のよく制御されたフラックスをCVD反応器中に加える必要があることである。これら分子前駆体の間の化学反応を増進するとともに副産物の効率的な除去を増進するため、基板が制御された圧力条件下でよく制御された温度に保たれる。最適なCVD性能を得るためには、プロセスを通じたガス流、温度および圧力の定常条件を達成し、維持する能力および過渡物を最小にする能力が要求される。
特に半導体、集積回路および他の電子デバイスの分野では、従来のCVD技術で達成可能な限界を超える薄膜、特により高品質なより高密度の膜で、より優れた共形被覆(conformal coating)属性をもつもの、特により低温で製造できる薄膜への需要がある。
原子層堆積(ALD: atomic layer deposition)は、その前身のCVDと比べ、改善された厚さ解像度および共形機能を提供できる代替的な膜堆積技術である。ALDプロセスは従来のCVDの薄膜堆積プロセスを複数の単一原子層堆積ステップに分割する。有利なことに、ALDステップは自己終結式(self-terminating)であり、自己終結曝露時間(exposure time)までまたはそれを超えて実施されると厳密に1原子層を堆積できる。1原子層は典型的には0.1ないし0.5単分子層の範囲であり、典型的な寸法はほんの数オングストロームのオーダーである。ALDでは原子層の堆積は反応性の分子前駆体と基板との間の化学反応の帰結である。別個の各ALD反応‐堆積ステップにおいて、正味の反応は所望の原子層を堆積させ、分子前駆体にもともと含まれていた「余剰」原子を実質的になくす。ほとんどの純粋な形では、ALDは、各前駆体の、その反応の他の前駆体(単数または複数)が全くない状態での吸着および反応に関わる。実際上は、いかなるプロセスでも、別の前駆体の何らかの直接反応が少量の化学気相堆積反応につながることを回避することは難しい。ALDを実行するとうたういかなるプロセスの目標も、少量のCVD反応が許容できることを認識しながら、ALDプロセスに見合う装置性能および属性を得ることである。
ALD適用において、典型的には二つの分子前駆体がALD反応器に別々の段階において導入される。たとえば、金属前駆体分子MLxが、原子または分子リガンドLに結合した金属元素Mを含む。たとえば、Mはこれに制約されないが、Al、W、Ta、Si、Znなどであることができる。金属前駆体は、基板表面が前記分子前駆体と直接反応するよう調製されているとき、基板と反応する。たとえば、基板表面は典型的には、金属前駆体と反応する水素含有リガンド、AHなどを含むよう調製される。硫黄(S)、酸素(O)および窒素(N)がいくつかの典型的なA原子種である。気相前駆体分子は効果的に基板表面上のリガンドの全部と反応し、前記金属の単一原子層の堆積につながる:
基板-AH+MLx→基板-AMLx-1+HL (1)
ここで、HLは反応副産物である。反応の間、初期の表面リガンドAHが消費され、表面はLリガンドで覆われ、それ以上金属前駆体MLxと反応できなくなる。したがって、反応は表面上の初期のAHリガンドが全部AMLx-1種で置き換えられたときに自己終結する。反応段階のあとには典型的には不活性ガス・パージ段階が続き、他の前駆体の別個の導入に先立ってチェンバーから余剰の金属前駆体をなくす。
次いで、金属前駆体に対して基板の表面反応性を回復するために第二の分子前駆体が使われる。これはたとえば、Lリガンドを除去してAHリガンドを再堆積させることによってなされる。この場合、第二の前駆体は典型的には所望の(通例は非金属の)要素A(すなわち、O、N、S)および水素を含む(すなわち、H2O、NH3、H2S)。次の反応は次のようなものである:
基板-A-ML+AHY→基板-A-M-AH+HL (2)
これは基板をもとのAHに覆われた状態に変換する。(ここで、簡単のため、化学反応は平衡とされていない。)所望の追加的要素Aは膜に組み込まれ、望まれないリガンドLは揮発性の副産物として排除される。いま一度、反応が反応性部位(今回はLで終端された部位)を消費し、基板上の反応性部位が完全に枯渇したら自己終結する。次いで第二の分子前駆体は、第二のパージ段階において不活性のパージガスを流すことによって堆積チェンバーから除去される。
まとめると、ALDプロセスは基板への化学物質のフラックスを順次、交互させることを要求する。上で論じたような代表的なALDプロセスは、四つの異なる動作段階:
1.MLx反応;
2.MLxパージ;
3.AHy反応;および
4.AHyパージ、次いで段階1.に戻る
をもつサイクルである。
表面反応と、基板表面をその初期の反応性状態に復元する前駆体除去とを交互させ、パージ動作が介在するこの反復されるシーケンスが、典型的なALD堆積サイクルである。ALD動作のキーとなる特徴は、基板をその初期の表面化学条件に復元することである。この反復された一組のステップを使って、均等に測定される諸層として膜が基板上に層化されることができる。諸層は化学反応速度論、サイクル当たりの堆積、組成および厚さにおいてみな同一である。
ALDは、半導体デバイスならびに抵抗およびコンデンサ、絶縁体、バス線および他の伝導性構造といった補助電子コンポーネントを含むいくつもの型の薄膜電子デバイスを形成するための製造ステップとして使われることができる。ALDは、電子デバイスのコンポーネントにおいて金属酸化物の薄層を形成するために特に好適である。ALDを用いて堆積できる機能性材料の一般的なクラスは導体、誘電体すなわち絶縁体および半導体を含む。
導体はいかなる有用な伝導性材料であることもできる。たとえば、導体はインジウム‐スズ酸化物(ITO: indium-tin oxide)、ZnO、SnO2またはIn2O3のような透明導体を含んでもよい。導体の厚さは変わりうる。具体例によれば、50ないし1000nmの範囲であることができる。
誘電体はパターン形成された回路のさまざまな部分を電気的に絶縁する。誘電体層は絶縁体または絶縁層として称されてもよい。誘電体として有用な個別的な材料の例は、ストロンチウム酸塩(strontiates)、タンタル酸塩(tantalates)、チタン酸塩(titanates)、ジルコニウム酸塩(zirconates)、アルミニウム酸化物、シリコン酸化物、タンタル酸化物、ハフニウム酸化物、チタン酸化物、セレン化亜鉛および硫化亜鉛を含む。さらに、これらの例の合金、組み合わせおよび多層が誘電体として使用されることができる。これらの材料のうち、アルミニウム酸化物が好ましい。
誘電体構造層は、異なる誘電定数をもつ二つ以上の層を含んでいてもよい。そのような絶縁体は米国特許第5,981号および同時係属中の米国出願第11/088,645号で論じられている。誘電体材料は典型的には5eVより大きなバンドギャップを示す。有用な誘電体層の厚さは変動があり、具体例によれば、10ないし300nmの範囲であることができる。
有用な半導体材料の例は、ヒ化ガリウム、窒化ガリウム、硫化カドミウム、酸化亜鉛および硫化亜鉛といった化合物半導体である。
上記の機能層を用いていくつものデバイス構造を作ることができる。抵抗器は中程度ないし低い伝導性をもつ伝導性材料を選択することによって製造できる。コンデンサは二つの導体の間に誘電体を置くことによって作成できる。ダイオードは、相補的なキャリア型の二つの半導体を二つの伝導電極の間に置くことによって作成できる。相補的なキャリア型の半導体の間に真性半導体領域が配置されてもよい。これは、その領域は自由な電荷キャリアが少ないことを示す。ダイオードは二つの導体の間に単一の半導体を置くことによって構築されてもよい。この場合、導体/半導体界面の一つが一方向の電流の流れを強く阻害するショトキー障壁を生成する。導体(ゲート)上に絶縁層、続いて半導体層を置くことによってトランジスタが作成されてもよい。二つ以上の追加的な導体電極(ソースおよびドレイン)が離間して、いちばん上の半導体層と接触して置かれれば、トランジスタが形成できる。上記のデバイスのいずれも、決定的な界面ができる限り、さまざまな構成で作成できる。
大気プロセスで使うための半導体材料はいくつかの特性を示すべきである。薄膜トランジスタの典型的な用途では、望まれるのは、デバイスを流れる電流の流れを制御できるスイッチである。よって、スイッチがオンにされるとき、高電流がデバイスを通って流れることができることが望まれる。電流の流れの程度は、半導体電荷キャリア移動度に関係している。デバイスがオフにされるときは、電流が非常に小さいことが望まれる。これは電荷キャリア濃度に関係している。さらに、デバイスへの可視光の影響が弱いまたは全くないことが望まれる。これが真であるためには、可視光への曝露がバンド間遷移を引き起こさないよう、半導体バンドギャップが十分大きい(>3eV)べきである。高い移動度、低いキャリア濃度および高いバンドギャップを与えることのできる材料はZnOである。さらに、現実の大量の(high volume)ウェブ・ベースの大気中での製造方式では、プロセス中で使われる化学物質が安価でかつ毒性が低いことがきわめて望ましい。これは、ZnOおよびその前駆体の大半の使用によって満たすことができる。
本方法に基づいて作成される半導体膜は、0.01cm2/Vsより大きい、好ましくは少なくとも0.1cm2/Vsの、より好ましくは0.2cm2/Vsより大きい電界効果電子移動度を示す。さらに、本発明に基づいて作成されるnチャネル半導体膜は、少なくとも104の、有利には少なくとも105のオン/オフ比を提供できる。オン/オフ比は、ゲート電圧が、ディスプレイのゲート線上で使用されうる有意な電圧を表すある値から別の値まで掃引される際にドレイン電流の最大値/最小値として測定される比である。典型的な値の組は、30Vに維持されたドレイン電圧で−10Vないし40Vである。自己飽和する表面反応のため、ALDは輸送の非一様性には敏感でない。普通なら、工学上の公差および流れプロセスのもしくは表面形態(すなわち、三次元の、アスペクト比が大きな構造中への堆積)に関係した限界のため、輸送の非一様性は表面一様性を損なうところである。一般論として、反応プロセスにおける化学物質の非一様なフラックスは、一般に異なる領域における異なる完了時間につながる。しかしながら、ALDでは、各反応は基板表面全体で完了することが許容される。よって、完了反応速度における違いは一様性に害をなさない。これは、反応を先に完了する領域が反応を自己終結させ、他の領域は、処理される全表面が意図された反応を受けるまで続くことができるからである。
典型的には、ALDプロセスは単一のALDサイクルにおいて0.1〜0.2nmの膜を堆積する(先に挙げた番号付けされたステップ1ないし4で)。多くのまたはほとんどの半導体用途のための3nmないし30nmの範囲の一様な膜圧を、さらには他の用途のためのより厚い膜を提供するために、有用かつ経済的に現実性のあるサイクル時間が達成されるべきである。業界のスループット標準のため、基板が2分ないし3分で処理されることが求められる。これは、ALDサイクル時間が0.6秒ないし6秒の範囲であるべきことを意味する。
ALDは、制御されたレベルの高度に一様な薄膜堆積を提供するためのかなりの展望を提供する。しかしながら、その内在的な能力を活用するためには、いくつかの技術的なハードルがまだ残っている。一つの重要な考察は、必要とされるサイクル数に関係する。反復される反応およびパージ・サイクルのため、ALDの効果的な使用は、化学物質のフラックスを突然MLxからAHyに変更するとともに、パージ・サイクルを迅速に実行できるプロセスを必要としてきた。従来のALDプロセスは、異なる気相物質を必要とされる序列で基板上に高速にパルス化するよう設計されている。しかしながら、必要とされる一連の気相調合物をチェンバーに必要とされる速度で、何らかの望ましくない混合なしに導入するための信頼できる方式を得ることは難しい。さらに、多数の基板のコスト効率のよいコーティングを許容するためには、ALDプロセスは、このシーケンス化を多くのサイクルにわたって効率的かつ信頼性をもって実行できるべきである。
任意の所与の反応温度でALD反応が自己終結に達するのに必要な時間を最小にしようとする努力において、一つのアプローチは、ALD反応器に流入する化学物質のフラックスを、いわゆる「パルス化(pulsing)」を使って最大化することであった。ALD反応器への化学物質のフラックスを最大化するためには、不活性ガスによる最小限の希釈で、高圧で、分子前駆体をALD反応器に導入することが有利である。しかしながら、これらの方策は、短いサイクル時間およびこれらの分子前駆体のALD反応器からの高速の除去を達成する必要に反する方向にはたらく。高速除去のほうは、ガスのALD反応器内の滞在時間が最小化されることを要求する。ガス滞在時間τは反応器の体積V、ALD反応器内の圧力Pおよび流れQの逆数に比例する。すなわち:
τ=VP/Q (3)
となる。
したがって、ALD反応器内の圧力(P)を下げることは、低いガス滞在時間を容易にし、ALD反応器からの化学前駆体の除去(パージ)の速度を増す。これに対し、ALD反応時間を最小にすることは、ALD反応器内での高圧を使うことを通じて、ALD反応器への化学前駆体のフラックスを最大化することを要求する。さらに、ガス滞在時間および化学物質使用効率はいずれも流れに反比例する。よって、流れを下げることは効率を増すことができるものの、ガス滞在時間を増しもする。
既存のALDアプローチは、反応時間を短くして化学物質利用効率を改善する必要と、他方ではパージガス滞在時間および化学物質除去時間を最小化する必要との間のトレードオフのために妥協させられてきた。気相材料の「パルス化された」送達の内在的な限界を克服するための一つのアプローチは、各反応ガスを連続的に提供し、逐次に各ガスの中を通じて基板を動かすことである。たとえば、Yudovskyへの“Gas Distribution System for Cyclical Layer Deposition”という名称の米国特許第6,821,563号は、前駆体ガスおよびパージガスについての別個のガス・ポートを有し、各ガス・ポートの間に真空ポンプ・ポートが交互にはいる、真空下の処理チェンバーを記載している。各ガス・ポートはそのガスのストリームを基板に向かって垂直下方に向ける。別個のガスの流れは壁または仕切りによって隔てられ、真空ポンプが各ガス・ストリームの両側でガスを排気する。各仕切りの下部は基板近くにまで、たとえば基板表面から0.5mm以上まで広がる。この仕方では、仕切りの下部は、ガス・ストリームが基板表面と反応したのちガス・ストリームが真空ポートに向かって前記下部を回って流れることを許容するのに十分な距離だけ基板表面から離されている。
回転式ターンテーブルまたは他の輸送デバイスが、一つまたは複数の基板ウエハーを保持するために設けられる。この構成では、基板は異なるガス・ストリームの下でシャトルされ、それによりALD堆積を実施する。ある実施形態では、基板はチェンバーを通じて線形経路で動かされ、基板は何度か行ったり来たりその経路を通される。
連続的なガスの流れを使うもう一つのアプローチは、Suntolaらへの“Method for Performing Growth of Compound Thin Films”という名称の米国特許出願第4,413,022号に示されている。交互の原料ガス開口、担体ガス開口および真空排気開口をもつガスの流れのアレイが設けられる。そのアレイ上での基板の往復運動がALD堆積を実施する。ここでもまた、パルス化された動作は必要ない。図13および図14の実施形態では、特に、基板表面と反応性蒸気との間の逐次的な相互作用が、原料開口の固定アレイ上の基板の往復運動によって作り出される。排気開口の間の担体ガス開口によって拡散障壁が形成される。Suntolaらは、そのような具現での動作は大気圧でも可能であると述べている。ただし、そのプロセスの詳細や例はほとんど、あるいは全く提供されていない。
'563のYudovskyの開示および'022のSuntolaらの開示に記載されているようなプロセスは、パルス化されたガスのアプローチに内在的な困難のいくつかを回避するかもしれないが、これらのプロセスは他の欠点を有する。これら両開示に記載されるようにガスの流れを表面に向かって垂直方向に直接駆動することは、ガスの流れを複雑にする障壁層効果を招き、望まれない混合を引き起こすことがある。'563のYudovskyの開示のガス流れ送達ユニットおよび'022のSuntolaらのガス流れアレイはいずれも、使用済みガスを排気するための、ガス供給ポートの間に位置する真空ポートを必要とする。これはこれらのデバイスをコンパクトにする妨げとなり、ALD曝露を実施するための必要とされる基板の移動距離を増す。さらに、アレイ内の種々の点において一様な真空を維持し、相補的な圧力でガスの流れと真空を維持することは非常に難しく、こうして基板表面に提供されるガス・フラックスの一様性が損なわれる。'563のYudovskyの開示のガス流れ送達ユニットおよび'022のSuntolaらのガス流れアレイはいずれも、ガス流れを垂直方向(つまり、基板表面の法線方向)に維持する必要のため、0.5mmより基板に接近して使うことはできない。それぞれガス流れおよび真空を提供する、'563のYudovskyの開示のガス流れ送達ユニットおよび'022のSuntolaらのガス流れアレイ両方の複雑な構成は、これらの解決策の実装を困難にし、大規模化をコスト高にし、その潜在的な使用可能性を限られた寸法の動いている基板上への堆積の用途に限定する。
Selitserへの米国特許公開第2005/0084610は大気圧での原子層化学気相堆積プロセスを開示している。Selitserらは、動作圧力を大気圧に変えることによって、反応物の濃度の何桁もの増大を伴うことになり、結果として表面反応物速度が向上し、反応率の並外れた増大が得られると述べている。Selitserらの諸実施形態は、図10がチェンバーの壁が除去された実施形態を示しているが、プロセスの各段階のための別個のチェンバーを必要とする。一連の離された注入器が回転する円形基板ホルダー・トラックのまわりに離間されている。各注入器は独立して動作させられる、反応物ガス、パージガスおよび排気ガスのマニホールドおよびコントロールを組み込んでおり、プロセスにおいてその下を通される各基板のための一つの完全な単層堆積および反応物パージのサイクルとして作用する。Selitserらは、注入器の間隔は隣接注入器からの相互汚染がパージガス流れおよび各注入器に組み込まれている排気マニホールドによって防止されるように選択されると述べてはいるものの、ガス注入器またはマニホールドの具体的な詳細についてはほとんどまたは全く記載していない。
より高いスループットおよびより低い製造コストのために、大きな表面上または動いているウェブ(web)上への薄膜堆積を許容するALDプロセスに格別の利点があるであろう。しかしながら、ALDプロセスのための従来式の設計はこの柔軟性を簡単には与えてくれない。たとえば、従来式のアプローチは、より大きな基板の表面またはウェブ構成(web arrangement)を横切って輸送されることができるALDガス配送プロセスを得る展望はほとんどもたらさない。
このように、短い反応時間および良好な化学物質利用効率を達成でき、より大きなサイズの基板で使用するのに適応可能であることができ、大気圧またはそれに近い圧力での好ましいプロセスを含む高速動作に好適で、経済的に製作および運用できるALDプロセスが必要とされている。
本願の発明者らは、ALD反応システムにおいて使用される気相材料に基板を連続的に曝露することを許容するとともに、同時に、基板への曝露後の各反応性ガス材料の真空パージを使わずにすませる、ALDの有利な変形を開発した。本願の発明者らは、この新しい独特なプロセスを多重横流れALD(Multiple Transverse Flow ALD)またはMTF-ALDと名付ける。理論によって縛られることを望むものではないが、横流れは、実質的には薄い拡散層を通じた拡散過程によって気相材料を供給し、これを基板の表面から除去すると考えられる。拡散勾配は、拡散層にわたる新しい気相材料の連続的な流れによって、拡散層を通じて維持される。横流れは、基板表面上に開放的に位置される細長い出口チャネルの使用によって提供されることができる。
本発明のある実施形態では、基板上への薄膜材料堆積のためのプロセスは:
(a)少なくとも第一、第二および第三の気相材料を含む複数の気相材料を提供する段階であって、第一および第二の気相材料は互いと反応性があって、第一または第二の気相材料の一方が基板表面上にあるとき、第一または第二の気相材料の他方が反応して基板上に少なくとも1原子層の材料を堆積させ、第三の気相材料は第一または第二の気相材料との反応に関しては不活性である、段階と;
(b)材料の薄膜堆積にかけられるべき基板を提供する段階と;
(c)第一、第二および第三の気相材料をそれぞれ複数の細長いチャネルに流す段階であって、各チャネルは実質的に平行に長さ方向に延在し、チャネルは第一、第二および第三の気相材料のためのそれぞれ少なくとも第一、第二および第三の出力チャネルを含み、ここで、各チャネルは第一、第二または第三の気相材料の対応するものの流れを、基板表面に実質的に平行な、実質的にそのチャネルの長さ方向に沿って、好ましくは基板表面から1mm未満の距離で、方向付ける段階とを有する。
本プロセスの間、基板もしくは気相材料のための配送マニホールドまたはその両方が、あらかじめ設計された近接を維持しながら、配送マニホールドの出力面と基板との間の相対的な動きを与えることができる。
ある好ましい実施形態では、本プロセスは、薄膜堆積にかけられる基板の連続的な動きをもって動作させることができる。ここで、本プロセスは、好ましくは実質的に大気圧にある環境に対し封印されていない環境において、支持部(support)またはウェブを配送マニホールドを過ぎて搬送できる。
いくつもの異なる型の基板および堆積環境に好適な、基板上への原子層堆積のためのコンパクトなプロセスを提供することが、本発明の一つの利点である。
好ましい実施形態では、大気圧の条件下での動作を許容することが本発明のさらなる利点の一つである。
大面積基板への堆積を含め、ウェブ(web)またはその他の移動基板上での堆積に適用可能であることが、本発明のさらなる利点の一つである。
周囲の大気に開かれた封印されていない環境で実施されうる、大気圧での低い温度のプロセスにおいて使用できることが、本発明のさらなる利点の一つである。
本発明のこれらおよびその他の目的、特徴および利点は、以下の詳細な記述を図面と一緒に読めば当業者には明白となるであろう。そこには本発明の例示的な実施形態が示され、記載されている。
明細書には本発明の主題を具体的に指摘し、明確に特許請求する請求項が付されているが、本発明は付属の図面とともに参照する以下の記述からよりよく理解されるであろうと信じられる。
以下の記述については、「ガス(gas)」または「気相材料(gaseous material)」の用語は、幅広い気化したまたは気相の元素、化合物または材料の任意のものを包含する広義で使用される。たとえば反応物、前駆体、真空および不活性ガスといった本稿で使用される他の用語はみな、物質堆積技術の当業者は理解するであろうように、通常の意味をもつ。与えられる図面は縮尺通りに描かれてはおらず、本発明のいくつかの実施形態の全体的な機能および構造的な構成を示すことを意図したものである。
本発明のプロセスはALDへの従来のアプローチからの著しい進展を提供するものであり、基板表面への気相材料の送達のためのコンパクトな配送システムを採用し、より大きなおよびウェブ・ベースの基板上への堆積に適合可能であり、改良されたスループット速度で高度に一様な薄膜堆積を達成できる。本発明のプロセスは、連続的な(パルス化に対していう)気相材料配送を採用する。本発明のプロセスは、真空下のほかに、大気圧でのまたは大気圧に近い圧力での動作を許容し、封印されないオープンエア環境で動作することができる。
図1は、本発明のある実施形態に基づいてMTF-ALDを実施するプロセスのある実施形態の一般化されたステップ図である。ここでは、第一の分子前駆体および第二の分子前駆体という二つの反応性ガスが使用される。ガスはガス源から供給され、基板にはたとえば配送マニホールドを介して送達されることができる。気相材料を配送マニホールドに提供するための調量および弁開閉装置(metering and valving apparatus)が使用できる。
ステップ1に示されるように、基板上に材料の薄膜を堆積させるために、システムのための気相材料の連続的な供給が提供される。シーケンス15中の諸ステップが逐次適用される。ステップ2では、基板の所与の領域(チャネル領域と称する)に関して、第一の分子前駆体または反応性気相材料が、第一のチャネル中で基板のチャネル領域上を横に流れるよう向けられ、該基板と反応する。ステップ3では、システム中の基板と多チャネル流れとの相対的な動きが前記所与のチャネル領域上で起こり、これがステップ4の舞台を整える。ステップ4では、不活性ガスでの第二のチャネル(パージ)流れが前記所与のチャネル領域の上で起こる。次いで、ステップ5で、基板と多チャネル流れの相対的な動きがステップ6のための舞台を整える。ステップ6では、前記所与のチャネル領域が原子層堆積にかけられ、第二の分子前駆体が今では、基板の前記所与のチャネル領域の上を横に流れ(基板表面に実質的に平行に)、基板上の以前の層と反応して、所望の材料の(理論的には)単層を生じる。そのようなプロセスではしばしば、第一の分子前駆体は気体の形の金属含有化合物であり、堆積される物質は金属含有化合物、たとえばジエチル亜鉛のような有機金属化合物である。そのような実施形態では、第二の分子前駆体はたとえば、非金属の酸化性(oxidizing)化合物であることができる。
ステップ7では、次いで、基板と多チャネル流れとの相対的な動きがステップ8の舞台を整える。ステップ8では、再び不活性ガスが使われ、今度は先のステップ6からの前記所与のチャネル領域から余剰な第二の分子前駆体を一掃する。ステップ9では、基板と多チャネルとの相対的な動きが再び起こり、ステップ2に戻っての反復シーケンスのための舞台が整えられる。サイクルは、所望の膜を確立するために必要な回数繰り返される。本プロセスの今の実施形態では、諸ステップは、流れチャネルによって覆われる領域に対応する基板の所与のチャネル領域に関して反復される。一方、さまざまなチャネルがステップ1での必要な気相材料とともに供給される。図1のボックス15のシーケンスと同時に、他の隣接するチャネル領域が同時に処理される。これは、全体的なステップ11において示されるように、並行した多チャネル流れにつながる。
第二の分子前駆体の主たる目的は、第一の分子前駆体との反応性に向けて基板表面をもとの状態に整えることである。第二の分子前駆体はまた、表面の金属と化合して、新たに堆積される金属含有前駆体とともに酸化物、窒化物、硫化物などといった化合物を形成する、当該分子ガスからの材料をも提供する。
本発明は、連続的なALDパージでは、分子前駆体を基板に加えたあとに該分子前駆体を除去するために真空パージを使う必要がないという点で独特である。パージ・ステップは、たいていの研究者によって、ALDプロセスにおいて最も重要なスループット律速段階であると期待されている。
図1の二つの反応物ガスについて、たとえばAXおよびBYが使われるとする。反応ガスAXの流れが供給され、所与の基板領域上に流されるとき、反応ガスAXの原子は基板上に化学吸着され、結果としてAの層およびリガンドXの表面を与える(結合性化学吸着(associative chemisorption))(ステップ2)。次いで、残っている反応ガスAXが不活性ガスでパージされる(ステップ4)。次いで、反応ガスBYの流れおよびAX(表面)とBY(ガス)との間の化学反応が起こり、結果として基板上にABの分子層を与える(解離性化学吸着(dissociative chemisorption))(ステップ6)。残っているガスBYおよび反応の副産物はパージされる(ステップ8)。薄膜の厚さは処理サイクル(ステップ2〜9)を多数回繰り返すことによって増加できる。
膜は単層一つずつ堆積されることができるので、共形となり、一様な厚さをもつ傾向がある。
MTF-ALDは、II‐VI族およびIII‐V族の化合物半導体、元素シリコンおよび金属、SiO2ならびに金属の酸化物および窒化物を含む多様な材料を堆積するのに使われることができる。プロセスに依存して、膜は非晶質、エピタキシャルまたは多結晶であることができる。よって、本発明のさまざまな実施形態において、幅広い多様なプロセス化学が実施されて幅広い多様な最終的な膜を提供することができる。形成されることのできる金属酸化物の二元化合物はたとえば、五酸化タンタル、酸化アルミニウム、酸化チタン、五酸化ニオブ、酸化ジルコニウム、酸化ハフニウム、酸化亜鉛、酸化ランチウム(lanthium oxide)、酸化イットリウム、酸化セリウム、酸化バナジウム、酸化モリブデン、酸化マンガン、酸化スズ、酸化インジウム、酸化タングステン、二酸化ケイ素などである。
よって、本発明のプロセスを使って作れる酸化物は、これに限られないが、Al2O3、TiO2、Ta2O5、Nb2O5、ZrO2、HfO2、SnO2、ZnO、La2O3、Y2O3、CeO2、Sc2O3、Er2O3、V2O5、SiO2およびIn2O3を含む。本発明のプロセスを使って作れる窒化物は、これに限られないが、AlN、TaNx、NbN、TiN、MoN、ZrN、HfNおよびGaNを含む。本発明のプロセスを使って作れるフッ化物は、これに限られないが、CaF2、SrF2およびZnF2を含む。本発明のプロセスを使って作れる金属は、これに限られないが、Pt、Ru、Ir、Pd、Cu、Fe、CoおよびNiを含む。本発明のプロセスを使って作れる炭化物は、これに限られないが、TiC、NbCおよびTaCを含む。本発明のプロセスを使って作れる混合構造酸化物は、これに限られないが、AlTiNx、AlTiOx、AlHfOx、AlSiOxおよびHfSiOxを含む。本発明のプロセスを使って作れる硫化物は、これに限られないが、ZnS、SrS、CaSおよびPbSを含む。本発明のプロセスを使って作れるナノラミネートは、これに限られないが、HfO2/Ta2O5、TiO2/Ta2O5、TiO2/Al2O3、ZnS/Al2O3、ATO(AlTiO)などを含む。本発明のプロセスを使って作れるドープされた材料は、これに限られないが、ZnO:Al、ZnS:Mn、SrS:Ce、Al2O3:Er、ZrO2:Yなどを含む。
当業者には、二つ、三つまたはそれ以上の金属の合金が堆積されてもよいこと、二つ、三つまたはそれ以上の構成要素の化合物が堆積されてもよいことおよびグレードのあるフィルム(graded film)およびナノラミネートのようなものが生産されてもよいことは明白であろう。
これらの変形は単に、交替するサイクルにおいて本発明の個別的な諸実施形態を使った変形である。本発明の精神および範囲内に他の多くの変形があり、よって本発明は付属の請求項によってのみ限定される。
反応させられうるさまざまな気相材料はGlockerおよびShahによって編集されたHandbook of Thin Film Process Technology, Vol. 1, Institute of Physics (IOP) Publishing, Philadelphia 1995, pp.B1.5:1‐B1.5:16およびNalwaによって編集されたHandbook of Thin Film Materials, Vol. 1, pp. 103-159にも記載されている。前者の参考文献の表V1.5.1には、II、III、IV、V、VI族およびその他の第一の金属含有前駆体を含むさまざまなALDプロセスのための反応物が挙げられている。後者の参考文献では、表IVがさまざまなALD薄膜プロセスにおいて使われる前駆体の組み合わせを挙げている。
酸化物基板がALD堆積のための諸グループを提供するが、プラスチック基板が好適な表面処理によって使われることもできる。
ここで図2を参照すると、本発明に基づく基板20上への原子層堆積のための本プロセスにおいて使用できる配送マニホールド10の一つの実施形態の断面側面図が示されている。配送マニホールド10は、第一の気相材料を受け容れるガス取り入れポート14、第二の気相材料を受け容れるガス取り入れポート16および第三の気相材料を受け容れるガス取り入れポート18を有する。これらのガスは、出力チャネル12を介して出力面36で放出される。出力チャネルの構造的な構成はのちに述べる。図2およびその後の図3〜図4Bの矢印は、出力チャネルから受け取られる流れではなく、気相材料の拡散輸送を指す。流れはのちにさらに述べるように、図の紙面から実質的に突き出る方向である。
ある実施形態では、ガス取り入れポート14および16は、ALD堆積を実施するために基板表面上で逐次に反応する第一および第二のガスを受け容れるよう適応されており、ガス取り入れポート18は第一および第二のガスに関して不活性なパージガスを受け取る。配送マニホールド10は、基板支持部の上に提供される基板20から距離D離間している。これについてはのちにより詳細に述べる。基板20と配送マニホールド10との間で往復運動を与えることができる。これは基板20の動きによって、配送マニホールド10の動きによって、あるいは基板20および配送マニホールド10の両方の動きによってのいずれでもよい。図2に示される個別的な実施形態では、図2における矢印Rおよび基板20の左右の点線の外形によって指示されるように、基板20が往復する仕方で出力面36を横断して動かされる。往復運動は、配送マニホールド10を使った薄膜堆積のために常に必要とされるものではないことを注意しておくべきであろう。基板20と配送マニホールド10との間の他の型の相対的な動きが与えられることもできる。たとえば、基板20または配送マニホールド10のいずれかの一つまたは複数の方向での動きなどでもよい。これについてはのちにより詳細に述べる。
図3の断面図は、配送マニホールド10の正面36の一部分にわたって放出されるガスの流れを示している。この特定の構成では、各出力チャネル12は、図2に見られたガス取り入れポート14、16または18のうちの一つと、気体の流れについて連絡している。各出力チャネル12は典型的には第一の反応物気相材料Oまたは第二の反応物気相材料Mまたは第三の不活性(inert)気相材料Iを送達する。
図3は、比較的基本的または単純なガスの配置を示している。複数の非金属の堆積前駆体(材料Oのような)または複数の金属含有前駆体材料(材料Mのような)が、薄膜の単一堆積においてさまざまなポートにおいて逐次に送達されてもよいことが構想されている。代替的に、たとえば交互の金属層をもつ、あるいは金属酸化物材料中により少量のドーパントが混ぜ込まれた複雑な薄膜材料を作成するとき、反応物ガスの混合物、たとえば金属前駆体材料の混合物または金属および非金属前駆体の混合物が単一の出力チャネルにおいて加えられてもよい。決定的な要件は、Iとラベルされた中間ストリーム(inter-stream)が、ガスが互いに反応しそうないかなる反応物チャネルをも分離すべきであるということである。第一および第二の反応物気相材料OおよびMは互いと反応してALD堆積を実施するが、反応物気相材料OもMも不活性気相材料Iとは反応しない。図3および以下で使われている命名法は、何らかの典型的な型の反応物ガスを示唆している。たとえば、第一の反応物気相材料Oは酸化性の気相材料であることができ;第二の反応物気相材料Mは亜鉛を含む物質のような金属含有化合物であることができる。不活性気相材料Iは窒素、アルゴン、ヘリウムまたはALDプロセスにおいてパージガスとして一般に使用される他のガスでもよい。不活性気相材料Iは第一または第二の反応物気相材料OおよびMに関して不活性である。ある実施形態では、第一および第二の反応物気相材料の間の反応は、半導体において使われる酸化亜鉛ZnOまたはZnSのような、金属酸化物またはその他の二元化合物を形成する。三つ以上の反応物気相材料の間の反応は三元化合物、たとえばZnAlOを形成することができる。
図4Aおよび図4Bの断面図は、反応物気相材料OおよびMを送達するときに、基板20が配送マニホールド10の出力面36に沿って通過する際に実行されるALDコーティング動作を、単純化された概略的な形で示している。図4Aでは、基板20の表面はまず、酸化性の材料を出力チャネル12から受け取る。これを第一の反応物気相材料Oの送達と記す。基板表面は今や、材料Mとの反応を受けやすい材料Oの部分的に反応した形を含んでいる。次いで、基板20が第二の反応物気相材料Mの金属化合物の経路中に移行すると、Mとの反応が起こり、二つの反応物気相材料から形成されることのできる金属酸化物または他の何らかの薄膜材料を形成する。
図4Aおよび図4Bが示すように、交互の出力チャネル12毎に第一および第二の反応物気相材料OおよびMの流れの間で不活性気相材料Iが提供される。逐次の出力チャネル12は隣り合う、すなわち、図示した実施形態では仕切り22によって形成される共通の境界を共有している。ここで、出力チャネル12は、基板20の表面に垂直に延在する仕切り22によって互いから隔てられ、画定される。
注意すべきは、出力チャネル12の間には真空チャネルがはさまれていない、すなわち気相材料を送達するチャネルのどちら側にも仕切りのまわりの気相材料を抜く真空チャネルがないということである。この有利なコンパクトな構成が可能なのは、使用される発明的なガスの流れのためである。基板に対して実質的に垂直なガスの流れを加え、次いで使用済みのガスを反対の垂直方向に引き抜くべきである以前のプロセスのガス送達アレイとは異なり、配送マニホールド10は、各反応物および不活性ガスについてガスの流れ(好ましくはある実施形態では実質的に層状)を表面に沿う方向に向け、のちに述べるように、使用済みのガスおよび反応副産物を異なる仕方で扱う。本発明において使用されるガスの流れは、基板表面の平面に沿い、これに概略平行な方向に向けられる。換言すれば、ガスの流れは処理されている基板に垂直なのではなく、基板の平面に対して実質的に横の方向である。
任意的に、本プロセスは、Levyらによって本願と同時に出願された、本願と同じ譲受者に譲渡された、“Apparatus for Atomic Layer Deposition”という名称の米国出願第11/392,006号においてより詳細に記載されている装置およびシステムを用いて達成されることができる。
図5および図6は、本プロセスにおいて使用できる配送マニホールド10のある実施形態の、出力面36からの(すなわち、図2〜図4Bに関して下側からの)斜視図を示している。この実施形態における隣り合う出力チャネル12を画定し、隔てる仕切り22は部分的に切り取られて表現されているが、これはガス出口ポート24から流れるガスの流れを見やすくするためである。図5および図6は、本開示の諸図面において使われている基準x,y,z座標軸割り当てをも示している。諸出力チャネル12は実質的に平行であり、x座標軸に対応する長さ方向に延在する。基板20の往復運動または基板20に対する動きはこの座標割り当てを使うと、y座標の方向である。
図6は、この実施形態を用いた配送マニホールド10から送達されるさまざまな気相材料についてのガスの流れFI、FOおよびFMを示している。ガスの流れFI、FOおよびFMはx方向である、すなわち細長い出力チャネル12の長さに沿っている。
図7A、図7B、図7Cおよび図7Dの断面図は、図2〜図4Bの断面図とは垂直に取られており、この図からの一方向のガスの流れを示している。各出力チャネル12内で、対応する気相材料は、図7A、図7B、図7Cおよび図7Dの図で点線で示されているようなガス出力ポート24から流れる。図7Aの実施形態では、ガスの流れF1は、図5および図6を参照して述べたように、出力チャネル12の長さに沿い、基板20を横切る方向に気相材料を向ける。流れF1はこの構成では配送マニホールド10の端を超えて続き、環境中に、あるいは望ましければガス捕集マニホールド(図示せず)に流れ出る。図7Bはガスの流れF2についての代替的な実施形態を示している。ここでは出力チャネル12は該ガスの流れの転向(redirection)または引き抜き(drawing off)のための排気ポート26をも設けている。図7Cは気体の流れF3についての代替的な実施形態を示している。ここではガス出力ポート24は出力チャネル12内で中央に位置しており、ガスの流れ中の気相材料をチャネルに沿って両方向に向ける。図7Dは気体の流れF4についての代替的な実施形態を示している。ここでもガス出力ポート24は中央に位置しており、複数の排気ポート26が出力チャネル12の両極端付近に好適に位置されている。一方向の流れが好ましいが、流れの速度および個別的な用途に関わるその他の状況に依存して、ある程度の混合が起こることができ、ある程度は有益であることがありうる。
具体的な配送マニホールド10は、ガス流れ配位の任意のものまたはその組み合わせを使って構成される出力チャネル12を使用してよい。そうした配位には、図7AのF1流れ、図7BのF2流れ、図7CのF3流れ、図7DのF4流れ、あるいは気相材料が、好ましくは実質的に層状もしくはなめらかな仕方でかつ制御された混合をもって、出力チャネル12に沿って基板20上を横切って流れるよう向けられる他の何らかの変形がある。ある実施形態では、反応物気相材料を送達する各出力チャネル12のための一つまたは複数の排気ポート26が設けられる。たとえば、図6を参照すると、OおよびMとラベル付けされた第一および第二の反応物気相材料のための出力チャネル12は、流れF2(図7B)のパターンに従って、反応物物質を排出するまたは引き抜くための排気ポート26をもって構成される。これは、いくらかの材料リサイクルを許容し、マニホールド端近くでの望ましくない混合および反応を防止する。Iとラベル付けされた不活性気相材料のための出力チャネル12は排気ポート26を使わず、よって流れF1(図7A)のパターンに従う。いくつかの実施形態では層状の流れが好ましいが、ある程度の混合は起こることがあり、具体的な用途に関わる流れの速度および他の状況に依存して、ある程度は有益であることもある。
排気ポート26は通常の意味での真空ポートではなく、単にその対応する出力チャネル12内の気相の流れを引き抜き、それによりチャネル内の一様なガス流れパターンを容易にするために設けられている。ガス出力ポート24におけるガス圧の反対(the opposite)よりほんのわずか小さな負の引き抜き(negative draw)が、秩序だったガス流れを容易にするのを助けることができる。負の引き抜きは、たとえば、0.9気圧と1.0気圧との間の圧力で機能することができるが、これに対し、典型的な真空はたとえば0.1気圧未満である。図7Bおよび図7Dで点線の外形で示される任意的なバッフル58は排気ポート26中に流れパターンを転向させるために設けられている。
F1またはF3のようなガス流れパターンまたは排気ポート26のあるその変形F2およびF4の使用は、基板に向けて垂直に向けられた連続的なガス原料のアレイを使う、背景セクションで先に述べたような従来のアプローチに対していくつもの利点を提供する。別個の真空チャネルが必要とされないので、配送マニホールド10は非常にコンパクトにでき、気相材料をそれぞれの隣接出力チャネルに沿って向ける。流れのダイナミクスもこれまでのアプローチに対して改善される。使用されるガス流れが障壁層効果に遭遇する可能性が低いからである。不活性ガス流れFIは、以前の出力チャネル12からの余剰の材料および望ましくない反応副産物を除去するある種の「一掃パージ」を提供する。不活性ガス流れFIは、流れFOおよびFMの反応物ガスを分離するのを助けもする。それにより、基板20の表面の上方でのこれらの反応物ガスの混合が最小化されるかなくなるかする。従来式の真空が使用されないので、配送マニホールド10の設計は、各ガス送達チャネルの間に真空ポンプ・チャネルを必要とし出力流れに対して均衡するよう真空レベルが慎重に較正されるガス・アレイ設計に比べ、複雑でなくなる。
本発明において使用されるガス流れ配置は、図2に示される、基板20と配送マニホールド10の出力面36との間の距離Dに依存する。仕切り22をまわって真空排気に向かうガス流れは必要とされないので、出力面36は基板表面の非常に近くに、1ミル(約0.025mm)以内に位置できる。これに比して、先に引用したYudovskyへの米国特許第6,821,563において記載されるようなこれまでのアプローチは、チャネル側壁の端をまわってのガス流れを必要としており、よって基板表面まで0.5mmまたはそれ以上の距離に制限されていた。本発明では、配送マニホールド10を基板表面により近く位置させることが好ましい。ある好ましい実施形態では、配送マニホールドの出力面または流れチャネルを与える案内壁の底部の基板表面からの距離Dは0.4mm以下、好ましくは0.3mm以内、より好ましくは0.25mm以内であることができる。
図8Aおよび図8Bの断面図は、本発明の動作と整合しつつ距離Dが比較的小さいことが好ましいのがなぜかを示している。これらの図で、配送マニホールド10は、矢印が示すように、左から右へと基板20の上を動いている。反応性気相材料Mを運ぶ出力チャネル12がある領域上を右に動く際、主として不活性の気相材料Iである隣の隣接する(時間的に直前の)出力チャネルからの拡散層72に遭遇する。基板20の表面上で反応するために、反応性気相材料Mは、距離Dに比例する厚さをもつ拡散層72を通じて拡散すべきである。これに比して、図8Bは、距離Dが短縮されたときに何が起こるかを示している:拡散層72が比例して短縮されるのである。拡散層72を通じた拡散はより迅速かつより効率的に起こり、許容される無駄が少なくなり、基板20の表面上での反応のために必要とされる全体的な時間の長さが短縮される。下部の仕切り壁22も時間的に直前の出力チャネルのガスから残るガスが少なくなるよう妨害する。チャネル中のガスの流れは、矢の背の印によって示されるように、図8Aおよび図8Bの紙面に垂直であることを注意しておくべきである。この流れは、基板表面までの薄い拡散層72を通じた拡散を支援する濃度勾配を維持する。表面は拡散および時間的に直前の出力チャネルのガスを置換する何らかの混合のために十分な時間、Mのガス流れに曝露される。ガスの流れが表面に吹き付ける方向ではなく表面を横断する方向であるので、この流れが出力チャネルの間での反応物ガスの望ましくない混合を制限することを注意しておく。そうでなければ、マニホールドおよび/または基板の相対的な振動によってそのような混合がひどくなることがありうる。
出力チャネル12の長さに沿ってなめらかな流れを提供するために、ガス出力ポート24は、図7Aおよび図7Bに示されるように、法線からある角度離れて傾けられてもよい。任意的に、ガス出力ポート24からの下向きの流れを転向させて出力面36に実質的に平行に走るガス流れを形成するよう、何らかの型のガス流れ転向構造も用いられてもよい。
図9の平面図は、ある実施形態で使用できる配送マニホールド10の一部の出力面36を示している。方向性のガス流れを最適化するため、転向プレート38が、反応物気相材料を方向付けるために各出力チャネル12に位置されていてよい。図示した実施形態では、反応物気相材料を送達する出力チャネル12のみが転向プレート38および排気ポート26を設けられる。この具体的な構成は、環境ガスの望ましくない取り込みを減らすためなどで配送マニホールド10を不活性ガスで囲むことが有利ないくつかの用途で有利となりうる。しかしながら、転向プレート38はすべての出力チャネル12で使うこともできる。排気ポート26も、一部または全部の出力チャネル12で使うことができる。もう一つの可能な実施形態では、転向プレートはすべてのチャネルで使用できるが、どのチャネルが考えられるかに依存して、転向プレートの出力端が異なるx軸位置にあってもよい。具体的には、不活性流れのためのバッフルの出力端位置は、反応物ガスのバッフルより低いx軸位置にして、それにより不活性パージ流れが上述したようにさまざまなチャネルを孤立させるはたらきができるようにしてもよい。
図9は、ある実施形態での出力チャネルのパターンをも示している。ここで、不活性ガスチャネルIを、配送マニホールド10の最も外側の諸チャネルとして設けることが特に有利であることが見出されている。第一の反応物気相材料Oをもつ酸化チャネルは、第二の反応物気相材料Mの金属成分とのALD反応のために表面を整えるので、最も外側の次である。
図10は、本願のプロセスで使用できる配送マニホールド10の一つの実施形態を示している。ここでは、幅W、長さLおよび高さHのチャネルが、積層された金属プレート42および44から形成されている。金属プレートは開口40をもち、これがダクト46a、46b、46cを形成している。図11Aは、このようにして形成された配送マニホールド10の単一の出力チャネル12部の分解図を示しており、交互する仕切りプレート42および送達プレート44における開口40の配置を示している。図11Bは、隣接する出力チャネル12について同様の分解図を示している。開口40の整列によって形成されたダクト46a、46bおよび46cは配送マニホールド10を通じて延び、気相流れ連絡のための入力管路を提供し、これが外部の源から種々の反応物気相材料および不活性気相材料を受け容れ、先述した出力面36に沿ってガス流れを提供する転向構造を提供する。バッフルおよびその他の転向構造はこれらの図には示されていないが、好適に構築された積層されたプレートを使って提供されたり、あるいはデバイスが組み立てられたあとで加えられたりすることができる。
図11Aおよび図11Bの分解図はそれぞれ、積層されたプレート42および44から形成される単一の出力チャネル12を示している。図11Aの例では、図示されている出力チャネル12はダクト46bから与えられる気相材料を提供する。ダクト46aおよび46cは、図11Aに示された実施形態ではこのチャネルを素通りする他のガスを伝える。出力チャネル12の境となる仕切りプレート42とは寸法および開口が異なる送達プレート44は、ダクト46b中のガスの一部をガス流れF1に転向させる転向チェンバー48を含んでいる。図11Bの例では、図示されている出力チャネル12はダクト46aから与えられる気相材料を提供する。ダクト46bおよび46cは、図11Bに示された実施形態ではこのチャネルを素通りする他のガスを伝える。プレート42および44は、反応性気相材料を伝えるための、たとえばステンレス鋼または他の金属といった好適な金属でできているべきである。
そのような実施形態のために多数のプレートが組み立てられるとき、基板に送達されるガス流れは粒子流れ(I、MまたはO)を送達するチャネル全部にわたって一様であることが望ましい。これは、プレートの適正な設計によって達成されることができる。たとえば、各プレートについて流れパターンの一部に制約を付け、各チャネルについて再現性のある圧力降下を提供するためにプレートを精確に加工するといったことである。
プレートを積層する方法は、本発明において使用するための配送マニホールドを構築する特に有用な方法であるが、そのような構造を構築する方法は他にいくつでもあり、本願のプロセスにおいて使用できる配送マニホールドの代替的な実施形態において有用でありうる。たとえば、本願のプロセスで使うための配送マニホールドは、金属ブロックまたは接合されたいくつかの金属ブロックの直接加工によって構築されてもよい。さらに、当業者は理解するであろうが、内部モールド構造に関わるモールド技法を用いることもできる。配送マニホールドはまた、いくつものステレオリソグラフィー技法のいずれを使って構築されることもできる。
図10、図11Aおよび図11Bの例示的な実施形態から見て取れるように、各出力チャネル12が金属プレートのシートの幅をもち、配送マニホールド10は非常に小さなサイズで構築されることができる。たとえば、図10、図11Aおよび図11Bの構成を使ったある実施形態では、出力チャネル12の幅Wは0.034インチ(0.86mm)である。種々の材料のための出力チャネル12が種々の厚さで製作でき、コンパクトな構成のために好ましくは0.01インチ(0.25mm)ないし0.1インチ(2.5mm)の幅Wの範囲が得られる。出力チャネル12の長さLは、必要とされる一様性および所望のガス圧に依存して変動できる。ある実施形態では、出力チャネルの長さLは3インチ(75mm)である。積層されたプレート42の延長部分から形成される出力チャネル12の高さHは、ある実施形態では0.1インチである。
ガス流れは、生成される低圧ゾーンのため、期せずして環境ガスを引き込むことがあるので、不活性層からの追加的な保護障壁を設けることが有用でありうる。図12を参照すると、外被ガス流れ(envelopment gas flow)FEが示されている。これにより、環境ガスがプロセス・ガスを汚染するのを防ぐために不活性ガスの追加的な流れが配送マニホールド10の一つまたは複数の側で使われる。
図4Aおよび図4Bを参照して具体的に述べたように、配送マニホールド10は、その堆積機能を実行するために基板20の表面に対する動きを必要とする。この相対的な動きはいくつもの仕方で得ることができる。それには、配送マニホールド10および基板20の一方または両方を動かすことが含まれる。たとえば、基板支持部を提供するプロセスの動きによるものなどである。動きは、必要とされる堆積サイクル数に依存して、振動するまたは往復運動するものであってもよく、連続的な動きであってもよい。連続プロセスが好ましいが、特にバッチ・プロセスでは、基板の回転が使われることもできる。
典型的には、ALDは、各サイクルで制御された膜厚を構築する複数の堆積サイクルを必要とする。先に挙げた気相材料の型の命名法を使うと、単一のサイクルは、たとえばある単純な設計では、第一の反応物気相材料Oの一つの適用および第二の反応物気相材料Mの一つの適用を提供できる。
OおよびMの反応物気相材料のための出力チャネル間の距離が、各サイクルを完了するための往復運動の必要とされる距離を決定する。各出力チャネル12について幅Wで0.034インチの公称チャネル幅をもつ図9の例示的な配送マニホールド10については、少なくとも0.20インチの往復運動(ここで使われるところのy軸に沿った)が必要とされることになる。この例については、基板20のある領域が、この距離の動きにより、第一の反応物気相材料Oおよび第二の反応物気相材料Mの両方に曝露されることになる。場合によっては、エッジ効果または往復移動の両極端に沿った蓄積を減らすなどのため、一様性の考慮が、各サイクルにおける往復運動の量についてのある程度のランダム性を要求することがありうる。
配送マニホールド10は、単一のサイクルを提供するのに十分な出力チャネル12しかもたないことがありうる。あるいはまた、配送マニホールド10は、複数サイクルの配置を有していて、より大きな堆積面積をカバーできるようにし、あるいは往復運動距離の一回の通過で二つ以上の堆積サイクルを許容する距離にわたる往復運動を可能にしてもよい。
ある実施形態では、基板の所与の領域が500ミリ秒未満、好ましくは100ミリ秒未満にわたってチャネルにおいてガス流れに曝露される。振動の間のチャネルに対する基板の相対的な動きは、少なくとも0.1cm/secのスピードであり、チャネルにおけるガス流れは少なくとも1cm/secである。好ましくは、堆積の間の基板の温度は300°C未満、より好ましくは250°C未満である。
たとえば、ある個別的な適用では、各O-Mサイクルが処理された表面の1/4にわたって1原子直径の層を形成したことが見出された。よって、この場合、処理される表面にわたって1原子直径の一様な層を形成するためには、4サイクルが必要とされる。同様に、この場合に10原子直径の一様な層を形成するには、40サイクルが必要とされることになる。
本願のプロセスのある実施形態において使われる配送マニホールド10のために使われる往復運動の利点は、出力面36の面積を超える面積の基板20への堆積を許容することである。図13は、概略的に、このより広い面積のカバーが、矢印Rによって示されるy軸に沿った往復運動およびまた該往復運動に対して垂直もしくは横向きの、x軸に対する動きを使って、どのように実施できるかを示している。ここでもまた、図13に示されるように、xまたはy方向の動きは、配送マニホールド10の動きによって、あるいは動きを提供する基板支持部74を与えられた基板20の動きによって、あるいは配送マニホールド10および基板20の両方の動きによって、実施できることを強調しておくべきであろう。
図13では、堆積器(depositor)と基板の相対的な動きは互いに垂直である。この垂直な動きを平行にすることも可能である。この場合、相対的な動きは、振動を表す0でない周波数の成分と基板の変位を表す周波数0の成分とを有する必要がある。この組み合わせは、固定した基板上での、配送マニホールドの変位と組み合わされた振動;固定した基板配送マニホールドに対する、基板の変位と組み合わされた振動;あるいは振動および固定した動きが両方の基板の動きによって提供される任意の組み合わせによって達成できる。
好ましい実施形態では、ALDは大気圧または大気圧の近くで、広い範囲の環境および基板の温度、好ましくは300°C未満の温度で実行できる。好ましくは、汚染の可能性を最小限にするために比較的清浄な環境が必要とされるが、本発明のプロセスの好ましい実施形態を使って良好な性能を得るには、完全な「クリーンルーム」条件や不活性ガスで満たされた囲いは要求されない。
図14は、比較的よく制御され、汚染のない環境を提供するためのチェンバー50をもつ原子層堆積(ALD)60プロセスを示している。ガス供給28a、28bおよび28cは第一、第二および第三の気相材料を配送マニホールド10に供給ライン32を通じて提供する。柔軟な供給線32の任意的な使用は、配送マニホールド10の動きやすさを容易にする。簡単のため、任意的な真空蒸気回復プロセスおよびその他の補助構成要素は図13には示されていないが、使われてもよい。輸送サブシステム54は、配送マニホールド10の出力面36に沿って基板20を運び、本開示で使われている座標軸系を使ってx方向の移動を提供する基板支持部を提供する。動き制御ならびに開閉弁および他の補助構成要素の全体的な制御は、たとえばコンピュータまたは専用マイクロプロセッサ組立体のような制御論理プロセッサ56によって提供されることができる。図14の構成では、制御論理プロセッサ56は、配送マニホールド10に往復運動を与えるためにアクチュエータ30を制御するとともに、輸送サブシステム54の輸送モーター52をも制御する。
図15は、基板支持部のはたらきをするウェブ・コンベヤー62に沿って配送マニホールド10を通過して運ばれるウェブ基板(web substrate)66上への薄膜堆積のための原子層堆積(ALD)システム70の代替的な実施形態を示している。配送マニホールド輸送64は、配送マニホールド10をウェブ基板66の表面を横切って、ウェブの移動方向と垂直な方向に搬送する。ある実施形態では、配送マニホールド輸送64は、ウェブ基板66の幅を横切る送りねじ(lead screw)を使う。別の実施形態では、ウェブ62に沿った好適な位置にある複数の配送マニホールド10が使用される。
図16は、ウェブ構成での原子層堆積(ALD)システム70のもう一つの実施形態を示している。ここでは、流れパターンが図14の構成とは垂直に配向される静止配送マニホールド10が使用される。この構成では、ウェブ・コンベヤー62の動きそのものがALD堆積に必要とされる動きを与える。ウェブ・ローラーの回転方向を反復して逆転させて基板66を配送マニホールド10に対して前後に動かすことなどによって、この環境においても往復運動が使用できる。往復運動はまた、配送マニホールドの、ローラー軸と一致する軸をもつ弧に沿った往復運動を許容することによっても得ることができる。図17を参照すると、配送マニホールド10の一部の実施形態が示されている。ここで、出力面36はある量の曲率を有しており、これはいくつかのウェブ・コーティング用途にとって有利でありうる。凸または凹の曲率を与えることができる。
本発明のプロセスは、いくつかの実施形態では室温または室温に近い温度を含む広い範囲の温度にわたって基板上への堆積を実行する能力において有利である。本発明のプロセスは、真空環境でも動作できるが、大気圧または大気圧に近い圧力での動作に特に好適である。
本願のプロセスは多様な応用をもつ。それには、薄膜トランジスタ(TFT)および薄膜トランジスタ(TFT)を有する電子デバイスの製造が含まれる。そのようなデバイスとしては、たとえば、より複雑な回路、たとえばシフトレジスタ、集積回路、論理回路、スマートカード、メモリ・デバイス、電波認証タグ(radio-frequency identification tag)、アクティブ・マトリクス・ディスプレイのためのバックプレーン、アクティブ・マトリクス・ディスプレイ(たとえば液晶またはOLED)、太陽電池、リング発振器およびたとえば半導体材料の組み合わせを用いるインバータ回路のような相補回路(complementary circuit)が含まれる。アクティブ・マトリクス・ディスプレイでは、本願のプロセスを使って作成されたトランジスタが、ディスプレイのピクセルの電圧保持回路の一部として使用できる。そのようなデバイスでは、TFTは当技術分野において既知の手段によって動作的に接続される。
本願のプロセスを使って製作できるマイクロ電子装置(microelectronic device)(またはその構成要素もしくは製造中間物)の一例は、アクティブ・マトリクス液晶ディスプレイ(AMLCD)である。一つのそのような装置は、電極および該電極間に配されている電子光学式材料を有する要素を含む光電子式ディスプレイ(optoelectronic display)である。透明トランジスタの接続電極が表示素子の電極に接続されていてもよい。一方、スイッチング素子および表示素子は少なくとも部分的に重なり合う。光電子式ディスプレイ素子はここでは、電流または電圧のような電気的な量の影響のもとで光学的属性が変化するディスプレイ素子、たとえば通例、液晶ディスプレイ(LCD)と称される素子と理解するものとする。ディスプレイ素子は電気的には、付随する薄膜トランジスタによって充電または放電されるコンデンサとして作用する。光電子式ディスプレイ装置は、それぞれが独自の薄膜トランジスタをもつ多くのディスプレイ素子を、たとえばマトリクス状の配列で、含んでいてもよい。ある種のアクティブ・マトリクス・ピクセル設計、特に電流駆動のディスプレイ効果を供給するものは、ピクセル回路中にいくつかのトランジスタおよびその他の電気的構成要素を必要とすることがありうる。
障壁およびパシベーション膜は、本願のALDプロセスの他の有用な応用である。この場合、特にディスプレイ用途のために、湿気の透過を防ぐために、ALD層またはポリマーと交互するALDのシーケンスがプラスチックの基盤に加えられる。本願のプロセスに基づくプロセスのためのさらに他の応用は、たとえば米国特許公開第2005/008713A1号に開示されているような光学式フィルム(optical film)がある。
以下の薄膜の例はすべて、図18で示される流れの構成を採用する。流れの構成は、精製して酸素および水の汚染を1ppm未満にまで除去された窒素ガスの流れ81を供給される。このガスはマニホールドによっていくつかの流れ調量器(flow meter)に偏向され、該流れ調量器がパージガスおよびバブラー(bubbler)を通じて偏向されたガスの流れを制御し、反応性前駆体を選択する。窒素供給に加えて、空気の流れ90も装置に送達される。空気は事前処理して湿気を除いてある。
以下の流れがALDコーティング装置に送達される:窒素ガス中で希釈された金属前駆体を含む金属前駆体の流れ92;窒素ガス中で希釈された非金属前駆体または酸化剤(oxidizer)を含む酸化物含有流れ93;不活性ガスのみからなる窒素パージ流れ95である。これらのストリームの組成および流れは以下のように記述される。
ガス・バブラー82はヘキサン中のジエチル亜鉛の1モル(molar)溶液を含んでいる。ガス・バブラー83はヘキサン中のトリメチルアルミニウムの2モル溶液を含んでいる。両バブラーとも、室温に保持されている。流れ調量器85および86はそれぞれ、純粋な窒素の流れをジエチル亜鉛バブラー82およびトリメチルアルミニウム・バブラー83に送達する。バブラーの出力は今ではそれぞれの前駆体溶液で飽和させられた窒素ガスを含んでいる。出力された流れは、流れ調量器87から送達される窒素ガス希釈流れと混合されて、金属前駆体流れ92の全体的な流れを与える。以下の例では、流れは次のようになる:
流れ調量器85:ジエチル亜鉛バブラー流れへ
流れ調量器86:トリメチルアルミニウム・バブラー流れへ
流れ調量器87:金属前駆体希釈流れへ。
ガス・バブラー84は室温の純水を含む。流れ調量器88は純粋な窒素ガスの流れをガス・バブラー84に送達する。ガス・バブラー84の出力が飽和させられた水蒸気のストリームを表す。空気の流れは流れ調量器91によって制御される。水バブラー出力と空気ストリームが流れ調量器89からの希釈ストリームと混合されて、酸化剤含有流れ93の全体的な流れを作り出す。酸化剤含有流れ93は、可変の水組成、酸素組成および全体流れを有する。以下の例では、流れは次のようになる:
流れ調量器88:水バブラーへ
流れ調量器89:酸化剤希釈流れへ
流れ調量器91:空気流れへ。
流れ調量器94はコーティング装置に送達されるべき純粋な窒素の流れを制御する。
ストリームまたは流れ92、93および95は次いで大気圧のコーティング・ヘッドに送達され、そこでチャネルまたは図19に示されるようなマイクロチェンバー・スロットの外に向けられる。約0.15mmのギャップ96がマイクロチェンバーと基板97との間に存在する。マイクロチェンバーは高さ約2.5mm、幅約0.86mmであり、76mmのコーティング・ヘッドの長さにわたる。この構成での反応物材料は、スロット中ほどに送達され、前後から流れ出る。
堆積を実行するために、コーティング・ヘッドは、基板の一部の上に位置され、次いで矢印98によって表されるように基板の上で往復する形で動かされる。往復サイクルの長さは32mmであった。往復サイクルの動きの速度は、30mm/secである。
以下の特性決定が使われる。
A.トランジスタ測定および解析
本発明を使って製作された製作デバイスのトランジスタ特性決定は、ヒューレット・パッカードHP4156(登録商標)パラメータ解析器を用いて実行された。
結果は典型的にいくつかのデバイスから平均された。各デバイスについて、ゲート電圧(Vg)のさまざまな値について、ソース‐ドレイン電圧(Vd)の関数としてドレイン電流(Id)が測定された。さらに、各デバイスについて、ソース‐ドレイン電圧のさまざまな値について、ゲート電圧の関数としてドレイン電流が測定された。たいていのデバイスについて、Vgは、測定される各ドレイン電圧、典型的には10V、20Vおよび30Vについて、−10Vから40Vまで掃引された。移動度測定は、30V掃引から取った。
データから抽出されるパラメータは電界効果移動度(μ)、閾値電圧(Vth)および測定されるドレイン電流についてのIon/Ioffの比を含む。電界効果移動度は、Vd>Vg−Vthの飽和領域で抽出された。この領域では、ドレイン電流は次式によって与えられる(Sze, Semiconductor Devices―Physics and Technology, John Wiley & Sons (1981)参照):
Id=(W/2L)μCox(Vg−Vth2
ここで、WおよびLはそれぞれチャネル幅および長さである。Coxは誘電体層の静電容量で、これは誘電体の厚さおよびその材料の誘電定数の関数である。この式が与えられて、飽和電界効果移動度は、√Id対Vg曲線の直線状の部分への直線あてはめから抽出された。閾値電圧Vthはこの直線あてはめのx切片である。
ドレイン電流の対数がゲート電圧の関数としてプロットされた。logIdプロットから抽出されたパラメータは、Ion/Ioff比を含む。Ion/Ioff比は単に、ドレイン電流の最大と最小の比である。
B.降伏電圧特性決定
製作されたデバイスの降伏電圧特性決定は、ヒューレット・パッカードHP4156パラメータ解析器を用いて実行された。
誘電体層については、降伏電圧は、誘電体降伏に起因して実質的な電流が流れ始めるときの、層の両側にかけられる電圧に対応する。
降伏電圧を評価するために、問題となる誘電体膜が導体(典型的には重度にドーピングしたシリコン・ウェーハ)上にコーティングされ、金属接点が誘電体層のいちばん上に位置された。シリコン・ウェーハは接地に保持され、一方、いちばん上の金属接点に加えられた電圧は0Vから100Vまで掃引された。掃引の間、いちばん上の金属接点に流入する電流が測定された。降伏に先立ってかなりの電流漏れが起こりうるが、降伏電圧は電流の流れの鋭い立ち上がりが見られる点に取った。
C.膜厚測定
以下の例の酸化アルミニウム膜の厚さは、J. A. WOOLAM ALPHA-SE白色光偏光計〔エリプソメータ〕を使って測定された。取得されたデータは、調査対象の層がコーシー分散モデルによって表されるモデルにあてはめられた。モデルあてはめの出力が膜厚および屈折率を与える。
以下の例は、誘電体、導体および半導体の高性能の薄膜コーティングを生成する上記システムの機能を例証する。
〈例1〉
この例は、作業(working)薄膜トランジスタを生産するために使われる本発明に基づく酸化亜鉛半導体膜の生成を示す。この構造では、デバイスのゲートは重度にドーピングされたシリコン・ウェーハであり、絶縁体は酸化亜鉛半導体膜の堆積に先立って前記シリコン・ウェーハ上に熱プロセスによって成長させられた二酸化ケイ素の膜である。
酸化亜鉛半導体層が本発明の堆積システムを使って加えられた。基板温度200°Cおよび下記の条件で、二つの実験実行がなされた。
Figure 2009531549
酸化亜鉛の堆積後、アルミニウム接点が、シャドウマスクを通じた蒸着によって500Aの厚さまで上記のデバイスに加えられた。シャドウマスクは、チャネル幅500マイクロメートル、チャネル長さ50マイクロメートルのデバイスを生成した。生成されたデバイスはすべて、106より大きなオン/オフ比を与えた。移動度は下記の表に挙げるようになっている。これは試料当たり3つのデバイスの平均である。
Figure 2009531549
上記のデータは、酸化亜鉛半導体層を用いる高い移動度の良好な品質のデバイスが本装置で生成できることを示している。
〈例2〉
この例は、本発明に基づく酸化アルミニウム膜の生成を示しており、良好な降伏電圧をもつ高品質の絶縁膜を作成する能力を例証する。この構造では、裸のシリコン・ウェーハが一つの電極として使用され、その上に上記の本発明の装置を使って酸化アルミニウムの膜が成長させられる。
酸化アルミニウム層は200°Cの基板および次の堆積条件で加えられる。ここで、2-Bは複製データ点である。
Figure 2009531549
酸化アルミニウムの堆積後、偏光解析法を使って厚さおよび屈折率について試料が計測された。その後、誘電体降伏測定ができるよう、アルミニウム接点パッドが、シャドウマスク蒸着を使って試料D膜のいちばん上に加えられた。結果を次の表に掲げる。
Figure 2009531549
試料Dについて、降伏測定を試料の三つの領域上で行った。平均降伏は7.9MV/cmであった。これは、高品質のAl2O3誘電体層が形成されたことを示している。
〈例3〉
この例は、重度にドーピングされたシリコンをゲート材料として使って、しかしその後、Al2O3を誘電体として、ZnOを半導体として使っての、作業トランジスタ・デバイスの生産を示す。ここで、後者の材料はいずれも本発明を使って堆積される。
酸化アルミニウム膜がまず次の条件に従って堆積させられる。
Figure 2009531549
酸化アルミニウムの堆積後、両方の試料が上記の装置を使って次の条件でZnOをコーティングされた。
Figure 2009531549
結果として得られる多層デバイス上にアルミニウム接点がシャドウマスクを通じて蒸着され、チャネル長さ50μm、チャネル幅500μmの薄膜トランジスタが生成された。デバイスの結果を下記に示す。
Figure 2009531549
上記のデータは、決定的な層のすべてを本発明の装置を使って堆積することによって、高品質の薄膜トランジスタが製造できることを示している。
〈例4〉
この例は、重度にドーピングされたシリコンをゲート材料として使って、しかしその後、Al2O3を誘電体として、ZnOを半導体として使っての、作業トランジスタ・デバイスの生産を示す。ここで、後者の材料はいずれも本発明を使って堆積される。ある範囲の温度にわたって有用なデバイスを生産する能力を例証するために堆積温度の変動を加えている。
酸化アルミニウム膜がまず次の条件に従って堆積された。
Figure 2009531549
酸化アルミニウムの堆積後、試料が上記の装置を使って次の条件でZnOをコーティングされた。
Figure 2009531549
結果として得られる多層デバイス上にアルミニウム接点がシャドウマスクを通じて蒸着され、チャネル長さ50μm、チャネル幅500μmの薄膜トランジスタが生成された。デバイスの結果を下記に示す。
Figure 2009531549
上記の表のデータは、本発明の装置を用いてさまざまな温度で良好な品質の薄膜トランジスタが製作できることを示している。
〈例5〉
この例は、ガラス基板上での酸化アルミニウム膜の生成を示し、多様な基板が本発明において使用できることを例証する。酸化アルミニウム膜がまず次の条件に従って堆積された。
Figure 2009531549
堆積に先立ってガラス基板がどのように事前処理されたかについて変形がなされた。約100mTorrのチェンバー圧で走るSPI Plasma-Prep II(登録商標)プラズマ・エッチング器(米国ペンシルヴェニア州ウェスト・チェスター)で酸素プラズマ処理が実行された。ピラニア洗浄は、濃硫酸200ml中に30%過酸化水素100mlの調製したての溶液に試料を浸すことからなる。下記の表はそれらの処理および試料の結果として得られた厚さを挙げている。
Figure 2009531549
上記の試料は、表面の事前処理に基づく若干の厚さの変動がありながらも、ガラス基板上に膜が堆積されることができることを示している。
〈例6〉
この例は、インジウム・スズ酸化物をゲート材料として使って、しかしその後、Al2O3を誘電体として、ZnOを半導体として使っての、作業トランジスタ・デバイスの生産を示す。ここで、後者の材料はいずれも本願に記載される発明を使って堆積される。酸化アルミニウム膜がまず次の条件に従って堆積させられる。
Figure 2009531549
酸化アルミニウムの堆積後、試料が上記の装置を使って次の条件でZnOをコーティングされた。
Figure 2009531549
これらの試料は、下記の表に掲げられるさまざまな洗浄処理にかけられた。イソプロピルアルコール(IPA)中での超音波照射がベンチトップ型超音波洗浄器を用いてなされた。この超音波洗浄は、5分間にわたるIPA中での試料の超音波照射からなっていた。O2プラズマ/CFx処理は、上の例5で述べた2′のO2プラズマ処理と、それに続くUS6,208,075に記載されるCHF3のプラズマ支援堆積による1nmフルオロカーボン(CFx)層の堆積とからなっていた。
Figure 2009531549
上に示した結果は、本発明の装置がITO上で積層されたデバイスを生成するのに使用できることを示し、完全に透明なデバイスの可能性を示している。
〈例7〉
この例は、本発明に従って伝導性材料を生成する能力を示す。導体はアルミニウム・ドーピングされた酸化亜鉛である。フィルムは次の表に示されるように、ジエチル亜鉛およびトリメチルアルミニウムの同時追加によって成長された。
Figure 2009531549
約0.5cm離間したプローブを用いて抵抗計を試料に接触させることによって試料抵抗率が測定された。この測定の結果を下記に示す。
Figure 2009531549
上記の結果は、本発明を使って伝導性膜を作成できることを示す。
本願のプロセスのステップを記述するフローチャートである。 本願のプロセスにおいて使用できる原子層堆積のための配送マニホールドの一つの実施形態の断面側面図である。 ある例示的な気相材料系についての、薄膜堆積にかけられる基板への気相材料の配送のある実施形態の断面側面図である。 ある気相材料系の配送のある実施形態の、付随する堆積動作を概略的に示す断面側面図である。 ある気相材料系の配送のある実施形態の、付随する堆積動作を概略的に示す断面側面図である。 基板上への堆積のために使用できる配送マニホールドのある実施形態の一部分の、基板に対する出力チャネルの配向および往復運動を示す、出力面側からの斜視図である。 配送マニホールドにおけるガスの流れの例示的な構成を示す、図5と同様の斜視図である。 ある実施形態における出力チャネルについてのガス流れの方向を示す、前記の図2〜図4Bの断面図に垂直な方向の断面図である。 ある実施形態における出力チャネルについてのガス流れの方向を示す、前記の図2〜図4Bの断面図に垂直な方向の断面図である。 ある実施形態における出力チャネルについてのガス流れの方向を示す、前記の図2〜図4Bの断面図に垂直な方向の断面図である。 ある実施形態における出力チャネルについてのガス流れの方向を示す、前記の図2〜図4Bの断面図に垂直な方向の断面図である。 気相材料を基板表面に提供するための配送マニホールドの、出力面からの短縮された距離の影響を示すための断面図である。 気相材料を基板表面に提供するための配送マニホールドの、出力面からの短縮された距離の影響を示すための断面図である。 本願のプロセスにおいて使用できる、図3に示されるような配送マニホールドの出力面の平面図で、本発明のある実施形態に基づく出力チャネルの構成を通じた気相材料の動きを示す図である。 本願のプロセスにおいて使用できる、積層されたプレートから形成される配送マニホールドのある実施形態の斜視図である。 図10に示された積層プレート構造を用いる配送マニホールドの構築の分解図で、本願のプロセスで使われる異なるガスのための二つの異なる出力チャネルの一つを示す図である。 図10に示された積層プレート構造を用いる配送マニホールドの構築の分解図で、本願のプロセスで使われる異なるガスのための二つの異なる出力チャネルの一つを示す図である。 配送マニホールドの周に沿って向けられた不活性ガスの外被シュラウド(enveloping shroud)を使う実施形態を示す斜視図である。 往復運動および垂直運動の代替的な動きパターンを示す概略図である。 本発明に基づくプロセスを使う堆積システムのある実施形態のブロック図である。 本願のプロセスに基づく動いているウェブに適用される堆積システムのある実施形態を示すブロック図である。 本願のプロセスに基づく動いているウェブに適用される堆積システムの、配送マニホールドが静止している別の実施形態を示すブロック図である。 本願のプロセスで使用できる、曲率をもつ出力面をもつ配送マニホールドのある実施形態の断面側面図である。 〈例〉に基づく薄膜堆積プロセスのための原料物質のブロック図である。 〈例〉の薄膜堆積プロセスにかけられる基板に提供される気相材料の構成を示す、本願のプロセスで使われる配送マニホールドの断面側面図である。
符号の説明
10 配送マニホールド
12 出力チャネル
14、16、18 ガス取り入れポート
20 基板
22 仕切り
24 ガス出力ポート
26 排気ポート
28a、28b、28c ガス供給
30 アクチュエータ
32 供給ライン
36 出力面
38 向け直しプレート
40 開口
42 仕切りプレート
44 送達プレート
46a、46b、46c ダクト
48 向け直しチェンバー
50 チェンバー
52 輸送モーター
54 輸送サブプロセス
56 制御論理プロセッサ
58 バッフル
60 原子層堆積(ALD)プロセス
62 ウェブ・コンベヤー
64 配送マニホールド輸送
66 ウェブ基板
70 原子層堆積(ALD)プロセス
72 拡散層
74 基板支持部
81 窒素ガス流れ
82、83、84 ガス・バブラー
85、86、87、88、89、91、94 流れ調量器
90 空気の流れ
92 金属前駆体流れ
93 酸化剤含有流れ
95 窒素パージ流れ
96 ギャップ
97 例示的な基板
98 矢印
D 距離
F1、F2、F3、F4、FI、FO、FM、FE ガスの流れ
H 高さ
I 不活性気相材料
L チャネル長さ
M 第二の反応物気相材料
O 第一の反応物気相材料
R 矢印
W チャネル幅

Claims (43)

  1. 基板上に薄膜材料を堆積するプロセスであって、ガスの流れが前記基板の表面に実質的に平行でありかつ互いに実質的に平行になるよう一連のガス流れを複数の細長いチャネルに沿って同時に向ける段階を有しており、ガス流れが隣接する細長いチャネルの方向に流れることは実質的に防止されており、前記一連のガス流れは順に、少なくとも第一の反応性気相材料、不活性パージガスおよび第二の反応性気相材料を含み、これが任意的に複数回繰り返され、前記第一の反応性気相材料は前記第二の反応性気相材料を用いて処理された基板表面と反応できる、プロセス。
  2. 前記ガス流れが配送マニホールドによって提供され、前記配送マニホールドは、前記基板に近接して前記基板の上方に平行に位置された一連の開かれた細長い出力チャネルを平面図における前記基板に面して有しており、前記配送マニホールドの面は堆積にかけられる前記基板の表面から1mm以内離間されている、請求項1記載のプロセス。
  3. 前記基板が離間された複数の配送マニホールドによって処理される、請求項1記載のプロセス。
  4. 前記基板が単一の配送マニホールドによって処理される、請求項1記載のプロセス。
  5. 前記基板の所与の領域が、100ミリ秒未満にわたってあるチャネル中のガス流れに曝露される、請求項1記載のプロセス。
  6. 前記基板の配送マニホールドに対する相対的な動きが少なくとも0.1cm/secの速度である、請求項2記載のプロセス。
  7. 前記ガス流れが少なくとも1cm/secである、請求項1記載のプロセス。
  8. 堆積の間の前記基板の温度が300°C未満である、請求項1記載のプロセス。
  9. 前記第一の反応性気相材料が金属含有化合物であり、前記第二の反応性気相材料が非金属化合物である、請求項1記載のプロセス。
  10. 前記金属が周期表のII、III、IV、VまたはVI族の元素である、請求項9記載のプロセス。
  11. 前記金属含有化合物が300°C未満の温度で気化されることができる有機金属化合物である、請求項9記載のプロセス。
  12. 前記金属含有の反応性気相材料が非金属の反応性気相材料と反応して、五酸化タンタル、酸化アルミニウム、酸化チタン、五酸化ニオブ、酸化ジルコニウム、酸化ハフニウム、酸化亜鉛、酸化ランチウム、酸化イットリウム、酸化セリウム、酸化バナジウム、酸化モリブデン、酸化マンガン、酸化スズ、酸化インジウム、酸化タングステン、二酸化ケイ素、硫化亜鉛、硫化ストロンチウム、硫化カルシウム、硫化鉛およびそれらの混合物からなる群より選択される酸化物または硫化物材料を形成する、請求項9記載のプロセス。
  13. 前記配送マニホールドの最初と最後のチャネル中の最初と最後の気相流れは反応性気相材料ではなく、当該プロセスにおいて使用される反応性の気相材料は周辺空気と混合することを防止される、請求項1記載のプロセス。
  14. トランジスタ中での使用のために基板上に半導体または誘電体の薄膜を作成するために使用される請求項1記載のプロセスであって、300°C以下の温度で基板上に、少なくとも金属酸化物ベースの材料の一層を形成する段階を有しており、前記金属酸化物ベースの材料は少なくとも二つの反応性ガスの反応生成物であり、第一の反応性ガスは有機金属前駆体化合物を含み、第二の反応性ガスは反応性の酸素含有気相材料を含む、請求項1記載のプロセス。
  15. 基板上への薄膜堆積のための堆積プロセスであって:
    (a)少なくとも第一、第二および第三の気相材料を含む複数の気相材料を提供する段階であって、第一および第二の気相材料は互いと反応性があって、第一または第二の気相材料の一方が基板表面上にあるとき、第一または第二の気相材料の他方が反応して基板上に少なくとも材料の一層を堆積させ、第三の気相材料は第一または第二の気相材料との反応に関しては不活性である、段階と;
    (b)材料の薄膜堆積にかけられるべき基板を提供する段階と;
    (c)第一、第二および第三の気相材料をそれぞれ複数の開かれた細長い出力チャネルに流す段階とを有しており、各出力チャネルは実質的に平行に長さ方向に延在し、出力チャネルはそれぞれ第一、第二および第三の気相材料のための少なくとも第一、第二および第三の出力チャネルを含み、各出力チャネルは出力ポートを有し、ここで、対応する気相材料の流れを提供するために使われる各出力チャネルは、第一、第二および第三の入口ポートと気相の流れの連絡があり、
    細長い出力チャネルのそれぞれは、第一、第二または第三の気相材料のうち対応するものの流れを、その出力チャネルの長さ方向に沿って、その出力チャネルの端のほうに向かわせ、それにより気相材料は前記出力ポートからはその出力チャネルの長さに沿って変位した位置においてその出力チャネルから排気される、
    プロセス。
  16. 前記基板の表面が、前記細長い出力チャネルから、前記基板に面する前記出力チャネルの開口に関して、1mm未満のあらかじめ設計された距離に位置されている、請求項15記載のプロセス。
  17. 前記複数の開かれた細長い出力チャネルが配送マニホールド内にあり、前記基板が、あらかじめ設計された近接さで、前記配送マニホールドの出力面に面しており、前記出力面は平面図において前記開かれた細長い出力チャネルを含む、請求項15記載のプロセス。
  18. 当該プロセスの動作の間、基板支持部もしくは前記配送マニホールドに取り付けられたアクチュエータまたはその両方が、前記あらかじめ設計された近接さを維持しながら、前記出力面と前記基板の表面との間の相対的な動きを提供できる、請求項17記載のプロセス。
  19. 前記近接さが0.4mm未満である、請求項17記載のプロセス。
  20. 各細長い出力チャネルが、仕切り壁によって画定される細長い側面を有する、請求項15記載のプロセス。
  21. 少なくとも一つの細長い出力チャネルの幅が0.1mmから5mmの間である、請求項15記載のプロセス。
  22. 少なくとも一つの出力チャネルがさらに、該出力チャネルの一端に、該出力チャネルにおいて受け取られた気相材料の流れを引き抜くことができる排気ポートを有する、請求項15記載のプロセス。
  23. 各出力チャネルにおける出力ポートが、その出力チャネルの一端に近接して配されている、請求項15記載のプロセス。
  24. 各出力チャネルにおける出力ポートが、その出力チャネルのほぼ中央に配されている、請求項15記載のプロセス。
  25. 前記出力チャネルのうちの少なくとも一つが、その出力チャネル内に、その出力チャネルから気相材料を引き出すための排気ポートを有している、請求項15記載のプロセス。
  26. 前記出力チャネルのうちの少なくとも一つがその細長い端において開いており、その出力チャネルが受け取った気相材料が前記配送マニホールドの側面を過ぎて流れたり、あるいは捕集マニホールドによって捕集されたりすることを許容するようになっている、請求項15記載のプロセス。
  27. 堆積の間、前記配送マニホールドを、前記出力チャネルの長さ方向に実質的に垂直な方向に往復運動させ、それにより前記配送マニホールドに振動運動を提供することをさらに含む、請求項17記載のプロセス。
  28. 前記基板を、前記配送マニホールドの前記出力チャネルの長さ方向に実質的に垂直な方向に往復運動させ、それにより前記基板に振動運動を提供することをさらに含む、請求項17記載のプロセス。
  29. 前記振動が、少なくとも4チャネルの幅である、請求項28記載のプロセス。
  30. 前記振動が単一の基板領域上で少なくとも10回である、請求項28記載のプロセス。
  31. 前記基板支持部が、前記配送マニホールドの出力面に沿って前記基板を動かす基板コンベヤーを有する、請求項17記載のプロセス。
  32. 薄膜材料堆積のための前記基板の表面積が、前記配送マニホールドの出力面の表面積を超える、請求項17記載のプロセス。
  33. 前記基板支持部が動いているウェブを有する、請求項15記載のプロセス。
  34. 前記基板支持部が、前記配送マニホールドの出力面から0.3mm以内の離間距離のところに前記基板の表面を維持する、請求項17記載のプロセス。
  35. 前記基板コンベヤーによって与えられる動きが連続的である、請求項31記載のプロセス。
  36. 前記基板コンベヤーによって与えられる動きが往復運動である、請求項31記載のプロセス。
  37. 第一、第二および第三の出力チャネル中の気相材料の流れが、堆積動作の間、実質的に連続的である、請求項15記載のプロセス。
  38. 堆積プロセスの間、前記配送マニホールドおよび基板を収容するチェンバーをさらに有する、請求項17記載のプロセス。
  39. 前記基板および前記配送マニホールドが大気に開かれている、請求項17記載のプロセス。
  40. 基板上への薄膜堆積のための請求項15記載のプロセスであって、実質的に平面状の基板を支持するためまたは薄膜堆積のための基板であるための、領域上に薄膜堆積を実施するためのウェブを、前記配送マニホールドの出力面を通過して動かすコンベヤーをさらに有しており、前記基板は、当該プロセスの動作の間、前記配送マニホールドの出力面にあらかじめ設計された近接さにあり、前記基板のためのコンベヤーもしくは前記配送マニホールドのためのアクチュエータまたはその両方が、前記あらかじめ設計された近接さを維持しながら、前記出力面と前記基板との間の相対的な動きを提供することができる、プロセス。
  41. 前記配送マニホールドをウェブの動きに垂直な方向に動かすための輸送組立体をさらに有する、請求項40記載のプロセス。
  42. 二つの反対の方向の間でウェブの動きを往復させるウェブ・コンベヤーをさらに有する、請求項40記載の方法。
  43. 周辺大気に対して密封されていない関係にある、請求項40記載のプロセス。
JP2009502830A 2006-03-29 2007-03-14 原子層堆積法 Expired - Fee Related JP5149272B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/392,007 2006-03-29
US11/392,007 US7413982B2 (en) 2006-03-29 2006-03-29 Process for atomic layer deposition
PCT/US2007/006415 WO2007126585A2 (en) 2006-03-29 2007-03-14 Process for atomic layer deposition

Publications (3)

Publication Number Publication Date
JP2009531549A true JP2009531549A (ja) 2009-09-03
JP2009531549A5 JP2009531549A5 (ja) 2011-04-21
JP5149272B2 JP5149272B2 (ja) 2013-02-20

Family

ID=38233069

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009502830A Expired - Fee Related JP5149272B2 (ja) 2006-03-29 2007-03-14 原子層堆積法

Country Status (7)

Country Link
US (1) US7413982B2 (ja)
EP (1) EP1999295B1 (ja)
JP (1) JP5149272B2 (ja)
KR (1) KR20080109002A (ja)
CN (1) CN101415860A (ja)
TW (1) TWI396768B (ja)
WO (1) WO2007126585A2 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014123675A (ja) * 2012-12-21 2014-07-03 Tokyo Electron Ltd 成膜方法
JP2019513189A (ja) * 2016-04-01 2019-05-23 スリーエム イノベイティブ プロパティズ カンパニー ロールツーロール原子層堆積装置及び方法
US11473196B2 (en) 2020-03-25 2022-10-18 Kokusai Electric Corporation Substrate processing apparatus

Families Citing this family (167)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8034419B2 (en) 2004-06-30 2011-10-11 General Electric Company Method for making a graded barrier coating
US20090110892A1 (en) * 2004-06-30 2009-04-30 General Electric Company System and method for making a graded barrier coating
US20070151842A1 (en) * 2005-12-15 2007-07-05 Fluens Corporation Apparatus for reactive sputtering
ATE507320T1 (de) 2006-03-26 2011-05-15 Lotus Applied Technology Llc Atomlagenabscheidungssystem und verfahren zur beschichtung von flexiblen substraten
US20070281089A1 (en) * 2006-06-05 2007-12-06 General Electric Company Systems and methods for roll-to-roll atomic layer deposition on continuously fed objects
US7573420B2 (en) * 2007-05-14 2009-08-11 Infineon Technologies Ag RF front-end for a radar system
US11136667B2 (en) * 2007-01-08 2021-10-05 Eastman Kodak Company Deposition system and method using a delivery head separated from a substrate by gas pressure
US20080166880A1 (en) * 2007-01-08 2008-07-10 Levy David H Delivery device for deposition
US8207063B2 (en) * 2007-01-26 2012-06-26 Eastman Kodak Company Process for atomic layer deposition
JP2008270670A (ja) * 2007-04-24 2008-11-06 Oki Electric Ind Co Ltd 薄膜形成装置及び薄膜形成方法
US20080299771A1 (en) * 2007-06-04 2008-12-04 Irving Lyn M Methods of making thin film transistors comprising zinc-oxide-based semiconductor materials and transistors made thereby
US7972898B2 (en) * 2007-09-26 2011-07-05 Eastman Kodak Company Process for making doped zinc oxide
US8398770B2 (en) * 2007-09-26 2013-03-19 Eastman Kodak Company Deposition system for thin film formation
US8182608B2 (en) * 2007-09-26 2012-05-22 Eastman Kodak Company Deposition system for thin film formation
GB0718841D0 (en) * 2007-09-26 2007-11-07 Eastman Kodak Co Method of making a colour filter array
GB0718839D0 (en) * 2007-09-26 2007-11-07 Eastman Kodak Co method of patterning a mesoporous nano particulate layer
US7572686B2 (en) * 2007-09-26 2009-08-11 Eastman Kodak Company System for thin film deposition utilizing compensating forces
GB0718840D0 (en) * 2007-09-26 2007-11-07 Eastman Kodak Co Method of patterning vapour deposition by printing
US8153352B2 (en) 2007-11-20 2012-04-10 Eastman Kodak Company Multicolored mask process for making display circuitry
WO2009075585A1 (en) * 2007-12-10 2009-06-18 Universitetet I Oslo Method of depositing a doped zinc oxide film, a conductive zinc oxide film and use of the doped zinc oxide film
US20090291209A1 (en) 2008-05-20 2009-11-26 Asm International N.V. Apparatus and method for high-throughput atomic layer deposition
US9238867B2 (en) 2008-05-20 2016-01-19 Asm International N.V. Apparatus and method for high-throughput atomic layer deposition
IT1393401B1 (it) * 2008-07-28 2012-04-20 Enea Ente Per Le Nuova Tecnologie L En E L Ambiente Metodo per la fabbricazione in linea di strati sottili di zno b trasparente conduttivo e testurizzato su larga area e relativo apparato
EP2159304A1 (en) 2008-08-27 2010-03-03 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO Apparatus and method for atomic layer deposition
TW201014926A (en) * 2008-10-15 2010-04-16 Nat Univ Tsing Hua Method for producing metallic oxide film having high dielectric constant
CN102308368B (zh) * 2008-12-04 2014-02-12 威科仪器有限公司 用于化学气相沉积的进气口元件及其制造方法
GB0822985D0 (en) * 2008-12-18 2009-01-28 Eastman Kodak Co Vapour deposited planar pv cell
US8102114B2 (en) * 2009-02-27 2012-01-24 Global Oled Technology, Llc. Method of manufacturing an inverted bottom-emitting OLED device
US20100221426A1 (en) * 2009-03-02 2010-09-02 Fluens Corporation Web Substrate Deposition System
US20110023775A1 (en) * 2009-07-31 2011-02-03 E.I. Du Pont De Nemours And Company Apparatus for atomic layer deposition
US8657959B2 (en) * 2009-07-31 2014-02-25 E I Du Pont De Nemours And Company Apparatus for atomic layer deposition on a moving substrate
WO2011042328A1 (en) * 2009-10-06 2011-04-14 Oerlikon Solar Ag Trübbach Method of coating a substrate
EP2488678B1 (en) 2009-10-14 2019-01-16 Lotus Applied Technology, LLC Inhibiting excess precursor transport between separate precursor zones in an atomic layer deposition system
US20110120544A1 (en) 2009-11-20 2011-05-26 Levy David H Deposition inhibitor composition and method of use
WO2011062779A1 (en) 2009-11-20 2011-05-26 Eastman Kodak Company Method for selective deposition and devices
US7998878B2 (en) * 2009-11-20 2011-08-16 Eastman Kodak Company Method for selective deposition and devices
US8168546B2 (en) * 2009-11-20 2012-05-01 Eastman Kodak Company Method for selective deposition and devices
US8637123B2 (en) 2009-12-29 2014-01-28 Lotus Applied Technology, Llc Oxygen radical generation for radical-enhanced thin film deposition
EP2360293A1 (en) 2010-02-11 2011-08-24 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Method and apparatus for depositing atomic layers on a substrate
US20110207301A1 (en) * 2010-02-19 2011-08-25 Kormanyos Kenneth R Atmospheric pressure chemical vapor deposition with saturation control
EP2362411A1 (en) 2010-02-26 2011-08-31 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus and method for reactive ion etching
US8803203B2 (en) 2010-02-26 2014-08-12 Eastman Kodak Company Transistor including reentrant profile
US7923313B1 (en) 2010-02-26 2011-04-12 Eastman Kodak Company Method of making transistor including reentrant profile
KR101669953B1 (ko) 2010-03-26 2016-11-09 삼성전자 주식회사 산화물 박막, 산화물 박막의 형성 방법 및 산화물 박막을 포함하는 전자 소자
US8865259B2 (en) 2010-04-26 2014-10-21 Singulus Mocvd Gmbh I.Gr. Method and system for inline chemical vapor deposition
FI124414B (fi) 2010-04-30 2014-08-29 Beneq Oy Lähde ja järjestely substraatin käsittelemiseksi
US20120149210A1 (en) * 2010-07-30 2012-06-14 Colvin Ronald L Systems, apparatuses, and methods for chemically processing substrates using the coanda effect
FI124113B (fi) * 2010-08-30 2014-03-31 Beneq Oy Laitteisto ja menetelmä substraatin pinnan muokkaamiseksi
US20130269767A1 (en) * 2010-09-03 2013-10-17 Tel Solar Ag Method of coating a substrate for manufacturing a solar cell
US8486192B2 (en) 2010-09-30 2013-07-16 Soitec Thermalizing gas injectors for generating increased precursor gas, material deposition systems including such injectors, and related methods
US8133806B1 (en) 2010-09-30 2012-03-13 S.O.I.Tec Silicon On Insulator Technologies Systems and methods for forming semiconductor materials by atomic layer deposition
KR101819781B1 (ko) 2010-10-16 2018-02-28 울트라테크 인크. 원자 층 증착 코팅 시스템
US8383469B2 (en) 2011-01-07 2013-02-26 Eastman Kodak Company Producing transistor including reduced channel length
US8492769B2 (en) 2011-01-07 2013-07-23 Eastman Kodak Company Transistor including multi-layer reentrant profile
WO2012094109A1 (en) 2011-01-07 2012-07-12 Eastman Kodak Company Transistor including reduced channel length
US7985684B1 (en) 2011-01-07 2011-07-26 Eastman Kodak Company Actuating transistor including reduced channel length
EP2661776A2 (en) 2011-01-07 2013-11-13 Eastman Kodak Company Transistor including multiple reentrant profiles
US8847232B2 (en) 2011-01-07 2014-09-30 Eastman Kodak Company Transistor including reduced channel length
US8338291B2 (en) 2011-01-07 2012-12-25 Eastman Kodak Company Producing transistor including multiple reentrant profiles
US8847226B2 (en) 2011-01-07 2014-09-30 Eastman Kodak Company Transistor including multiple reentrant profiles
US8304347B2 (en) 2011-01-07 2012-11-06 Eastman Kodak Company Actuating transistor including multiple reentrant profiles
US8409937B2 (en) 2011-01-07 2013-04-02 Eastman Kodak Company Producing transistor including multi-layer reentrant profile
US20120222620A1 (en) 2011-03-01 2012-09-06 Applied Materials, Inc. Atomic Layer Deposition Carousel with Continuous Rotation and Methods of Use
US8697198B2 (en) 2011-03-31 2014-04-15 Veeco Ald Inc. Magnetic field assisted deposition
DE102011077833A1 (de) * 2011-06-20 2012-12-20 Gebr. Schmid Gmbh Verfahren zur Bearbeitung von Substraten und Vorrichtung dazu
US9000453B2 (en) 2011-06-28 2015-04-07 Osram Sylvania Inc. Electrostatic discharge protection for electrical components, devices including such protection and methods for making the same
US20130001596A1 (en) * 2011-06-28 2013-01-03 Osram Sylvania Inc. Deposition of esd protection on printed circuit boards
WO2013022097A1 (ja) 2011-08-10 2013-02-14 太陽化学工業株式会社 プライマー薄膜を含む構造体及び該構造体の製造方法
EP2557198A1 (en) * 2011-08-10 2013-02-13 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Method and apparatus for depositing atomic layers on a substrate
US8592909B2 (en) 2011-08-26 2013-11-26 Eastman Kodak Company Transistor including single layer reentrant profile
US8617942B2 (en) 2011-08-26 2013-12-31 Eastman Kodak Company Producing transistor including single layer reentrant profile
US8637355B2 (en) 2011-08-26 2014-01-28 Eastman Kodak Company Actuating transistor including single layer reentrant profile
DE102011053229A1 (de) * 2011-09-02 2013-03-07 Solibro Gmbh Abscheideverfahren und Abscheidevorrichtung
US8623757B2 (en) 2011-09-29 2014-01-07 Eastmak Kodak Company Producing a vertical transistor including reentrant profile
US8273654B1 (en) 2011-09-29 2012-09-25 Eastman Kodak Company Producing a vertical transistor including reentrant profile
US8803227B2 (en) 2011-09-29 2014-08-12 Eastman Kodak Company Vertical transistor having reduced parasitic capacitance
US8865576B2 (en) 2011-09-29 2014-10-21 Eastman Kodak Company Producing vertical transistor having reduced parasitic capacitance
US8618003B2 (en) * 2011-12-05 2013-12-31 Eastman Kodak Company Method of making electronic devices using selective deposition
US8507947B2 (en) * 2011-12-09 2013-08-13 Power Integrations, Inc. High quality GaN high-voltage HFETS on silicon
US9748125B2 (en) 2012-01-31 2017-08-29 Applied Materials, Inc. Continuous substrate processing system
US8741772B2 (en) * 2012-02-16 2014-06-03 Intermolecular, Inc. In-situ nitride initiation layer for RRAM metal oxide switching material
US8633068B2 (en) 2012-02-22 2014-01-21 Eastman Kodak Company Vertical transistor actuation
US8698230B2 (en) 2012-02-22 2014-04-15 Eastman Kodak Company Circuit including vertical transistors with a conductive stack having reentrant profile
GB201206096D0 (en) * 2012-04-05 2012-05-16 Dyson Technology Ltd Atomic layer deposition
KR101503031B1 (ko) * 2013-04-25 2015-03-18 한국화학연구원 아미노싸이올레이트를 이용한 납 전구체, 이의 제조방법, 및 이를 이용하여 박막을 형성하는 방법
US8791023B2 (en) 2012-08-31 2014-07-29 Eastman Kodak Company Patterned thin film dielectric layer formation
US8846545B2 (en) 2012-08-31 2014-09-30 Eastman Kodak Company Method of forming patterned thin film dielectric stack
US8653516B1 (en) 2012-08-31 2014-02-18 Eastman Kodak Company High performance thin film transistor
US8927434B2 (en) 2012-08-31 2015-01-06 Eastman Kodak Company Patterned thin film dielectric stack formation
KR102003768B1 (ko) 2012-11-13 2019-07-26 삼성디스플레이 주식회사 기상 증착 장치 및 유기 발광 표시 장치 제조 방법
DE102012221080A1 (de) * 2012-11-19 2014-03-06 Osram Opto Semiconductors Gmbh Verfahren zur Herstellung einer Schicht auf einem Oberflächenbereich eines elektronischen Bauelements
CN102992389B (zh) * 2012-12-13 2015-02-18 上海纳米技术及应用国家工程研究中心有限公司 一种生长氧化锌纳米线阵列的制备方法
US9175389B2 (en) * 2012-12-21 2015-11-03 Intermolecular, Inc. ALD process window combinatorial screening tool
TWI644073B (zh) 2013-03-11 2018-12-11 美商應用材料股份有限公司 高溫處理室蓋體
KR102264011B1 (ko) 2013-05-24 2021-06-10 나넥사 에이비 무기성 코팅을 갖는 고형의 나노입자
US8946070B2 (en) 2013-06-19 2015-02-03 Eastman Kodak Company Four terminal transistor fabrication
US20140374806A1 (en) 2013-06-19 2014-12-25 Lee W. Tutt Four terminal transistor
US8921236B1 (en) 2013-06-21 2014-12-30 Eastman Kodak Company Patterning for selective area deposition
US8937016B2 (en) 2013-06-21 2015-01-20 Eastman Kodak Company Substrate preparation for selective area deposition
KR20150029427A (ko) 2013-09-10 2015-03-18 삼성디스플레이 주식회사 기상 증착 장치, 기상 증착 방법 및 유기 발광 표시 장치 제조 방법
CN104746039A (zh) * 2013-12-31 2015-07-01 嘉兴科民电子设备技术有限公司 一种铝掺杂氧化锌薄膜的制备方法
KR101463105B1 (ko) * 2014-01-02 2014-12-04 연세대학교 산학협력단 황화 텅스텐층 형성 방법 및 황화 텅스텐층 형성 장치
US9147770B1 (en) 2014-03-06 2015-09-29 Eastman Kodak Company VTFT with extended electrode
US9331205B2 (en) 2014-03-06 2016-05-03 Eastman Kodak Company VTFT with post, cap, and aligned gate
US9142647B1 (en) 2014-03-06 2015-09-22 Eastman Kodak Company VTFT formation using selective area deposition
US9153698B2 (en) 2014-03-06 2015-10-06 Eastman Kodak Company VTFT with gate aligned to vertical structure
US9117914B1 (en) 2014-03-06 2015-08-25 Eastman Kodak Company VTFT with polymer core
WO2015134082A1 (en) 2014-03-06 2015-09-11 Eastman Kodak Company Vtft with polymer core
US9093470B1 (en) 2014-03-06 2015-07-28 Eastman Kodak Company VTFT formation using capillary action
US9153445B2 (en) 2014-03-06 2015-10-06 Eastman Kodak Company Forming a VTFT with aligned gate
US9236486B2 (en) 2014-03-06 2016-01-12 Eastman Kodak Company Offset independently operable VTFT electrodes
US9202898B2 (en) 2014-03-06 2015-12-01 Eastman Kodak Company Fabricating VTFT with polymer core
US9123815B1 (en) 2014-03-06 2015-09-01 Eastman Kodak Company VTFTs including offset electrodes
US9214560B2 (en) 2014-03-06 2015-12-15 Eastman Kodak Company VTFT including overlapping electrodes
US9129993B1 (en) 2014-03-06 2015-09-08 Eastman Kodak Company Forming a VTFT using printing
US9198283B2 (en) 2014-03-06 2015-11-24 Eastman Kodak Company Vertically spaced electrode structure
US9178029B2 (en) 2014-03-06 2015-11-03 Eastman Kodak Company Forming a VTFT gate using printing
KR101574948B1 (ko) * 2014-04-10 2015-12-07 주식회사 테스 공정가스의 흐름조정장치
EP2960059B1 (en) 2014-06-25 2018-10-24 Universal Display Corporation Systems and methods of modulating flow during vapor jet deposition of organic materials
US11267012B2 (en) 2014-06-25 2022-03-08 Universal Display Corporation Spatial control of vapor condensation using convection
US11220737B2 (en) * 2014-06-25 2022-01-11 Universal Display Corporation Systems and methods of modulating flow during vapor jet deposition of organic materials
WO2016011412A1 (en) 2014-07-17 2016-01-21 Ada Technologies, Inc. Extreme long life, high energy density batteries and method of making and using the same
US9368490B2 (en) 2014-10-29 2016-06-14 Eastman Kodak Company Enhancement-depletion mode inverter with two transistor architectures
US9634145B2 (en) 2014-10-29 2017-04-25 Eastman Kodak Company TFT substrate with variable dielectric thickness
US9443887B1 (en) 2015-06-12 2016-09-13 Eastman Kodak Company Vertical and planar TFTS on common substrate
US9620501B1 (en) 2014-09-16 2017-04-11 Eastman Kodak Company Enhancement-depletion mode circuit element with differential passivation
US9368491B2 (en) 2014-10-29 2016-06-14 Eastman Kodak Company Enhancement mode inverter with variable thickness dielectric stack
US10217571B2 (en) 2015-05-21 2019-02-26 Ada Technologies, Inc. High energy density hybrid pseudocapacitors and method of making and using the same
US11996564B2 (en) 2015-06-01 2024-05-28 Forge Nano Inc. Nano-engineered coatings for anode active materials, cathode active materials, and solid-state electrolytes and methods of making batteries containing nano-engineered coatings
US9653493B2 (en) 2015-06-12 2017-05-16 Eastman Kodak Company Bottom-gate and top-gate VTFTs on common structure
US9401430B1 (en) 2015-06-12 2016-07-26 Eastman Kodak Company VTFT with a top-gate structure
WO2017023797A1 (en) 2015-07-31 2017-02-09 Ada Technologies, Inc. High energy and power electrochemical device and method of making and using same
KR102420015B1 (ko) * 2015-08-28 2022-07-12 삼성전자주식회사 Cs-ald 장치의 샤워헤드
US10566534B2 (en) 2015-10-12 2020-02-18 Universal Display Corporation Apparatus and method to deliver organic material via organic vapor-jet printing (OVJP)
CN106000409B (zh) * 2016-05-19 2018-12-04 陕西师范大学 用于co2氧化乙苯脱氢制苯乙烯的铁基复合氧化物催化剂
TWI650889B (zh) * 2016-07-22 2019-02-11 南韓商Ncd股份有限公司 在oled上形成無機薄層的方法
US9859308B1 (en) 2016-07-29 2018-01-02 Eastman Kodak Company Multiple TFTs on common vertical support element
US9799752B1 (en) 2016-10-31 2017-10-24 Eastman Kodak Company Method for forming a thin-film transistor
US10400332B2 (en) * 2017-03-14 2019-09-03 Eastman Kodak Company Deposition system with interlocking deposition heads
US10422038B2 (en) 2017-03-14 2019-09-24 Eastman Kodak Company Dual gas bearing substrate positioning system
US20180265977A1 (en) 2017-03-14 2018-09-20 Eastman Kodak Company Deposition system with vacuum pre-loaded deposition head
US10895011B2 (en) 2017-03-14 2021-01-19 Eastman Kodak Company Modular thin film deposition system
US11248292B2 (en) 2017-03-14 2022-02-15 Eastman Kodak Company Deposition system with moveable-position web guides
US10550476B2 (en) 2017-03-14 2020-02-04 Eastman Kodak Company Heated gas-bearing backer
US10584413B2 (en) 2017-03-14 2020-03-10 Eastman Kodak Company Vertical system with vacuum pre-loaded deposition head
US10435788B2 (en) 2017-03-14 2019-10-08 Eastman Kodak Deposition system with repeating motion profile
US10501848B2 (en) 2017-03-14 2019-12-10 Eastman Kodak Company Deposition system with modular deposition heads
US11024846B2 (en) 2017-03-23 2021-06-01 Ada Technologies, Inc. High energy/power density, long cycle life, safe lithium-ion battery capable of long-term deep discharge/storage near zero volt and method of making and using the same
CN107201509A (zh) * 2017-05-17 2017-09-26 李哲峰 一种具有同一等离子体源的原子层沉积装置及方法
CN107313028B (zh) * 2017-07-11 2023-10-10 秦皇岛博硕光电设备股份有限公司 原子层沉积装置及具有孔状结构的基材的原子层沉积方法
US20190186008A1 (en) * 2017-12-19 2019-06-20 Eastman Kodak Company Process for forming compositionally-graded thin films
US20190186012A1 (en) * 2017-12-19 2019-06-20 Eastman Kodak Company Thin-film optical device with varying layer composition
FI128427B (en) 2018-04-12 2020-05-15 Beneq Oy Nozzle head and device
KR102449895B1 (ko) 2018-05-18 2022-09-30 삼성전자주식회사 반도체 장치와 그 제조 방법
US11306396B2 (en) * 2018-11-30 2022-04-19 Meidensha Corporation Oxide film forming device
CN109534404B (zh) * 2018-12-13 2021-05-04 上海纳米技术及应用国家工程研究中心有限公司 表面改性氧化钨纳米材料的制备方法及其产品和应用
GB2585077A (en) 2019-06-28 2020-12-30 Nanexa Ab Apparatus
EP4014272A1 (en) 2019-08-13 2022-06-22 Graphenix Development, Inc. Anodes for lithium-based energy storage devices, and methods for making same
US11495782B2 (en) 2019-08-26 2022-11-08 Graphenix Development, Inc. Asymmetric anodes for lithium-based energy storage devices
JP6860048B2 (ja) * 2019-08-30 2021-04-14 株式会社明電舎 原子層堆積方法
US20220064792A1 (en) * 2020-08-25 2022-03-03 Innovalens B.V. Partial coating of intraocular lenses using spatial atomic layer deposition
CN113088932A (zh) * 2021-03-30 2021-07-09 天津理工大学 一种晶圆级层数可控硫化钼及其制备方法
DE102021206168A1 (de) 2021-06-16 2022-12-22 Carl Zeiss Smt Gmbh Verfahren zum Abscheiden einer Deckschicht, reflektives optisches Element für den EUV-Wellenlängenbereich und EUV-Lithographiesystem
US11320393B1 (en) 2021-08-03 2022-05-03 King Abdulaziz University Gas sensor for detection of toxic gases
DE102021214362A1 (de) 2021-12-15 2023-06-15 Carl Zeiss Smt Gmbh Verfahren zur Herstellung einer Schutzabdeckung und EUV-Lithographiesystem
CN117525212B (zh) * 2024-01-04 2024-03-19 无锡松煜科技有限公司 一种太阳能电池钝化结构及其制备方法
CN117727695B (zh) * 2024-02-07 2024-05-07 中国科学院长春光学精密机械与物理研究所 一种降低漏电的cmos器件及其制备方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS57130435U (ja) * 1981-02-06 1982-08-14
US4413022A (en) * 1979-02-28 1983-11-01 Canon Kabushiki Kaisha Method for performing growth of compound thin films
JPS6482631A (en) * 1987-09-25 1989-03-28 Mitsubishi Electric Corp Atmospheric pressure cvd system
US6015590A (en) * 1994-11-28 2000-01-18 Neste Oy Method for growing thin films
US6821563B2 (en) * 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3850679A (en) * 1972-12-15 1974-11-26 Ppg Industries Inc Chemical vapor deposition of coatings
US4731255A (en) * 1984-09-26 1988-03-15 Applied Materials Japan, Inc. Gas-phase growth process and an apparatus for the same
FI118474B (fi) * 1999-12-28 2007-11-30 Asm Int Laite ohutkalvojen valmistamiseksi
US20030116087A1 (en) * 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US20050084610A1 (en) * 2002-08-13 2005-04-21 Selitser Simon I. Atmospheric pressure molecular layer CVD
US20040065255A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4413022A (en) * 1979-02-28 1983-11-01 Canon Kabushiki Kaisha Method for performing growth of compound thin films
JPS57130435U (ja) * 1981-02-06 1982-08-14
JPS6482631A (en) * 1987-09-25 1989-03-28 Mitsubishi Electric Corp Atmospheric pressure cvd system
US6015590A (en) * 1994-11-28 2000-01-18 Neste Oy Method for growing thin films
US6821563B2 (en) * 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014123675A (ja) * 2012-12-21 2014-07-03 Tokyo Electron Ltd 成膜方法
JP2019513189A (ja) * 2016-04-01 2019-05-23 スリーエム イノベイティブ プロパティズ カンパニー ロールツーロール原子層堆積装置及び方法
US11473196B2 (en) 2020-03-25 2022-10-18 Kokusai Electric Corporation Substrate processing apparatus
US11926893B2 (en) 2020-03-25 2024-03-12 Kokusai Electric Corporation Substrate processing apparatus, substrate processing method and non-transitory computer-readable recording medium therefor

Also Published As

Publication number Publication date
EP1999295B1 (en) 2013-08-07
CN101415860A (zh) 2009-04-22
US20070238311A1 (en) 2007-10-11
WO2007126585A2 (en) 2007-11-08
TWI396768B (zh) 2013-05-21
TW200808997A (en) 2008-02-16
JP5149272B2 (ja) 2013-02-20
US7413982B2 (en) 2008-08-19
WO2007126585A3 (en) 2008-04-24
KR20080109002A (ko) 2008-12-16
EP1999295A2 (en) 2008-12-10

Similar Documents

Publication Publication Date Title
JP5149272B2 (ja) 原子層堆積法
US7456429B2 (en) Apparatus for atomic layer deposition
US10351954B2 (en) Deposition system and method using a delivery head separated from a substrate by gas pressure
EP2191499B1 (en) Process for making doped zinc oxide
US7858144B2 (en) Process for depositing organic materials
US7851380B2 (en) Process for atomic layer deposition
US8207063B2 (en) Process for atomic layer deposition

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100304

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100304

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110301

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120622

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120703

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120925

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20121120

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20121129

R150 Certificate of patent or registration of utility model

Ref document number: 5149272

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20151207

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees