CN101415860A - 原子层沉积方法 - Google Patents

原子层沉积方法 Download PDF

Info

Publication number
CN101415860A
CN101415860A CNA2007800117282A CN200780011728A CN101415860A CN 101415860 A CN101415860 A CN 101415860A CN A2007800117282 A CNA2007800117282 A CN A2007800117282A CN 200780011728 A CN200780011728 A CN 200780011728A CN 101415860 A CN101415860 A CN 101415860A
Authority
CN
China
Prior art keywords
base material
output channel
gaseous substance
gaseous
distributing manifold
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2007800117282A
Other languages
English (en)
Inventor
D·H·李维
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Eastman Kodak Co
Original Assignee
Eastman Kodak Co
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Eastman Kodak Co filed Critical Eastman Kodak Co
Publication of CN101415860A publication Critical patent/CN101415860A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • C23C16/545Apparatus specially adapted for continuous coating for coating elongated substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/407Oxides of zinc, germanium, cadmium, indium, tin, thallium or bismuth
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45504Laminar flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B33ADDITIVE MANUFACTURING TECHNOLOGY
    • B33YADDITIVE MANUFACTURING, i.e. MANUFACTURING OF THREE-DIMENSIONAL [3-D] OBJECTS BY ADDITIVE DEPOSITION, ADDITIVE AGGLOMERATION OR ADDITIVE LAYERING, e.g. BY 3-D PRINTING, STEREOLITHOGRAPHY OR SELECTIVE LASER SINTERING
    • B33Y80/00Products made by additive manufacturing

Landscapes

  • Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Fluid Mechanics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本发明涉及在基材上沉积薄膜的沉积方法,所述方法包括提供包含至少第一气态物质、第二气态物质和第三气态物质的多种气态物质,其中第一气态物质和第二气态物质相互为反应性,使得在第一气态物质或第二气态物质之一在基材的表面上时,第一气态物质或第二气态物质的另一种物质反应以在基材上沉积物质层,并且其中第三气态物质相对于与第一气态物质或第二气态物质反应为惰性。所述方法包括使气态物质沿着多个伸长通道的长度方向跨与其接近的基材表面流动。

Description

原子层沉积方法
发明领域
本发明整体涉及薄膜物质的沉积,更具体地讲,涉及利用允许跨基材横向气体流动的分配歧管在基材上沉积原子层的方法。
发明背景
在薄膜沉积广泛使用的技术中,化学气相沉积(CVD)用化学反应性分子在反应室中反应,以在基材上沉积所需薄膜。用于CVD应用的分子前体包括待沉积薄膜的单质(原子)成分,一般也包括其他成分。为了在基材上反应形成薄膜,CVD前体为在气相输送到室的挥发性分子。化学反应沉积所需薄膜厚度的薄膜。
大多数CVD技术一般需要将精确控制的一种或多种分子前体流加入CVD反应器。基材在控制压力条件下保持在精确控制温度,以促进这些分子前体之间的化学反应,同时有效除去副产物。获得最佳CVD性能需要在整个过程中达到并维持气流、温度和压力的稳态条件,并使瞬变过程达到最小或消除。
尤其在半导体、集成电路和其他电子器件领域,需要超过常规CVD技术可取得限度的具有优良保形涂覆性质的薄膜,尤其是较高品质的致密薄膜,尤其是能够在较低温度制成的薄膜。
原子层沉积(“ALD”)是一种供选的薄膜沉积技术,与前面CVD技术相比,这种技术可提供改善的厚度分辨率和保形能力。ALD过程将常规CVD的常规薄膜沉积过程分成单原子层沉积步骤。最好ALD步骤自己终止,并且在进行到或超过自身终止暴露时间时能够精确沉积一个原子层。原子层一般为0.1至0.5个分子单层,并且一般尺寸大约不大于数个埃。在ALD中,沉积原子层是反应性分子前体和基材化学反应的结果。在各个单独的ALD反应沉积步骤中,纯反应沉积所需原子层,并且实质消除最初在分子前体中包含的“额外”原子。在其最纯态,ALD在其他反应前体完全不存在下包括各前体的吸收和反应。实际上,在任何方法中都难以避免不同前体的一些直接反应,产生少量化学气相沉积反应。为进行ALD提出的方法的目的是获得装置性能,并归结为与ALD方法相称,同时认识到能够容许少量CVD反应。
在ALD应用中,一般在单独阶段将两种分子前体引入ALD反应器。例如,金属前体分子MLx包括结合到原子或分子配位体L的金属元素M。例如,M可以为但不限于Al、W、Ta、Si、Zn等。在基材表面经制备能与分子前体直接反应时,金属前体与基材反应。例如,基材表面一般经制备,以包含能与金属前体反应的含氢配位体AH等。硫(S)、氧(O)和氮(N)为一般A物质。气态前体分子与基材表面上的所有配位体有效反应,致使沉积单一金属原子层:
基材-AH+MLx→基材-AMLx-1+HL          (1)
其中HL为反应副产物。反应期间,初始表面配位体AH消耗,表面变得以L配位体覆盖,L配位体可不进一步与金属前体MLx反应。因此,在表面上所有的初始AH配位体以AMLx-1代替时,反应自己终止。在反应阶段后一般为惰性气体吹扫阶段,在单独引入其他单体前,惰性气体吹扫阶段从室中排除过量的金属前体。
然后用第二分子前体恢复基材对金属前体的表面反应性。这例如通过除去L配位体和重新沉积AH配位体来进行。在此情况下,第二前体一般包含所需(通常为非金属)元素A(即,O、N、S)和氢(即H2O、NH3、H2S)。下一步反应如下:
基材-A-ML+AHY→基材-A-M-AH+HL            (2)
这使表面回转到其AH覆盖态。(例如,为了简单起见,化学反应未配平)。所需的其他元素A结合到薄膜,不需要的配位体L作为挥发性副产物排除。反应再一次消耗反应部位(这一次为L终止的部位),并且在基材上的反应部位完全耗尽时自身终止。然后,第二分子前体通过在第二吹扫阶段使惰性吹扫气体流动从沉积室移除。
这样,概括地讲,ALD方法需要按次序改变化学物质到基材的流量。以上讨论的代表性ALD方法为具有四个不同操作阶段的周期:
1.MLx反应;
2.清除MLx
3.AHy反应;和
4.清除AHy,然后回到阶段1。
表面反应和前体移除交替的这一重复序列以居间吹扫操作使基材表面恢复到其初始反应状态是一种典型的ALD沉积周期。ALD操作的关键特点是基材恢复到其初始表面化学条件。利用这一组重复步骤,可使薄膜以相等计量层成层到基材,这些层在化学动力学、每周期的沉积、组成和厚度方面完全相同。
可用ALD作为制造步骤形成多种薄膜电子器件,包括半导体器件和支持电子元件,如电阻器和电容器、绝缘体、总线线路及其他导电结构。ALD特别适用于形成电子器件的元件中的金属氧化物薄层。可用ALD沉积的功能物质的一般种类包括导体、电介质或绝缘体及半导体。
导体可以为任何可用的导电物质。例如,导体可包括透明导体,如氧化锡铟(ITO)、ZnO、SnO2或In2O3。导体的厚度可以变化,根据特别实例,可以为50至1000nm。
电介质使图形电路的不同部分电绝缘。电介质层也可被称为绝缘体或绝缘层。用作电介质的物质的具体实例包括strontiates、钽酸盐、钛酸盐、锆酸盐、氧化铝、氧化硅、氧化钽、氧化铪、氧化钛、硒化锌和硫化锌。此外,可用这些实例的合金、组合和多层作为电介质。在这些物质中,氧化铝是优选的。
电介质结构层可包括两个或更多个具有不同介电常数的层。这些绝缘体讨论于美国专利5,981和同时待审的美国申请11/088,645。电介质材料一般显示大于5eV的带隙。所用电介质层的厚度可以变化,根据特别实例,可以为10至300nm。
所用半导体物质的实例为化合物半导体,如砷化镓、氮化镓、硫化镉、氧化锌和硫化锌。
可用上述功能层制造多种器件结构。可通过选择具有适度到不良导电性的导电物质制造电阻器。可通过在两个导体之间放置电介质制造电容器。可通过在两个导电电极之间放置两个互补载流子类型的半导体制造二极管。也可在互补载流子类型的半导体之间布置半导体区域,该半导体区域是固有的,表明此区域具有少量自由电荷载流子。也可通过在两个导体之间放置单一半导体构成二极管,其中导体/半导体的一个界面产生以一个方向强力阻碍电流的肖特基势垒。晶体管可通过在导体(栅)上放置绝缘层,随后放置半导体层制造。如果两个或多个其他导体电极(源和漏)分开与顶部半导体层接触布置,也可形成晶体管。可以不同结构产生任何以上器件,只要产生临界界面。
大气压方法使用的半导体物质应显示数种性质。在薄膜晶体管的一般应用中,需要能够控制电流通过器件的开关。因此,需要在开关接通时,高电流能够通过器件。电流的范围与半导体电荷载流子迁移率相关。当器件断开时,需要电流很小。这与电荷载流子浓度相关。此外,需要器件受可见光微弱影响或根本不受影响。为了使这成为实际,半导体带隙应足够大(>3eV),以使暴露于可见光不产生带间跃迁。能够产生高迁移率、低载流子浓度和高带隙的物质是ZnO。此外,在基于实高体积网(real high volume web)的大气压制造方案中,在方法中使用的化学物质廉价且低毒非常合乎需要,这可用ZnO及其大多数前体满足。
根据本发明方法制成的半导体薄膜显示大于0.01cm2/Vs,优选至少0.1cm2/Vs,更优选大于0.2cm2/Vs的场效应电子迁移率。此外,根据本发明制成的n-通道半导体薄膜能够提供至少104,最好至少105的通/断(on/off)比率。通/断比率测量为栅压从1个值扫描到另一个值(代表可能用于显示器栅极线上的相关电压)时的漏电流的最大值/最小值。一般组值为-10V至40V,漏电压保持在30V。自饱和表面反应使ALD对传送不均匀性不敏感,由于工程容差和流程限制或者与表面形貌有关,这可能另外削弱表面均匀性(即,沉积成三维高长宽比结构)。通常,在反应过程中化学物质的不均匀流量一般导致在不同区域不同完成次数。然而,利用ALD允许各反应在整个基材表面上完成。因此,完成动力学的差异没有对均匀性产生不利。这是因为首先完成反应的区域自身终止反应;其他区域能够继续,直到完全处理的表面经历预期反应。
一般ALD方法在单一ALD周期中沉积0.1-0.2nm薄膜(利用以前所列的编号步骤1至4)。为了对很多或大多数半导体应用提供3nm至30nm范围均匀薄膜厚度,甚至是其他应用的较厚薄膜,应达到有用且经济可行的周期时间。工业通过标准要求基材2分钟至3分钟内处理,这意味ALD周期时间应为0.6秒至6秒。
ALD为提供控制水平的高度均匀薄膜沉积给予相当大希望。然而,为了利用其内在能力,一些技术限制仍然保留。一个重要考虑涉及所需的周期数。由于其重复反应物和吹扫周期,有效使用ALD需要能够与快速进行吹扫周期一起突然使化学物质流从MLx改变到AHy的过程。常规的ALD方法设计是以所需次序使不同气态物质快速脉冲到基材上。然而获得以所需速度且在没有一些不需要混合下将所需系列气态配制物引入室中的可行方案很难。另外,为了允许成本有效涂覆很多基材,ALD方法应能够对于很多周期有效和可靠执行这一定序。
为了在任何给定反应温度使ALD反应需要达到自身终止的时间达到最少限度,一种方法用被称为的“脉冲”方法使化学物质流入ALD反应器的流量达到最大程度。为了使化学物质进入ALD反应器的流量最大化,有利以最小惰性气体稀释并在高压将分子前体引入ALD反应器。然而,这些措施对需要达到短周期时间和快速从ALD反应器移除这些分子前体产生消极影响。快速移除又会要求在ALD反应器中的气体停留时间减少到最低限度。气体停留时间τ与反应器的体积V、在ALD反应器中的压力P和流量Q的倒数成比例,即:
τ=VP/Q                       (3)
因此,降低在ALD反应器中的压力有利于低气体停留时间,并增加从ALD反应器移除(清除)化学前体的速度。相比之下,使ALD反应时间最少化需要在ALD反应器内利用高压使化学前体进入ALD反应器的流量最大化。此外,气体停留时间和化学使用效率与流量成反比。因此,尽管降低流量能够提高效率,但也增加了气体停留时间。
现有的ALD方法在需要缩短反应时间和改善化学利用效率之间折衷,另一方面,需要使吹扫气体停留时间和化学移除时间最少化。克服“脉冲”输送气态物质固有限制的一种方法是连续提供各反应气体,并使基材连续通过各气体移动。例如,授予Yudovsky的美国专利6,821,563(标题为“GAS DISTRIBUTION SYSTEM FOR CYCLICALLAYER DEPOSITION”)描述在真空下具有用于前体和吹扫气体的单独气体口并且与各气体口之间的真空泵口交替的处理室。各气体口将其气流向下垂直引向基材。单独气流由壁或间壁分隔,并且具有用于在各气流的两侧抽空气体的真空泵。各间壁的下部接近基材延伸,从基材表面例如0.5mm或更大。以此方式,间壁的下部从基材表面离开足够距离,此距离足以允许气流在与基材表面反应后,围绕下部向真空口流动。
提供旋转式可旋转或其他输送装置保持一个或多个晶片基材。以此布置,使基材在不同气流下往返移动,从而实现ALD沉积。在一个实施方案中,基材以线形路径通过室移动,其中基材前后通过多次。
利用连续气流的另一种方法说明于授予Suntola等人的标题为“METHOD FOR PERFORMING GROWTH OF COMPOUND THINFILMS”的美国专利4,413,022中。气流列具有交替源气体开口、载气开口和真空排气口。在所述列上往复移动基材同样实现ALD沉积而不需要脉冲操作。在图13和14的实施方案中,尤其通过在源开口固定列上往复移动基材产生基材表面和反应性蒸气的依序相互作用。扩散壁垒由排气开口之间的载气开口形成。Suntola等人声称,此实施方案甚至可在大气压操作,尽管提供很少或没有提供此方法或实例的细节。
虽然例如在′563 Yudovsky和′022 Suntola等公开中所述的方法可避免脉冲气体方法固有的一些难题,但这些方法也有其他缺陷。如两个公开中所述,以垂直方向直接向表面驱使气流产生阻挡层效应,此效应使气流复杂化,并可导致不需要的混合。′563 Yudovsky公开的气流输送装置和′022 Suntola等公开的气流列均需要用于排出废气的位于气流供应口之间的真空口。这妨碍这些装置的紧凑性,并为实现ALD暴露,增加需要的基材移动距离。另外,在列中不同点保持均匀真空和在补充压力保持同步气流和真空会很难,因此,危害提供到基材表面的气流的均匀性。′563 Yudovsky公开的气流输送装置和′022Suntola等公开的气流列均不能比0.5mm更近接近基材使用,因为需要在垂直方向(即垂直于基材表面的方向)保持气流。′563 Yudovsky公开的气流输送装置和′022 Suntola等公开的气流列分别都提供气流和真空,两者的复杂布置使这些解决方法难以实行,缩放比例费用大,限制了在有限尺寸移动基材上沉积应用的潜在适用性。
授予Selitser的美国专利公开2005/0084610公开一种大气压原子层化学气相沉积方法。Selitser等人阐述通过使操作压力改变到大气压额外增加反应速率,这包括反应物浓度的数量级增加,随之提高表面反应物速率。Selitser等人的实施方案包括用于方法各阶段的单独室,尽管图10显示其中室壁移除的一个实施方案。一系列分离的注射器围绕旋转的圆形基材支架轨道隔开。各注射器独立结合被操作的反应物、吹扫和排气歧管,控制并作为一个完全单层沉积和反应物吹扫周期用于在过程下通过的各基材。Selitser等人未描述或描述很少气体注射器或歧管的具体细节,尽管它们声称选择注射器间距,以便通过吹扫气流防止交叉污染相邻的注射器,并且排出歧管结合在各注射器中。
为了产量更高且制造成本更低,ALD方法允许薄膜沉积于大表面上或移动网上特别有利。然而ALD方法的常规设计不易给予此灵活性。例如,常规方法给予很小获得跨较大基材或网装置表面输送的ALD气体分配方法的希望。
因此,需要一种ALD方法,所述方法能够达到短反应时间和良好的化学利用效率,适用于较大尺寸基材,适合高速操作,包括在或接近大气压的优选方法,并且能够经济地制造和操作。
发明概述
本发明人已研发一种有利的ALD变型,这种变型允许基材连续暴露于在ALD反应系统中使用的气态物质,同时避免在暴露于基材后使用真空清除各反应性气态物质。发明人将这种新的独特方法称为多横流ALD或MTF-ALD。不受理论限制,相信横流实质用通过薄扩散层的扩散过程从基材的表面提供气态物质并从基材的表面将气态物质移除。通过新的气态物质在扩散层上连续流动,保持通过扩散层的扩散梯度。横流可用开放位于基材表面上的伸长出口通道提供。
在本发明的一个实施方案中,在基材上沉积薄膜物质的方法包括:
(a)提供包含至少第一气态物质、第二气态物质和第三气态物质的多种气态物质,其中第一气态物质和第二气态物质相互为反应性,使得在第一气态物质或第二气态物质之一在基材的表面上时,第一气态物质或第二气态物质的另一种物质反应以在基材上沉积至少一个原子物质层,并且其中第三气态物质相对于与第一气态物质或第二气态物质反应为惰性;
(b)提供待经历物质薄膜沉积的基材;和
(c)使第一气态物质、第二气态物质和第三气态物质分别流入多个伸长的通道,各通道以长度方向实质平行延伸,所述通道包括至少一个第一输出通道、第二输出通道和第三输出通道,分别用于第一气态物质、第二气态物质和第三气态物质,其中各通道优选以自基材表面以下1mm的距离沿着基本平行于基材表面的通道的长度方向实质引导相应第一气态物质、第二气态物质或第三气态物质之一的流。
在过程期间,基材或用于气态物质的分配歧管或两者能够在分配歧管的输出面和基材之间提供相对移动,同时保持预定紧密接近。
在一个优选的实施方案中,可用经过薄膜沉积的基材连续移动操作所述方法,其中所述方法能够将载体输送到分配歧管上或作为网通过分配歧管,优选在处于实质大气压的非密封环境。
本发明的一个优点是提供非常适合许多不同类型基材和沉积环境的在基材上沉积原子层的紧凑方法。
本发明的另一个优点是允许在优选的实施方案中在大气压条件下操作。
本发明的另一个优点是适合在网上或其他移动基材上沉积,包括在大面积基材上沉积。
本发明的另一个优点是可用于大气压力的低温方法,此方法可在对环境大气开放的非密封环境实施。
本领域技术人员通过阅读以下详细说明并结合显示和描述本发明示例实施方案的附图,本发明的这些和其他目的、特征和优点将变得显而易见。
附图简述
虽然本说明通过特别指出并清楚地要求本发明主题的权利要求做出结论,但相信通过以下详述并结合附图,可更好地理解本发明,其中:
图1为描述本发明方法的步骤的流程图;
图2为可用于本发明方法的原子层沉积的分配歧管的一个实施方案的横截面侧视图;
图3为对于一个气态物质示例系统的气态物质分配到经历薄膜沉积的基材的一个实施方案的横截面侧视图;
图4A和4B为示意显示伴随沉积操作的气态物质系统分配的一个实施方案的横截面侧视图;
图5为从可用于在基材上沉积的分配歧管的一个实施方案的一部分的输出面侧的透视图,显示输出通道相对于基材和往复移动的取向;
图6为如图5的透视图,显示分配歧管中气流的一种示例布置;
图7A、7B、7C和7D为正交于前面图2-4B的横截面图截取的横截面图,显示不同实施方案中输出通道的气流方向;
图8A和8B为显示用于提供气态物质的分配歧管的输出面到基材表面的距离减小的影响的横截面图;
图9为可用于本发明方法的如图3所示分配歧管的输出面的平面图,显示气态物质移动通过本发明一个实施方案的输出通道布置;
图10为可用于本发明方法的由堆叠板形成的分配歧管的一个实施方案的透视图;
图11A和11B为利用图10所示堆叠板结构的分配歧管结构的分解图,分解图显示用于方法中所用不同气体的两个不同输出通道;
图12为显示使用沿着分配歧管的周边引导的惰性气体的封罩的实施方案的透视图;
图13为显示往复和正交移动的供选运动模型的示意图;
图14为利用本发明的方法的沉积系统的一个实施方案的示意流程图;
图15为显示根据本发明方法施加到移动网的沉积系统的一个实施方案的示意流程图;
图16为显示根据本发明方法利用静态分配歧管施加到移动网的沉积系统的另一个实施方案的示意流程图;
图17为可用于本发明方法的具有曲率输出面的分配歧管的一个实施方案的横截面侧视图;
图18为根据实施例的薄膜沉积方法的源物质的示意流程图;
图19为在本发明方法中使用的分配歧管的横截面侧视图,显示气态物质提供到经过实施例的薄膜沉积过程的基材的布置。
发明详述
对于以下说明,所用术语“气体”或“气态物质”在广泛意义上包括任何范围的蒸发或气态单质、化合物或物质。本文所用其他术语,如反应物、前体、真空和惰性气体,均具有物质沉积领域技术人员了解的普通含义。提供的附图未按比例绘制,而旨在显示本发明一些实施方案的全部功能和结构布置。
本发明的方法从常规方法有意义偏离到ALD,利用将气态物质输送到基材表面的紧凑分配系统,适应在较大和基于网的基材上沉积,并且能够以提高的通过速度得到高度均匀的薄膜沉积。本发明的方法利用连续(相对于脉冲)气态物质分配。本发明的方法允许在大气压或接近大气压和真空下操作,并且能够在非密封或开放空气环境操作。
图1为根据本发明一个实施方案实施MTF-ALD方法的一个实施方案的一般步骤图,其中使用两种反应气体,第一分子前体和第二分子前体。气体从气体源提供,并可例如通过分配歧管输送到基材。可使用将气态物质提供到分配歧管的计量和阀控装置。
如步骤1所示,为系统连续供应用于在基材上沉积薄膜物质的气态物质。在序列15中的步骤依序应用。在步骤2中,对于给定基材区域(称为通道区域),引导第一分子前体或反应性气态物质在第一通道中横向于基材通道区域上流动并与其反应。在步骤3中,在系统中发生基材和多通道流的相对移动,这准备步骤4的阶段,在步骤4中在给定通道区域上出现具有惰性气体的第二通道(吹扫)流。然后,在步骤5中,基材和多通道流的相对移动准备步骤6的阶段,在步骤6中给定通道区域经历原子层沉积,其中第二分子前体现在在基材的给定通道区域上横向流动(基本平行于基材的表面),并与基材上的以前层反应,以产生(理论上)单层所需物质。通常在这些方法中,第一分子前体为含金属的气态化合物,并且沉积的物质为含金属化合物,例如有机金属化合物,如二乙基锌。在此实施方案中,第二分子前体可以为例如非金属氧化化合物。
在步骤7中,基材和多通道流的相对移动准备步骤8的阶段,在步骤8再次使用惰性气体,这一次吹扫来自前面步骤6的给定通道区域的过量第二分子前体。在步骤9中,再次发生基材和多通道的相对移动,这准备重复序列的阶段,回到步骤2。此周期根据需要重复很多次,以建立所需薄膜。在此方法的实施方案中,对相当于流通道覆盖区域的基材给定通道区域重复这些步骤。同时,用步骤1中必要的气态物质供给不同通道。与图1中框15序列同时,同时处理其他相邻的通道区域,这产生如总体步骤11所示的平行多通道流。
第二分子前体的主要用途是将基材表面朝向与第一分子前体的反应性回调。第二分子前体也从分子气体提供物质,以在表面与金属结合,与含金属的新沉积前体形成化合物,如氧化物、氮化物、硫化物等。
本发明的独特之处在于连续ALD吹扫不需要在分子前体施加到基材后用真空清除除去分子前体。大多数研究者认为吹扫步骤是ALD方法中最重要的通过量限制步骤。
假定对图1中的两种反应气体使用例如AX和BY。在提供反应气体AX流并在给定基材区域上流动时,反应气体AX的原子被化学吸附在基材上,产生A的层和配位体X的表面(结合化学吸附)(步骤2)。然后,用惰性气体吹扫其余反应气体AX(步骤4)。随后出现反应气体BY流,并发生AX(表面)与BY(气体)之间的化学反应,在基材上产生AB分子层(离解化学吸附)(步骤6)。清除其余气体BY和反应的副产物(步骤8)。通过多次重复过程周期(步骤2-9),可增加薄膜的厚度。
由于薄膜能够一次沉积一个单层,因此倾向于保形,且具有均匀厚度。
可用MTF-ALD沉积多种物质,包括II-VI和III-V化合物半导体、元素硅和金属、SiO2和金属氧化物及氮化物。根据方法,薄膜可以为无定形、外延或多晶。因此,在本发明的不同实施方案中可实施多种过程化学,提供多种最终薄膜。可形成的金属氧化物的二元化合物例如为五氧化钽、氧化铝、氧化钛、五氧化铌、氧化锆、氧化铪、氧化锌、氧化镧、氧化钇、氧化铈、氧化钒、氧化钼、氧化锰、氧化锡、氧化铟、氧化钨、二氧化硅等。
因此,可用本发明方法制备的氧化物包括但不限于:Al2O3、TiO2、Ta2O5、Nb2O5、ZrO2、HfO2、SnO2、ZnO、La2O3、Y2O3、CeO2、Sc2O3、Er2O3、V2O5、SiO2和In2O3。可用本发明方法制的氮化物包括但不限于:AlN、TaNx、NbN、TiN、MoN、ZrN、HfN和GaN。可用本发明方法制的氟化物包括但不限于:CaF2、SrF2和ZnF2。可用本发明方法制的金属包括但不限于:Pt、Ru、Ir、Pd、Cu、Fe、Co和Ni。可用本发明方法制的碳化物包括但不限于:TiC、NbC和TaC。可用本发明方法制的混合结构氧化物包括但不限于:AlTiNx、AlTiOx、AlHfOx、AlSiOx和HfSiOx。可用本发明方法制的硫化物包括但不限于:ZnS、SrS、CaS和PbS。可用本发明方法制的纳米层合物包括但不限于:HfO2/Ta2O5、TiO2/Ta2O5、TiO2/Al2O3、ZnS/Al2O3、ATO(AlTiO)等。可用本发明方法制的掺杂物质包括但不限于:ZnO:Al、ZnS:Mn、SrS:Ce、Al2O3:Er、ZrO2:Y等。
对本领域技术人员显而易见,可沉积两种、三种或更多种金属的合金,化合物可用两种、三种或更多种成分沉积,并且可制造诸如分级薄膜和纳米层合物的所有物。
这些变型是在交替周期中使用本发明具体实施方案的简单变化。在本发明的精神和范围内有很多其他变化,因此,本发明只由以下权利要求限制。
可反应的各种气态物质也描述于Handbook of Thin Film ProcessTechnology,Vol.1,Glocker和Shah编著,Institute of Physics(IOP)Publishing,Philadelphia 1995,第B1.5:1至B1.5:16页;和Handbook ofThin Film Materials,Nalwa编著,Vol.1,第103至159页。在前一文献的表V1.5.1中列出了不同ALD方法的反应物,包括含第II、III、IV、V、VI和其他族的第一含金属前体。在后面的文献中,表IV列出不同ALD薄膜方法所用的前体组合。
虽然氧化物基材提供ALD沉积的种类,但可通过适合表面处理使用塑料基材。
现在转向图2,图2显示本发明的可用于在基材20上沉积原子层的本发明方法的分配歧管10的一个实施方案的横截面侧视图。分配歧管10具有接收第一气态物质的气体入口14、接受第二气态物质的气体入口16和接受第三气态物质的气体入口18。这些气体通过具有随后所述结构布置的输出通道12在输出面36排出。图2和随后图3-4B中的箭头是指气态物质的扩散输送,而不是从输出通道接收的流。所述流实质上被引出附图的页面,如以下进一步描述。
在一个实施方案中,气体入口14和16适应接收随后在基材表面上反应以实现ALD沉积的第一气体和第二气体,气体入口18接收对第一气体和第二气体为惰性的吹扫气体。分配歧管10与在基材载体上提供的基材20间隔距离D,如随后更详细描述。通过移动基材20,移动分配歧管10或移动基材20和分配歧管10两者,可在基材20和分配歧管10之间提供往复移动。在图2所示的特定实施方案中,基材20以往复方式跨输出面36移动,如图2中箭头R和基材20左右的虚轮廓线所示。应注意到,用分配歧管10沉积薄膜并不总是需要往复运动。也可在基材20和分配歧管10之间提供其他类型相对移动,例如以一个或多个方向移动基材20或分配歧管10,如随后更详细描述。
图3的横截面图显示在分配歧管10前面36的一部分排出的气流。在此特定布置中,各输出通道12与图2中看到的气体入口14、16或18之一气体流通。各输出通道12一般输送第一气态反应物质O或第二气态反应物质M或第三气态惰性物质I。
图3显示气体的相对基本或简单布置。可预想在薄膜单一沉积中在不同口依序输送多种非金属沉积前体(如物质O)或多种含金属前体物质(如物质M)。或者,在制造例如具有交替金属层或在金属氧化物质中具有较少量掺杂剂的复杂薄膜物质时,可在单一输出通道施加气体反应物的混合物,例如金属前体物质的混合物或金属和非金属前体的混合物。关键需要是标为I的间流应将其中气体可能相互反应的任何反应物通道分离。第一气态反应物质O和第二气态反应物质M相互反应实现ALD沉积,但气态反应物质O和M均不与气态惰性物质I反应。图3和以下使用的命名表明气体反应物的一些一般类型。例如,第一气态反应物质O可以为氧化气态物质,第二气态反应物质M为含金属化合物,如含锌物质。惰性气态物质I可以为氮、氩、氦或在ALD方法中通常用作吹扫气体的其他气体。惰性气态物质I对第一气态反应物质O和第二气态反应物质M为惰性。在一个实施方案中,第一气态反应物质和第二气态反应物质之间的反应形成金属氧化物或其他二元化合物,如氧化锌ZnO或ZnS,用于半导体。多于两种气态反应物质之间的反应可形成三元化合物,例如ZnAlO。
图4A和4B的横截面图以简单示意形式显示在输送气态反应物质O和M时,在基材20沿着分配歧管10的输出面36通过时进行的ALD涂覆操作。在图4A中,基材20的表面首先接收来自输出通道12的氧化物质,称为输送第一气态反应物质O。基材的表面现在包含易于与物质M反应的物质O的部分反应形式。然后,在基材20进入第二气态反应物质M的金属化合物的路径时,发生与M的反应,生成金属氧化物或可由两种气态反应物质生成的一些其他薄膜物质。
如图4A和4B显示,在第一气态反应物质O和第二气态反应物质M的流之间的每个交替输出通道12提供惰性气态物质I。在所示的实施方案中,顺序的输出通道12相邻,共同享有由间壁22形成的公共边界。在此,输出通道12相互由以垂直于基材20表面延伸的间壁22限定和分隔。
值得注意的是,在输出通道12之间没有散置真空通道,也就是,在输送气态物质的通道的各侧没有真空通道以围绕间隔抽吸气态物质。由于使用创新性气流,这种有利的紧密布置是可能的。与对基材施加基本垂直(即正交)气流并且应然后以相反垂直方向抽出废气的前面方法的气体输送列不同,分配歧管10对各反应物和惰性气体沿着表面引导气流(在一个实施方案中优选基本层流),并且以不同方式处理废气和反应副产物,如随后所述。本发明所用的气流被沿着并且大致平行于基材表面的平面引导。换句话讲,气体流基本横向于基材的平面而不是垂直于被处理的基材。
完成此过程任选利用更详细描述于普通转让且同时提交的Levy等标题为“APPARATUS FOR ATOMIC LAYER DEPOSITION”的美国申请11/392,006的装置和系统。
图5和图6显示可用于本发明方法的分配歧管10的一个实施方案的透视图,视图从输出面36观察(即,相对于图2-4B从下侧观察)。在此实施方案中,限定并分隔相邻输出通道12的间壁22被部分切开显示,以允许更好可见从气体出口24流动的气流。图5和6也显示本公开附图中使用的参照x,y,z坐标轴指定。输出通道12基本上平行,并且以相当于x坐标轴的长度方向延伸。利用此坐标指定,基材20的往复移动或相对于基材20移动处于y坐标方向。
图6显示利用此实施方案从分配歧管10输送的不同气态物质的气流FI、FO和FM。气流FI、FO和FM处于x方向,也就是沿着伸长输出通道12长度的方向。
图7A、7B、7C和7D的横截面图正交于图2-4B的横截面截取,并显示从此视图在一个方向的气流。在各个输出通道12内,相当的气态物质从图7A、7B、7C和7D视图中虚示的气体输出口24流动。在图7A的实施方案中,气流F1沿着输出通道12的长度并跨基材20引导气态物质,如对图5和6所述。流F1继续通过此布置中分配歧管10的边缘,向外流入环境,或者如果需要,流到气体收集歧管(未显示)。图7B显示气流F2的供选实施方案,其中输出通道12也提供气流改向或抽出气流的排气口26。图7C显示气流F3的供选实施方案,其中气体输出口24居中位于输出通道12内,并且在两个方向沿着通道在气体流动中引导气态物质。图7D显示气流F4的供选实施方案,其中气体输出口24也居中布置,并且多个排气口26适当接近输出通道12的极端布置。虽然优选单向流,但根据流速和特定应用中涉及的其他情况,可能发生一定程度混合,甚至可能在某种程度有益。
一种特殊的分配歧管10可使用用所述气流构型之一或其组合构成的输出通道12,构型可以是图7A的F1流,图7B的F2流,图7C的F3流,图7D的F4流或这样一些其他变型,其中跨基材20沿着输出通道12引导气态物质流动,优选以基本层流或利用控制混合的平稳方式。在一个实施方案中,对输送气态反应物质的各输出通道12提供一个或多个排气口26。例如,参考图6,标为O和M的第一气态反应物质和第二气态反应物质的输出通道12按照流F2(图7B)的模型配置排出或抽出反应物质的排气口26。这允许一些物质循环,并防止接近歧管末端不合乎需要地混合和反应。标为I的惰性气态物质的输出通道12不使用排气口26,因此遵循流F1的模型(图7A)。虽然在一些实施方案中优选层流,但根据流速和特定应用中涉及的其他情况,可能发生一定程度混合,甚至可能在某种程度有益。
在通常意义上,排气口26不是真空口,而是简单提供用来在其相应的输出通道12抽出气流,因此有利于在通道内的均匀气体流型。在气体输出口24恰好略小于气体压力反压力的负抽可帮助促进有序气体流动。负抽可例如在0.9和1.0大气压之间的压力操作,而一般真空为例如低于0.1个大气压。可提供如图7B和7D点线所示的任选挡板58,以将流型重新引入排气口26。
用气体流型如F1或F3或其具有排气口26的变型F2和F4提供超过对表面垂直引导连续气源列的常规方法(如以前背景部分中提到的那些方法)的多个优点。由于不需要单独的真空室,分配歧管10可以非常紧凑,沿着各相邻输出通道引导气态物质。流体动力学也超过以前方法改良,因为使用的气流很小可能遇到阻挡层效应。惰性气体流FI提供一种“吹扫清除”,从前面的输出通道12除去过量物质和不需要的反应副产物。惰性气体流FI也帮助分离流FO和FM的气体反应物,以使基材20表面上的这些气体反应物的混合减到最小或消除。由于不使用常规真空,分配歧管10的设计比在各气体输送通道之间需要真空泵通道且真空度要小心校准以相对于输出流平衡的气体列设计复杂程度低。
本发明所用的气流布置取决于基材20和分配歧管10的输出面36之间的距离D(图2所示)。由于不需要气流围绕间壁22到真空排气,输出面36可非常接近基材表面布置,在1密耳(约0.025mm)以内。比较起来,以前引用的前面方法,如授予Yudovsky的美国专利6,821,563所述,需要气流围绕通道侧壁的边缘,因此将与基材表面的距离限制到0.5mm或更大。在本发明中,分配歧管10优选更接近基材表面布置。在一个优选的实施方案中,从基材的表面到分配歧管的输出面或提供流动通道的导壁底部的距离D可为0.4mm或更小,优选在0.3mm以内,更优选在0.25mm以内。
图8A和8B的横截面图显示为什么距离D与本发明的操作一致相对较小合乎需要。在这些图中,分配歧管10从左到右在基材20上移动,如箭头所示。随着携带反应性气态物质M的输出通道12移到右侧一个区域上,它遇到来自主要为惰性气态物质I的下一个相邻(时间在前)输出通道的扩散层72。为了在基材20的表面上反应,反应性气态物质M应扩散通过扩散层72,扩散层72的厚度与距离D成比例。比较起来,图8B显示在距离D减小时发生的情况:扩散层72成比例减小。通过扩散层72扩散更快且更有效,这允许很少废物,并减少在基材20的表面上反应所需的时间总量。较低的间壁22也防止从时间在前的输出通道气体保留很少量气体。应注意到,通道中气体的流动垂直于图8A和8B的页面,如箭头后部所示,此流动保持有助于通过薄扩散层72扩散到基材表面的浓度梯度。所述表面暴露于M气流足够时间,用于扩散和混合以代替时间在前的输出通道气体。应注意到,由于气体流动是跨表面而不是直接进入表面,因此它限制出口通道之间气体反应物的不合乎需要混合,不合乎需要混合可能另外由歧管和/或基材的相对摆动加剧。
为了沿着输出通道12的长度提供平稳流动,气体输出口24可以离开垂直的一定角度倾斜,如图7A和7B所示。也可任选用某种类型的气流改向结构使向下流从气体输出口24改向,以便其形成实质平行于输出面36的气流。
图9的平面图显示可用于一个实施方案的分配歧管10的一部分的输出面36。为了优化方向性气流,改向板38可位于引导气态反应物质的各个输出通道12中。在所示的实施方案中,只有输送气态反应物质的输出通道12具有改向板38和排气口26。此特殊布置可在这样一些应用中有利,其中有利用惰性气体围绕分配歧管10,例如以减少环境气体不合乎需要地吸入。然而可在所有输出通道12上使用改向板38。也可在一些或所有输出通道12上使用排气口26。在另一种可能的实施方案中,可在所有通道上使用改向板,但改向板的出口边缘可根据通道考虑处于不同的x轴位置。具体地讲,合乎需要惰性流的挡板的出口边缘位置比气体反应物的处于更下x轴位置,以便惰性吹扫流可如上述作用以分隔不同的通道。
图9也显示一个实施方案中输出通道的模型。已发现在此提供惰性气体通道I作为分配歧管10的最外通道特别有利。具有第一气态反应物质O的氧化通道紧邻最外通道,因为这些调节用于与第二气态反应物质M的金属组分进行ALD反应的表面。
图10显示可用于本发明方法的分配歧管10的一个实施方案,其中用具有形成管46a,b,c的孔40的堆叠金属板42和44形成宽度W、长度L和高度H的通道。图11A显示以此方式形成的分配歧管10的单一输出通道12区段的分解图,显示在交替的间壁板42和输送板44中孔40的布置。图11B显示相邻输出通道12的相似分解图。由孔40对准形成的管46a、46b和46c延伸通过分配歧管10,并提供气体流通、从外源接收不同反应物和惰性气体物质和提供沿着前述输出面36提供气流的改向结构的输入管。挡板和其他改向结构未在这些图中显示,但可用适当结构的堆叠板提供或在装置装配后施加。
图11A和11B的分解图分别显示由堆叠板42和44形成的单一输出通道12。在图11A的实例中,所示输出通道12提供从管46b提供的气态物质。在图11A所示的实施方案中,管46a和46c引导其他气体通过此通道。尺寸和孔不同于结合输出通道12的间壁板42的输送板44包含改向室48,改向室48将管46b中的一部分气体改向成气流F1。在图11B的实例中,所示输出通道12提供从管46a提供的气态物质。在图11B所示的实施方案中,管46b和46c引导其他气体通过此通道。板42和44应为适合引导气态反应物质的金属,例如不锈钢或其他金属。
合乎需要在对此实施方案装配大量板时,输送到基材的气流均匀跨过输送粒子流(I、M或O)的所有通道。这可用适当板设计完成,例如在各板的流型的一些部分具有限制物,这些限制物精确加工以便为各通道提供可再现的压降。
虽然堆叠板方法是构造本发明所用分配歧管的特别有用的方式,但有一些建立此结构的其他方法,这些方法可用于本发明方法所用分配歧管的供选实施方案。例如,本发明所用分配歧管可由直接加工金属块或粘在一起的数个金属块构成。此外,可利用包括内模部件的模铸技术,这为本领域的技术人员所了解。也可用一些立体平版印刷技术构造分配歧管。
可从图10、11A和11B的实例实施方案看到,可构造很小尺寸的分配歧管10,且各输出通道12具有金属镀片的宽度。例如,在使用图10、11A和11B布置的一个实施方案中,输出通道12为0.034英寸(0.86mm)宽W。可以不同厚度制造不同材料的输出通道12,以得到紧密布置的宽度W范围,优选0.01英寸(0.25mm)至0.1英寸(2.5mm)。输出通道12的长度L可根据所需均匀性和所需气体压力变化。在一个实施方案中,输出通道长度L为3英寸(75mm)。在一个实施方案中,由堆叠板42的延伸部分形成的输出通道12的高度H为0.1英寸。
因为气流可能由于产生的低压区域无意吸入环境气体,所以从惰性层提供其他保护壁垒可能有用。参考图12,图12显示包封气流FE,通过包封气流FE对分配歧管10的一侧或多侧使用其他惰性气体流,以防止环境气体污染过程气体。
特别关于图4A和图4B描述,为了完成其沉积功能,分配歧管10需要相对于基材20的表面移动。此相对移动可以多种方式获得,包括移动分配歧管10和基材20之一或两者,例如通过提供基材载体方法的移动。根据需要多少个沉积周期,移动可以是摆动或往复移动,或者可以是连续移动。也可特别在间歇方法中使用基材旋转,虽然连续方法是优选的。
一般ALD需要多个沉积周期,以各周期建立控制薄膜深度。使用以前所给气态物质的命名法,单一周期可例如在简单设计中提供一次施加第一气态反应物质O和一次施加第二气态反应物质M。
气态反应物质O和M的输出通道之间的距离决定往复移动完成每个周期所需的距离。对于关于各输出通道12具有0.034英寸名义通道宽度W的图9的实例分配歧管10,需要往复移动为至少0.20英寸(沿着本文所用y轴)。对于此实例,基材20的区域利用在此距离上移动暴露于第一气态反应物质O和第二气态反应物质M两者。在某些情况下,出于均匀性考虑可能需要在各周期随机往复移动量的措施,例如以降低边缘效应或沿着往复移动末端积累。
分配歧管10可只具有足够输出通道12以提供单一周期。或者,分配歧管10可具有多个周期布置,使其能够覆盖较大沉积区域或使其能够在一定距离往复移动,此距离允许在往复移动距离的一个横越中有两个或更多个沉积周期。
在一个实施方案中,使基材的给定区域在通道中暴露于气流小于500毫秒,优选小于100毫秒。在摆动期间基材对通道的相对移动速度为至少0.1cm/sec,通道中的气流为至少1cm/sec。在沉积期间基材的温度优选低于300℃,更优选低于250℃。
例如,在一个特别应用中,发现各O-M周期在1/4的处理表面上形成一个原子直径层。因此,在此情况下,需要4个周期在所述处理表面上形成一个原子直径均匀层。同样,在此情况下为了形成10个原子直径的均匀层,需要40个周期。
在本发明方法的一个实施方案中使用的分配歧管10所用的往复移动的一个优点是允许在面积超过输出面36面积的基材20上沉积。图13示意显示如何能够利用沿着箭头R所示的y轴往复运动和相对于x轴垂直或横向于往复运动移动实现此较宽区域覆盖。同样应强调,通过移动分配歧管10,利用提供移动的基材载体74移动基材20,或者移动分配歧管10和基材20两者,可如图13所示以x或y方向移动。
在图13中,沉积器和基材的相对移动相互垂直。此相对移动平行也是可能的。在此情况下,相对移动需要具有代表摆动的非零频率分量和代表基材移位的零频率分量。此组合可通过以下方式取得:摆动与分配歧管在固定基材上移动组合;摆动与基材相对于固定基材分配歧管移动组合;或其中摆动和固定移动由两个基材移动提供的任何组合。
在一个优选的实施方案中,可在或接近大气压并在宽范围环境和基材温度(优选在低于300℃的温度)进行ALD。优选需要相对洁净环境使污染的可能性最小;然而,在使用本发明方法的优选实施方案时,获得优良性能不需要完全“净室”条件或惰性气体填充的封闭体。
图14显示具有用于提供相对精确控制和无污染物环境的室50的原子层沉积(ALD)60过程。气体供应源28a、28b和28c通过供应线32将第一气态物质、第二气态物质和第三气态物质提供到分配歧管10。任选使用柔性供应线32帮助容易地移动分配歧管10。为简单起见,任选的真空蒸气回收过程和其他载体组件未在图13中显示,但也可以使用。输送子系统54提供基材载体,基材载体沿着分配歧管10的输出面36输送基材20,从而提供使用本公开所用坐标轴系统在x方向的移动。通过控制逻辑处理器56,例如计算机或专用微处理器装置,可提供移动控制和阀及其他承载组件的全面控制。在图14的布置中,控制逻辑处理器56控制用于对分配歧管10提供往复移动的传动装置30,也控制输送子系统54的输送电动机52。
图15显示用于在网基材66上沉积薄膜的原子层沉积(ALD)系统70的一个供选实施方案,所述网基材66沿着作为基材载体的网输送机62被输送通过分配歧管10。分配歧管输送装置64以横向于网移动方向的方向跨网基材66的表面输送分配歧管10。在一个实施方案中,分配歧管输送装置64使用穿过网基材66宽度的导螺杆。在另一个实施方案中,在适合位置沿着网62使用多个分配歧管10。
图16显示在网布置中利用静态分配歧管10的另一种原子层沉积(ALD)系统70,其中流型垂直于图14的结构定向。在此布置中,网输送机62的移动自身提供ALD沉积所需的移动。也可在此环境中使用往复移动,例如重复反转网辊旋转的方向,以使基材66相对于分配歧管10向前和向后移动。通过允许横跨其轴与辊轴一致的弧往复移动分配歧管,而网以持续运动移动,也可得到往复移动。参考图17,图17显示分配歧管10的一部分的实施方案,其中输出面36具有一定量曲率,这一曲率对一些网涂覆应用有利。可提供凸曲率或凹曲率。
本发明的方法能有利在宽范围温度在基材上进行沉积,在一些实施方案中包括室温或接近室温。本发明的方法可在真空环境操作,但尤其适于在或接近大气压操作。
本发明方法有多种应用,包括制造薄膜晶体管(TFT)和包含薄膜晶体管(TFT)的电子器件。这些器件包括例如更复杂的电路,例如移位寄存器、集成电路、逻辑电路、智能卡、存储器、射频识别标签、有源矩阵显示器的背面、有源矩阵显示器(例如液晶或OLED)、太阳能电池、环形振荡器和互补电路,如反相电路,例如利用半导体材料的组合。在有源矩阵显示器中,可使用用本发明方法制的晶体管作为显示器像素的电压保持电路的部分。在此器件中,TFT由本领域已知的方法可操作连接。
可用本发明方法制造的微电子器件(或其元件或制造中间体)的一个实例是有源矩阵液晶显示器(AMLCD)。一种这类器件是光电子显示器,这种显示器包括具有电极和置于电极之间的电光材料的元件。可将透明晶体管的连接电极连接到显示元件的电极,同时开关元件和显示元件至少部分相互重叠。在此应了解,光电子显示元件为其光学性质在电量(如电流或电压)影响下变化的显示元件,例如,通常被称为液晶显示器(LCD)的元件。显示元件在电学的意义上作为一种由伴随薄膜晶体管充电或放电的电容器。光电显示器件可包括很多显示元件,各显示元件具有其自己的例如在矩阵中排列的薄膜晶体管。某些有源矩阵像素设计,尤其是提供电流驱动显示效应的那些设计,可能需要数个晶体管和像素电路中的其他电气元件。
阻挡层和钝化薄膜是本发明ALD方法的其他有用应用。在此情况下,次序ALD层或与聚合物交替的ALD施加到塑性载体上,以防止水分渗透,尤其用于显示应用。本发明方法的其他应用为光学薄膜,如美国专利公布2005/008713 A1所公开。
实施例
所有以下薄膜实施例利用图18所示的流程装置。流程装置供有氮气流81,氮气流81经纯化,以将氧和水污染物除到低于1ppm。气体由歧管转移到数个流量计,流量计控制吹扫气体和通过气泡器转移的气体的流量,以选择反应性前体。除了氮供应源外,也将空气流90输送到所述装置。空气经预处理,以除去水分。
将以下流输送到ALD涂覆装置:包含在氮气中稀释的金属前体的金属前体流92;包含在氮气中稀释的非金属前体或氧化剂的含氧化剂流93;和只由惰性气体组成的氮吹扫流95。这些流的组成和流量如下所述控制。
气泡器82包含二乙基锌在己烷中的1摩尔浓度溶液。气泡器83包含三甲基铝在己烷中的2摩尔浓度溶液。两个气泡器均保持在室温。流量计85和86将纯氮气流分别输送到二乙基锌气泡器82和三甲基铝气泡器83。气泡器的输出现在包含用相应的前体溶液饱和的氮气。这些输出流与从流量计87输送的氮气稀释流混合,得到金属前体流总流92。在以下实施例中各流如下:
流量计85:   至二乙基锌气泡器流
流量计86:   至三甲基铝气泡器流
流量计87:   至金属前体稀释流
气泡器84包含处于室温的纯水。流量计88将纯氮气流输送到气泡器84,其输出代表饱和水蒸气流。空气流由流量计91控制。水气泡器输出和空气流与从流量计89的稀释流混合,以产生含氧化剂的流总流93,此总流具有可变水成分、氧成分和总流量。在以下实施例中各流如下:
流量计88: 至水气泡器
流量计89: 至氧化剂稀释流
流量计91: 至空气流
流量计94控制输送到涂覆装置的纯氮流。
然后将物流或流92、93和95输送到大气压涂覆头,在此将它们引出通道或微室槽,如图19所示。在微室和基材97之间存在约0.15mm间隙96。微室为约2.5mm高,0.86mm宽,并且延伸于76mm涂覆头长度。将此结构中的反应物质输送到槽的中部,并流出前部和后部。
为了进行沉积,使涂覆头位于一部分基材上,然后以往复方式在基材上移动,如箭头98所示。往复循环的长度为32mm。往复循环移动速率为30mm/sec。
使用以下表征:
A.晶体管测试和分析
用本发明方法制造的器件的晶体管表征用Hewlett Packard HP参数分析仪进行。器件测试在暗密封体内空气中进行。
结果一般由数个器件平均得到。对于各器件,漏电流(Id)测量为栅压(Vg)不同值的源-漏电压(Vd)的函数。此外,对于各器件,漏电流还测量为源-漏电压不同值的栅压的函数。对于大多数器件,对于所测的各漏电压,Vg从-10V扫描到40V,一般10V、20V和30V。迁移率检测从30V扫描取得。
从数据提取的参数包括场效应迁移率(μ)、阈电压(Vth)和对于所测漏电流的Ion/Ioff比率。场效应迁移率在饱和区域提取,其中Vd>Vg-Vth。在此区域中,漏电流由以下公式给出(参见,Sze in SemiconductorDevices—Physics and Technology,John Wiley & Sons(1981)):
I d = W 2 L μ C os ( V g - V th ) 2
其中W和L分别为通道宽度和长度,Cox为介电层的电容,此电容为电介质厚度和物质介电常数的函数。给定此公式,从对√Id与Vg曲线的线性部分的直线拟合提取饱和场效应迁移率。阈电压Vth为此直线拟合的x-截距。
对log漏电流作为栅压的函数作图。从logId绘图提取的参数包括Ion/Ioff比率。Ion/Ioff比率简单为最大与最小漏电流的比率。
B.击穿电压表征:
所制造器件的击穿电压表征用HEWLETT PACKARD HP 4156参数分析仪进行。器件测试在暗密封体内空气中进行。
关于介电层,击穿电压相当于跨实质电流由于介电击穿开始流动所在层的电压。
为了评估击穿电压,将所述介电薄膜涂在导体上(一般为重掺杂的硅晶片),并且使金属触点置于介电层的顶部。将硅晶片保持接地,同时从0至100V扫描对顶部金属触点施加的电压。在扫描期间,测量流入顶部金属触点的电流。虽然在击穿前可能发生显著电流泄漏,但将击穿电压认作为见到电流尖锐增加所在的点。
C.薄膜厚度测量
以下实施例的氧化铝薄膜厚度用J.A.WOOLAM ALPHA-SE白光椭圆偏振计测量。将获得的数据拟合到一个模型中,其中受检验层由Cauchy色散模型代表。模型拟合输出得到薄膜厚度和折光率。
以下实施例证明以上系统能够制造电介质、导体和半导体的高性能薄膜涂层。
实施例1
本实施例说明制造本发明的氧化锌半导体薄膜用以制造工作薄膜晶体管。在此结构中,器件的栅为重掺杂的硅晶片,绝缘体为沉积氧化锌半导体薄膜之前由在硅晶片上热加工生长的二氧化硅薄膜。
氧化锌半导体层用本发明的沉积系统施加。用200℃基材温度和以下条件进行两个试验:
表1
 
样品 二乙基锌气泡器流(sccm)   金属前体稀释流(sccm)   空气流(sccm) 水气泡器流(sccm)     氧化剂稀释流(sccm) 氮气吹扫流(sccm) 周期
1-A 10 620 5 10 1000 1500 40
1-B 5 620 5 5 1000 1500 40
在沉积氧化锌后,通过荫罩由蒸发将铝触点施加到以上器件,达
Figure A200780011728D00341
厚度。荫罩用500微米通道宽度和50微米通道长度产生器件。所有制出的器件给予大于106的通断(on/off)比率,迁移率如下表所列,为每样品三个器件的平均值:
表2
 
样品 迁移率(cm2/Vs) Vth(伏)
1-A 4.0 9.2
1-B 2.9 8.8
以上数据显示,可用此装置制造利用氧化锌半导体层的高迁移率优良品质的器件。
实施例2
本实施例说明制造本发明的氧化铝薄膜,表明能够制造具有优良击穿电压的高品质绝缘薄膜。在此结构中,用裸硅晶片作为一个电极,用本发明上述设备在此电极上生长氧化铝薄膜。
氧化铝层用基材在200℃和以下沉积条件施加,其中2-B为重复数据点。
表3
 
样品 三甲基铝气泡器流(sccm)   金属前体稀释流(sccm)   空气流(sccm) 水气泡器流(sccm)     氧化剂稀释流(sccm) 氮气吹扫流(sccm) 周期
2-A 10 620 10 10 1100 1500 100
2-B 10 620 10 10 1100 1500 100
2-C 10 620 10 10 1100 1500 200
2-D 20 620 10 20 1100 1500 100
在氧化铝沉积后,用椭圆偏振法测量样品的厚度和折光率。在那之后,用荫罩蒸发将铝触点施加到样品D薄膜的顶部,以便能够进行介电击穿测量。这些结果显示于下表中:
表4
 
样品 厚度(nm) 折光率
2-A 442 1.6
2-B 451 1.6
2-C 463 1.6
2-D 660 1.6
对于样品D,对样品的三个区域进行击穿测量。平均击穿为7.9MV/cm,显示形成高品质Al2O3介电层。
实施例3
本实施例说明用重掺杂的硅作为栅物质,然后用Al2O3作为电介质并用ZnO作为半导体,制造工作晶体管器件,其中用本发明沉积后面两种物质。
首先根据以下条件沉积氧化铝薄膜:
表5
 
样品 三甲基铝气泡器流(sccm)   金属前体稀释流(sccm)   空气流(sccm) 水气泡器流(sccm)     氧化剂稀释流(sccm) 氮气吹扫流(sccm) 周期
3-A 25 620 10 20 1100 1500 80
3-B 25 620 10 20 1100 1500 60
在氧化铝沉积后,两个样品用以上装置根据以下条件用ZnO涂覆:
表6
 
二乙基锌气泡器流(sccm) 金属前体稀释流(sccm) 空气流(sccm) 水气泡器流(sccm) 氧化剂稀释流(sccm) 氮气吹扫流(sccm) 周期
5 620 5 10 1000 1500 20
通过荫罩在所得多层器件上蒸发铝触点,用50μm通道长度和500μm通道宽度得到薄膜晶体管。器件的结果以下显示:
表7
 
样品 Al2O3厚度(nm)      迁移率(cm2/Vs) Vth(伏)
3-A 1330 2.4 9.7
3-B 1068 1.1 9.4
以上数据显示,通过用本发明的装置沉积所有临界层,可制造高品质薄膜晶体管。
实施例4
本实施例说明用重掺杂的硅作为栅物质,然后用Al2O3作为电介质并用ZnO作为半导体,制造工作晶体管器件,其中根据本发明沉积后面两种物质,并且改变沉积温度,以表明能够在一定温度范围制造有用的器件。
首先根据以下条件沉积氧化铝薄膜:
表8
 
样品 三甲基铝气泡器流(sccm) 金属前体稀释流(sccm) 空气流(sccm) 水气泡器流(sccm) 氧化剂稀释流(sccm) 氮气吹扫流(sccm) 周期 温度(C)
4-A 5 620 10 25 1100 1500 175 200
4-B 5 620 10 25 1100 1500 175 160
4-C 5 620 10 25 1100 1500 100 160
在氧化铝沉积后,用以上装置根据以下条件用ZnO涂覆样品:
表9
 
样品 二乙基锌气泡器流(sccm) 金属前体稀释流(sccm) 空气流(sccm) 水气泡器流(sccm) 氧化剂稀释流(sccm) 氮气吹扫流(sccm) 周期 温度(C)
4-A 5 620 10 10 1100 1500 20 200
4-B 5 620 10 10 1100 1500 20 160
4-C 5 620 10 10 1100 1500 20 160
通过荫罩在所得多层器件上蒸发铝触点,用50μm通道长度和500μm通道宽度得到薄膜晶体管。器件的结果以下显示:
表10
 
样品 Al2O3厚度(nm)    迁移率(cm2/Vs) Vth(伏)
4-A 757 3.3 9.9
4-B 988 1.5 11.0
4-C 573 1.3 6.5
上表数据显示,可用本发明的装置在不同温度制造优良品质的薄膜晶体管。
实施例5
本实施例说明在玻璃基材上制造氧化铝薄膜,证明可在本发明中使用多种基材。首先根据以下条件沉积氧化铝薄膜:
表11
 
三甲基铝气泡器流(sccm)   金属前体稀释流(sccm)   空气流(sccm) 水气泡器流(sccm)     氧化剂稀释流(sccm) 氮气吹扫流(sccm) 周期
5 620 10 25 1100 1500 175
关于如何在沉积前预处理玻璃基材作出变化。在以100mTorr室压力运行的SPI Plasma-Prep 
Figure A200780011728D00371
 Plasma Etcher(West Chester,PA)中进行氧等离子处理。Pirhana净化由样品在100ml 30%过氧化氢在200ml浓硫酸的新制备溶液中浸渍组成。下表显示处理和所得样品的厚度:
表12
 
样品 表面处理 Al2O3厚度(nm)
5-A Piranha净化10′ 626
5-B 2′O2等离子处理 427
5-C 2′O2等离子处理 695
5-D 5′O2等离子处理 906
以上样品显示可在玻璃基材上沉积薄膜,尽管基于表面预处理有一些厚度变化。
实施例6
本实施例说明用氧化锡铟作为栅物质,然后用Al2O3作为电介质并用ZnO作为半导体,制造工作晶体管器件,其中用本专利所述的发明沉积后面两种物质。首先根据以下条件沉积氧化铝薄膜:
表13
 
三甲基铝气泡器流(sccm)   金属前体稀释流(sccm)   空气流(sccm) 水气泡器流(sccm)     氧化剂稀释流(sccm) 氮气吹扫流(sccm) 周期 温度(C) 
16 620 10 25 1100 1500 175 200C
在氧化铝沉积后,用以上装置根据以下条件用ZnO涂覆样品:
表14
 
二乙基锌气泡器流(sccm) 金属前体稀释流(sccm) 空气流(sccm) 水气泡器流(sccm) 氧化剂稀释流(sccm) 氮气吹扫流(sccm) 周期 温度(C)
8.5 620 10 15 1100 1500 45 200
给予样品如下表所列的各种净化处理。利用台面超声净化器在异丙醇(IPA)中进行声处理,所述处理由样品在IPA中声处理5分钟组成。O2等离子/CFx处理由以下步骤组成,如以上实施例5所述进行2′O2等离子处理,随后如US 6,208,075所述通过等离子辅助的CHF3沉积方法沉积1nm氟碳化合物(CFx)层。
表15
 
样品 基材制备 迁移率 Vth
6-A 在IPA中声处理 1.2 3.8
6-B O2等离子/CFx 2.8 3.8
以上所示结果表明,可用本发明的设备在ITO上制造堆叠的器件,表明完全透明器件的可能性。
实施例7
本实施例显示根据本发明制造导电材料的能力。导体为铝掺杂的氧化锌。通过同时加入如下表所示的二乙基锌和三甲基铝生长薄膜。
表16
 
样品 二乙基锌气泡器流(sccm)   三甲基铝气泡器流(sccm)   金属前体稀释流(sccm)   空气流(sccm) 水气泡器流(sccm)     氧化剂稀释流(sccm) 氮气吹扫流(sccm) 周期 温度(C)
3 30 2 620 10 15 1100 1500 300 350
6 30 2 620 10 15 1100 1500 300 200
探针间隔约0.5cm,由使欧姆表接触样品测量样品电阻率。测量结果以下显示:
表17
 
样品 电阻
3 8kΩ
6 450kΩ
以上结果表明可用本发明制造导电薄膜。
部件清单
10                   分配歧管
12                   输出通道
14,16,18           气体入口
20                   基材
22                   间壁
24                   气体输出口
26                   排气口
28a,28b,28c        气体供应源
30                   传动装置
32                   供应线
36                   输出面
38                   改向板
40                   孔
42                   间壁板
44                   输送板
46a,46b,46c        管
48                   改向室
50                   室
52                   输送电动机
54                   输送子过程
56                   控制逻辑处理器
58                   挡板
60                   原子层沉积(ALD)过程
62                   网输送机
64                   分配歧管输送
66                   网基材
70                   原子层沉积(ALD)过程
72                               扩散层
74                               基材载体
81                               氮气流
82,83,84                       气泡器
85,86,87,88,89,91,94       流量计
90                               空气流
92                               金属前体流
93                               含氧化剂流
95                               氮气吹扫流
96                               间隙
97                               实例基材
98                               箭头
D                                距离
F1,F2,F3,F4,FI,FO,FM,FE    气流
H                                高度
I                                惰性气态物质
L                                通道长度
M                                第二气态反应物质
O                                第一气态反应物质
R                                箭头
W                                通道宽度

Claims (43)

1.一种在基材上沉积薄膜物质的方法,所述方法包括沿着伸长的通道同时引导一系列气流,使得气流实质平行于基材的表面,并且相互实质平行,从而实质防止气流以相邻伸长通道的方向流动,其中所述系列的气流按次序包含至少第一气态反应物质、惰性吹扫气体和第二气态反应物质,任选重复数次,其中第一气态反应物质能够与用第二气态反应物质处理的基材表面反应。
2.权利要求1的方法,其中所述气流由分配歧管提供,所述分配歧管包括在平面图中面对基材的平行、布置在与其紧密接近的基材之上的一系列开放的伸长输出通道,所述分配歧管的面与经历沉积的基材的表面间隔1mm以内。
3.权利要求1的方法,其中所述基材通过多个隔开的分配歧管处理。
4.权利要求1的方法,其中所述基材通过单一分配歧管处理。
5.权利要求1的方法,其中所述基材的给定区域在通道中暴露于气流小于100毫秒。
6.权利要求2的方法,其中所述基材对分配歧管的相对移动在至少0.1cm/sec的速度。
7.权利要求1的方法,其中所述气流为至少1cm/sec。
8.权利要求1的方法,其中所述基材在沉积期间的温度低于300℃。
9.权利要求1的方法,其中所述第一气态反应物质为含金属的化合物,第二气态反应物质为非金属化合物。
10.权利要求9的方法,其中所述金属为周期表第II、III、IV、V或VI族的元素。
11.权利要求9的方法,其中所述含金属的化合物为可在低于300℃温度蒸发的有机金属化合物。
12.权利要求9的方法,其中所述含金属的气态反应物质与非金属气态反应物质反应,以生成选自五氧化钽、氧化铝、氧化钛、五氧化铌、氧化锆、氧化铪、氧化锌、氧化镧、氧化钇、氧化铈、氧化钒、氧化钼、氧化锰、氧化锡、氧化铟、氧化钨、二氧化硅、硫化锌、硫化锶、硫化钙、硫化铅及其混合物的氧化物或硫化物。
13.权利要求1的方法,其中所述分配歧管的第一通道和最后通道中第一气流和最后气流不为气态反应物质,以防止方法中所用的气态反应物质与环境空气混合。
14.权利要求1的方法,其中用所述方法在基材上制造用于晶体管的半导体或介电薄膜,其中所述薄膜包含基于金属氧化物的物质,所述方法包括在300℃或更低温度在基材上形成至少一层基于金属氧化物的物质,其中所述基于金属氧化物的物质为至少两种反应气体的反应产物,第一反应气体包含有机金属前体化合物,第二反应气体包含含氧气态反应物质。
15.一种在基材上沉积薄膜的沉积方法,所述方法包括:
a)提供包含至少第一气态物质、第二气态物质和第三气态物质的多种气态物质,其中第一气态物质和第二气态物质相互为反应性,使得在第一气态物质或第二气态物质之一在基材的表面上时,第一气态物质或第二气态物质的另一种物质反应以在基材上沉积物质层,并且其中第三气态物质相对于与第一气态物质或第二气态物质反应为惰性;
b)提供待经历物质薄膜沉积的基材;和
c)使第一气态物质、第二气态物质和第三气态物质分别流入多个开放的伸长输出通道,各输出通道以长度方向实质平行延伸,所述输出通道包括至少第一输出通道、第二输出通道和第三输出通道,分别用于第一气态物质、第二气态物质和第三气态物质,各输出通道包括输出口,其中用于将气态物质流提供到相应输出口的各输出口与第一入口、第二入口和第三入口之一气体流通;
其中各伸长的输出通道能够沿着输出通道的长度方向朝向输出通道末端实质引导第一气态物质、第二气态物质和第三气态物质之一的相应流,其中所述气态物质在沿着输出通道长度自输出口移位的位置从输出通道排气。
16.权利要求15的方法,其中所述基材的表面布置在与伸长的输出通道低于1mm的预定距离,相对于其面对基材的开口。
17.权利要求15的方法,其中所述多个开放的伸长输出通道是在分配歧管中,并且基材的表面按预定设计紧密接近面对分配歧管的输出面,所述输出面在平面图中包括开放的伸长输出通道。
18.权利要求17的方法,其中在方法操作期间,基材载体或结合到分配歧管的传动装置或两者能够在输出面和基材的表面之间提供相对移动,同时保持预定紧密接近。
19.权利要求17的方法,其中所述接近小于0.4mm。
20.权利要求15的方法,其中各伸长输出通道具有由间壁限定的伸长侧面。
21.权利要求15的方法,其中所述至少一个伸长输出通道的宽度在0.2和5mm之间。
22.权利要求15的方法,其中所述至少一个输出通道还在输出通道的一端包括能够抽吸在输出通道中接收的气态物质流的排气口。
23.权利要求15的方法,其中在各输出通道的输出口接近输出通道的一端布置。
24.权利要求15的方法,其中在各输出通道的输出口接近输出通道的中心布置。
25.权利要求15的方法,其中至少一个输出通道在输出通道内具有用于将气态物质抽出输出通道的排气口。
26.权利要求15的方法,其中至少一个输出通道在其伸长的末端具有开口,以允许由输出通道接收的气态物质流动通过分配歧管的侧部或由收集歧管收集。
27.权利要求17的方法,所述方法进一步包括在沉积期间,以实质垂直于输出通道长度方向的方向往复移动分配歧管,从而提供具有摆动移动的分配歧管。
28.权利要求17的方法,所述方法进一步包括以实质垂直于分配歧管输出通道长度方向的方向往复移动基材,从而提供具有摆动移动的基材。
29.权利要求28的方法,其中所述摆动为至少四个通道的宽度。
30.权利要求28的方法,其中所述摆动在单一基材区域上至少10次。
31.权利要求17的方法,其中所述基材载体包括用于沿着分配歧管的输出面移动基材的基材输送机。
32.权利要求17的方法,其中所述用于薄膜物质沉积的基材的表面积超过分配歧管输出面的表面积。
33.权利要求15的方法,其中所述基材载体包括移动网。
34.权利要求17的方法,其中所述基材载体将基材的表面保持在分配歧管输出面0.3mm内的分离距离。
35.权利要求31的方法,其中由基材输送机提供的移动是连续的。
36.权利要求31的方法,其中由基材输送机提供的移动是往复的。
37.权利要求15的方法,其中在第一输出通道、第二输出通道和第三输出通道中的气态物质流在沉积操作期间是实质连续的。
38.权利要求17的方法,所述方法在沉积过程期间进一步包括容纳分配歧管和基材的室。
39.权利要求17的方法,其中所述基材和分配歧管对大气开放。
40.权利要求15的在基材上沉积薄膜的方法,所述方法进一步包括输送机,所述输送机用于将网移动通过分配歧管的输出面,以在承载实质平坦基材或为用于沉积薄膜的基材的区域上实现薄膜沉积,其中所述基材预定紧密接近分配歧管的输出面,其中在方法操作期间,用于基材的输送机或用于分配歧管的传动装置或两者能够在输出面和基材之间提供相对移动,同时保持预定紧密接近。
41.权利要求40的方法,所述方法进一步包括用于以横向于网移动的方向移动分配歧管的输送组合件。
42.权利要求40的方法,所述方法进一步包括在两个相反方向之间往复移动网的网输送机。
43.权利要求40的方法,其中所述方法与环境大气为非密封关系。
CNA2007800117282A 2006-03-29 2007-03-14 原子层沉积方法 Pending CN101415860A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/392,007 US7413982B2 (en) 2006-03-29 2006-03-29 Process for atomic layer deposition
US11/392,007 2006-03-29

Publications (1)

Publication Number Publication Date
CN101415860A true CN101415860A (zh) 2009-04-22

Family

ID=38233069

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2007800117282A Pending CN101415860A (zh) 2006-03-29 2007-03-14 原子层沉积方法

Country Status (7)

Country Link
US (1) US7413982B2 (zh)
EP (1) EP1999295B1 (zh)
JP (1) JP5149272B2 (zh)
KR (1) KR20080109002A (zh)
CN (1) CN101415860A (zh)
TW (1) TWI396768B (zh)
WO (1) WO2007126585A2 (zh)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102992389A (zh) * 2012-12-13 2013-03-27 上海纳米技术及应用国家工程研究中心有限公司 一种生长氧化锌纳米线阵列的制备方法
CN103874783A (zh) * 2011-08-10 2014-06-18 荷兰应用科学研究组织 用于在基板上沉积原子层的方法及装置
CN103959434A (zh) * 2011-12-05 2014-07-30 伊斯曼柯达公司 通过使用聚合物掩模的选择性沉积
CN106000409A (zh) * 2016-05-19 2016-10-12 陕西师范大学 用于co2氧化乙苯脱氢制苯乙烯的铁基复合氧化物催化剂
CN107313028A (zh) * 2017-07-11 2017-11-03 秦皇岛博硕光电设备股份有限公司 原子层沉积装置及具有孔状结构的基材的原子层沉积方法
WO2018210273A1 (zh) * 2017-05-17 2018-11-22 李哲峰 一种具有同一等离子体源的原子层沉积装置及方法
CN108884567A (zh) * 2016-04-01 2018-11-23 3M创新有限公司 辊到辊原子层沉积设备和方法
CN109534404A (zh) * 2018-12-13 2019-03-29 上海纳米技术及应用国家工程研究中心有限公司 表面改性氧化钨纳米材料的制备方法及其产品和应用
CN110382737A (zh) * 2017-03-14 2019-10-25 伊斯曼柯达公司 带有模块化沉积头的沉积系统
CN113088932A (zh) * 2021-03-30 2021-07-09 天津理工大学 一种晶圆级层数可控硫化钼及其制备方法
CN117525212A (zh) * 2024-01-04 2024-02-06 无锡松煜科技有限公司 一种太阳能电池钝化结构及其制备方法

Families Citing this family (158)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090110892A1 (en) * 2004-06-30 2009-04-30 General Electric Company System and method for making a graded barrier coating
US8034419B2 (en) 2004-06-30 2011-10-11 General Electric Company Method for making a graded barrier coating
US20070151842A1 (en) * 2005-12-15 2007-07-05 Fluens Corporation Apparatus for reactive sputtering
EP2000008B1 (en) 2006-03-26 2011-04-27 Lotus Applied Technology, Llc Atomic layer deposition system and method for coating flexible substrates
US20070281089A1 (en) * 2006-06-05 2007-12-06 General Electric Company Systems and methods for roll-to-roll atomic layer deposition on continuously fed objects
US7573420B2 (en) * 2007-05-14 2009-08-11 Infineon Technologies Ag RF front-end for a radar system
US20080166880A1 (en) * 2007-01-08 2008-07-10 Levy David H Delivery device for deposition
US11136667B2 (en) 2007-01-08 2021-10-05 Eastman Kodak Company Deposition system and method using a delivery head separated from a substrate by gas pressure
US8207063B2 (en) * 2007-01-26 2012-06-26 Eastman Kodak Company Process for atomic layer deposition
JP2008270670A (ja) * 2007-04-24 2008-11-06 Oki Electric Ind Co Ltd 薄膜形成装置及び薄膜形成方法
US20080299771A1 (en) * 2007-06-04 2008-12-04 Irving Lyn M Methods of making thin film transistors comprising zinc-oxide-based semiconductor materials and transistors made thereby
US8398770B2 (en) * 2007-09-26 2013-03-19 Eastman Kodak Company Deposition system for thin film formation
US7972898B2 (en) * 2007-09-26 2011-07-05 Eastman Kodak Company Process for making doped zinc oxide
US7572686B2 (en) * 2007-09-26 2009-08-11 Eastman Kodak Company System for thin film deposition utilizing compensating forces
GB0718841D0 (en) * 2007-09-26 2007-11-07 Eastman Kodak Co Method of making a colour filter array
GB0718840D0 (en) * 2007-09-26 2007-11-07 Eastman Kodak Co Method of patterning vapour deposition by printing
US8182608B2 (en) * 2007-09-26 2012-05-22 Eastman Kodak Company Deposition system for thin film formation
GB0718839D0 (en) * 2007-09-26 2007-11-07 Eastman Kodak Co method of patterning a mesoporous nano particulate layer
US8153352B2 (en) 2007-11-20 2012-04-10 Eastman Kodak Company Multicolored mask process for making display circuitry
WO2009075585A1 (en) * 2007-12-10 2009-06-18 Universitetet I Oslo Method of depositing a doped zinc oxide film, a conductive zinc oxide film and use of the doped zinc oxide film
US20090291209A1 (en) 2008-05-20 2009-11-26 Asm International N.V. Apparatus and method for high-throughput atomic layer deposition
US9238867B2 (en) 2008-05-20 2016-01-19 Asm International N.V. Apparatus and method for high-throughput atomic layer deposition
IT1393401B1 (it) * 2008-07-28 2012-04-20 Enea Ente Per Le Nuova Tecnologie L En E L Ambiente Metodo per la fabbricazione in linea di strati sottili di zno b trasparente conduttivo e testurizzato su larga area e relativo apparato
EP2159304A1 (en) 2008-08-27 2010-03-03 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO Apparatus and method for atomic layer deposition
TW201014926A (en) * 2008-10-15 2010-04-16 Nat Univ Tsing Hua Method for producing metallic oxide film having high dielectric constant
EP3483919A1 (en) * 2008-12-04 2019-05-15 Veeco Instruments Inc. Chemical vapor deposition flow inlet elements and methods
GB0822985D0 (en) * 2008-12-18 2009-01-28 Eastman Kodak Co Vapour deposited planar pv cell
US8102114B2 (en) * 2009-02-27 2012-01-24 Global Oled Technology, Llc. Method of manufacturing an inverted bottom-emitting OLED device
US20100221426A1 (en) * 2009-03-02 2010-09-02 Fluens Corporation Web Substrate Deposition System
US8657959B2 (en) * 2009-07-31 2014-02-25 E I Du Pont De Nemours And Company Apparatus for atomic layer deposition on a moving substrate
US20110023775A1 (en) * 2009-07-31 2011-02-03 E.I. Du Pont De Nemours And Company Apparatus for atomic layer deposition
US8900674B2 (en) * 2009-10-06 2014-12-02 Tel Solar Ag Method of coating a substrate
BR112012008642A2 (pt) 2009-10-14 2017-06-13 Lotus Applied Tech Llc inibição de transporte de excesso de precursor entre zonas de precursor separadas em um sistema de deposição de camada atômica.
US20110120544A1 (en) 2009-11-20 2011-05-26 Levy David H Deposition inhibitor composition and method of use
US7998878B2 (en) * 2009-11-20 2011-08-16 Eastman Kodak Company Method for selective deposition and devices
US8168546B2 (en) * 2009-11-20 2012-05-01 Eastman Kodak Company Method for selective deposition and devices
WO2011062779A1 (en) 2009-11-20 2011-05-26 Eastman Kodak Company Method for selective deposition and devices
US8637123B2 (en) 2009-12-29 2014-01-28 Lotus Applied Technology, Llc Oxygen radical generation for radical-enhanced thin film deposition
EP2360293A1 (en) 2010-02-11 2011-08-24 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Method and apparatus for depositing atomic layers on a substrate
US20110207301A1 (en) * 2010-02-19 2011-08-25 Kormanyos Kenneth R Atmospheric pressure chemical vapor deposition with saturation control
US8803203B2 (en) * 2010-02-26 2014-08-12 Eastman Kodak Company Transistor including reentrant profile
US7923313B1 (en) 2010-02-26 2011-04-12 Eastman Kodak Company Method of making transistor including reentrant profile
EP2362411A1 (en) 2010-02-26 2011-08-31 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus and method for reactive ion etching
KR101669953B1 (ko) 2010-03-26 2016-11-09 삼성전자 주식회사 산화물 박막, 산화물 박막의 형성 방법 및 산화물 박막을 포함하는 전자 소자
US8865259B2 (en) 2010-04-26 2014-10-21 Singulus Mocvd Gmbh I.Gr. Method and system for inline chemical vapor deposition
FI124414B (fi) 2010-04-30 2014-08-29 Beneq Oy Lähde ja järjestely substraatin käsittelemiseksi
US20120149210A1 (en) * 2010-07-30 2012-06-14 Colvin Ronald L Systems, apparatuses, and methods for chemically processing substrates using the coanda effect
FI124113B (fi) * 2010-08-30 2014-03-31 Beneq Oy Laitteisto ja menetelmä substraatin pinnan muokkaamiseksi
US20130269767A1 (en) * 2010-09-03 2013-10-17 Tel Solar Ag Method of coating a substrate for manufacturing a solar cell
US8486192B2 (en) 2010-09-30 2013-07-16 Soitec Thermalizing gas injectors for generating increased precursor gas, material deposition systems including such injectors, and related methods
US8133806B1 (en) 2010-09-30 2012-03-13 S.O.I.Tec Silicon On Insulator Technologies Systems and methods for forming semiconductor materials by atomic layer deposition
TWI541378B (zh) 2010-10-16 2016-07-11 奧特科技公司 原子層沉積鍍膜系統及方法
US8304347B2 (en) 2011-01-07 2012-11-06 Eastman Kodak Company Actuating transistor including multiple reentrant profiles
WO2012094109A1 (en) 2011-01-07 2012-07-12 Eastman Kodak Company Transistor including reduced channel length
US8409937B2 (en) 2011-01-07 2013-04-02 Eastman Kodak Company Producing transistor including multi-layer reentrant profile
US8338291B2 (en) 2011-01-07 2012-12-25 Eastman Kodak Company Producing transistor including multiple reentrant profiles
US7985684B1 (en) 2011-01-07 2011-07-26 Eastman Kodak Company Actuating transistor including reduced channel length
WO2012094357A2 (en) 2011-01-07 2012-07-12 Eastman Kodak Company Transistor including multiple reentrant profiles
US8383469B2 (en) 2011-01-07 2013-02-26 Eastman Kodak Company Producing transistor including reduced channel length
US8847226B2 (en) 2011-01-07 2014-09-30 Eastman Kodak Company Transistor including multiple reentrant profiles
US8847232B2 (en) 2011-01-07 2014-09-30 Eastman Kodak Company Transistor including reduced channel length
US8492769B2 (en) 2011-01-07 2013-07-23 Eastman Kodak Company Transistor including multi-layer reentrant profile
US20120222620A1 (en) 2011-03-01 2012-09-06 Applied Materials, Inc. Atomic Layer Deposition Carousel with Continuous Rotation and Methods of Use
US8697198B2 (en) * 2011-03-31 2014-04-15 Veeco Ald Inc. Magnetic field assisted deposition
DE102011077833A1 (de) * 2011-06-20 2012-12-20 Gebr. Schmid Gmbh Verfahren zur Bearbeitung von Substraten und Vorrichtung dazu
US20130001596A1 (en) * 2011-06-28 2013-01-03 Osram Sylvania Inc. Deposition of esd protection on printed circuit boards
US9000453B2 (en) 2011-06-28 2015-04-07 Osram Sylvania Inc. Electrostatic discharge protection for electrical components, devices including such protection and methods for making the same
WO2013022097A1 (ja) 2011-08-10 2013-02-14 太陽化学工業株式会社 プライマー薄膜を含む構造体及び該構造体の製造方法
US8617942B2 (en) 2011-08-26 2013-12-31 Eastman Kodak Company Producing transistor including single layer reentrant profile
US8592909B2 (en) 2011-08-26 2013-11-26 Eastman Kodak Company Transistor including single layer reentrant profile
US8637355B2 (en) 2011-08-26 2014-01-28 Eastman Kodak Company Actuating transistor including single layer reentrant profile
DE102011053229A1 (de) * 2011-09-02 2013-03-07 Solibro Gmbh Abscheideverfahren und Abscheidevorrichtung
US8273654B1 (en) 2011-09-29 2012-09-25 Eastman Kodak Company Producing a vertical transistor including reentrant profile
US8623757B2 (en) 2011-09-29 2014-01-07 Eastmak Kodak Company Producing a vertical transistor including reentrant profile
US8865576B2 (en) 2011-09-29 2014-10-21 Eastman Kodak Company Producing vertical transistor having reduced parasitic capacitance
US8803227B2 (en) 2011-09-29 2014-08-12 Eastman Kodak Company Vertical transistor having reduced parasitic capacitance
US8507947B2 (en) * 2011-12-09 2013-08-13 Power Integrations, Inc. High quality GaN high-voltage HFETS on silicon
US9748125B2 (en) 2012-01-31 2017-08-29 Applied Materials, Inc. Continuous substrate processing system
US8741772B2 (en) * 2012-02-16 2014-06-03 Intermolecular, Inc. In-situ nitride initiation layer for RRAM metal oxide switching material
US8698230B2 (en) 2012-02-22 2014-04-15 Eastman Kodak Company Circuit including vertical transistors with a conductive stack having reentrant profile
US8633068B2 (en) 2012-02-22 2014-01-21 Eastman Kodak Company Vertical transistor actuation
GB201206096D0 (en) * 2012-04-05 2012-05-16 Dyson Technology Ltd Atomic layer deposition
KR101503031B1 (ko) * 2013-04-25 2015-03-18 한국화학연구원 아미노싸이올레이트를 이용한 납 전구체, 이의 제조방법, 및 이를 이용하여 박막을 형성하는 방법
US8653516B1 (en) 2012-08-31 2014-02-18 Eastman Kodak Company High performance thin film transistor
US8791023B2 (en) 2012-08-31 2014-07-29 Eastman Kodak Company Patterned thin film dielectric layer formation
US8927434B2 (en) 2012-08-31 2015-01-06 Eastman Kodak Company Patterned thin film dielectric stack formation
US8846545B2 (en) 2012-08-31 2014-09-30 Eastman Kodak Company Method of forming patterned thin film dielectric stack
KR102003768B1 (ko) 2012-11-13 2019-07-26 삼성디스플레이 주식회사 기상 증착 장치 및 유기 발광 표시 장치 제조 방법
DE102012221080A1 (de) * 2012-11-19 2014-03-06 Osram Opto Semiconductors Gmbh Verfahren zur Herstellung einer Schicht auf einem Oberflächenbereich eines elektronischen Bauelements
JP5913079B2 (ja) * 2012-12-21 2016-04-27 東京エレクトロン株式会社 成膜方法
US9175389B2 (en) * 2012-12-21 2015-11-03 Intermolecular, Inc. ALD process window combinatorial screening tool
CN105143502B (zh) 2013-03-11 2017-11-14 应用材料公司 高温处理腔室盖体
LT3003286T (lt) 2013-05-24 2022-08-10 Nanexa Ab Kietųjų nanodalelių su neorganine danga gamybos būdas ir jų naudojimas
US20140374806A1 (en) 2013-06-19 2014-12-25 Lee W. Tutt Four terminal transistor
US8946070B2 (en) 2013-06-19 2015-02-03 Eastman Kodak Company Four terminal transistor fabrication
US8937016B2 (en) 2013-06-21 2015-01-20 Eastman Kodak Company Substrate preparation for selective area deposition
US8921236B1 (en) 2013-06-21 2014-12-30 Eastman Kodak Company Patterning for selective area deposition
KR20150029427A (ko) 2013-09-10 2015-03-18 삼성디스플레이 주식회사 기상 증착 장치, 기상 증착 방법 및 유기 발광 표시 장치 제조 방법
CN104746039A (zh) * 2013-12-31 2015-07-01 嘉兴科民电子设备技术有限公司 一种铝掺杂氧化锌薄膜的制备方法
KR101463105B1 (ko) * 2014-01-02 2014-12-04 연세대학교 산학협력단 황화 텅스텐층 형성 방법 및 황화 텅스텐층 형성 장치
US9214560B2 (en) 2014-03-06 2015-12-15 Eastman Kodak Company VTFT including overlapping electrodes
US9142647B1 (en) 2014-03-06 2015-09-22 Eastman Kodak Company VTFT formation using selective area deposition
US9178029B2 (en) 2014-03-06 2015-11-03 Eastman Kodak Company Forming a VTFT gate using printing
US9202898B2 (en) 2014-03-06 2015-12-01 Eastman Kodak Company Fabricating VTFT with polymer core
US9129993B1 (en) 2014-03-06 2015-09-08 Eastman Kodak Company Forming a VTFT using printing
US9093470B1 (en) 2014-03-06 2015-07-28 Eastman Kodak Company VTFT formation using capillary action
WO2015134082A1 (en) 2014-03-06 2015-09-11 Eastman Kodak Company Vtft with polymer core
US9117914B1 (en) 2014-03-06 2015-08-25 Eastman Kodak Company VTFT with polymer core
US9198283B2 (en) 2014-03-06 2015-11-24 Eastman Kodak Company Vertically spaced electrode structure
US9147770B1 (en) 2014-03-06 2015-09-29 Eastman Kodak Company VTFT with extended electrode
US9153445B2 (en) 2014-03-06 2015-10-06 Eastman Kodak Company Forming a VTFT with aligned gate
US9331205B2 (en) 2014-03-06 2016-05-03 Eastman Kodak Company VTFT with post, cap, and aligned gate
US9153698B2 (en) 2014-03-06 2015-10-06 Eastman Kodak Company VTFT with gate aligned to vertical structure
US9123815B1 (en) 2014-03-06 2015-09-01 Eastman Kodak Company VTFTs including offset electrodes
US9236486B2 (en) 2014-03-06 2016-01-12 Eastman Kodak Company Offset independently operable VTFT electrodes
KR101574948B1 (ko) * 2014-04-10 2015-12-07 주식회사 테스 공정가스의 흐름조정장치
EP2960059B1 (en) 2014-06-25 2018-10-24 Universal Display Corporation Systems and methods of modulating flow during vapor jet deposition of organic materials
US11267012B2 (en) 2014-06-25 2022-03-08 Universal Display Corporation Spatial control of vapor condensation using convection
US11220737B2 (en) * 2014-06-25 2022-01-11 Universal Display Corporation Systems and methods of modulating flow during vapor jet deposition of organic materials
US9755235B2 (en) 2014-07-17 2017-09-05 Ada Technologies, Inc. Extreme long life, high energy density batteries and method of making and using the same
US9368490B2 (en) 2014-10-29 2016-06-14 Eastman Kodak Company Enhancement-depletion mode inverter with two transistor architectures
US9443887B1 (en) 2015-06-12 2016-09-13 Eastman Kodak Company Vertical and planar TFTS on common substrate
US9368491B2 (en) 2014-10-29 2016-06-14 Eastman Kodak Company Enhancement mode inverter with variable thickness dielectric stack
US9620501B1 (en) 2014-09-16 2017-04-11 Eastman Kodak Company Enhancement-depletion mode circuit element with differential passivation
US9634145B2 (en) 2014-10-29 2017-04-25 Eastman Kodak Company TFT substrate with variable dielectric thickness
WO2016209460A2 (en) 2015-05-21 2016-12-29 Ada Technologies, Inc. High energy density hybrid pseudocapacitors and method of making and using the same
US9401430B1 (en) 2015-06-12 2016-07-26 Eastman Kodak Company VTFT with a top-gate structure
US9653493B2 (en) 2015-06-12 2017-05-16 Eastman Kodak Company Bottom-gate and top-gate VTFTs on common structure
US10692659B2 (en) 2015-07-31 2020-06-23 Ada Technologies, Inc. High energy and power electrochemical device and method of making and using same
KR102420015B1 (ko) * 2015-08-28 2022-07-12 삼성전자주식회사 Cs-ald 장치의 샤워헤드
US10566534B2 (en) 2015-10-12 2020-02-18 Universal Display Corporation Apparatus and method to deliver organic material via organic vapor-jet printing (OVJP)
TWI650889B (zh) * 2016-07-22 2019-02-11 南韓商Ncd股份有限公司 在oled上形成無機薄層的方法
US9859308B1 (en) 2016-07-29 2018-01-02 Eastman Kodak Company Multiple TFTs on common vertical support element
US9799752B1 (en) 2016-10-31 2017-10-24 Eastman Kodak Company Method for forming a thin-film transistor
US10584413B2 (en) 2017-03-14 2020-03-10 Eastman Kodak Company Vertical system with vacuum pre-loaded deposition head
US10400332B2 (en) * 2017-03-14 2019-09-03 Eastman Kodak Company Deposition system with interlocking deposition heads
US11248292B2 (en) 2017-03-14 2022-02-15 Eastman Kodak Company Deposition system with moveable-position web guides
US10895011B2 (en) 2017-03-14 2021-01-19 Eastman Kodak Company Modular thin film deposition system
US20180265977A1 (en) 2017-03-14 2018-09-20 Eastman Kodak Company Deposition system with vacuum pre-loaded deposition head
US10435788B2 (en) 2017-03-14 2019-10-08 Eastman Kodak Deposition system with repeating motion profile
US10550476B2 (en) 2017-03-14 2020-02-04 Eastman Kodak Company Heated gas-bearing backer
US10422038B2 (en) 2017-03-14 2019-09-24 Eastman Kodak Company Dual gas bearing substrate positioning system
US11024846B2 (en) 2017-03-23 2021-06-01 Ada Technologies, Inc. High energy/power density, long cycle life, safe lithium-ion battery capable of long-term deep discharge/storage near zero volt and method of making and using the same
US20190186012A1 (en) * 2017-12-19 2019-06-20 Eastman Kodak Company Thin-film optical device with varying layer composition
US20190186008A1 (en) * 2017-12-19 2019-06-20 Eastman Kodak Company Process for forming compositionally-graded thin films
FI128427B (en) 2018-04-12 2020-05-15 Beneq Oy Nozzle head and device
KR102449895B1 (ko) 2018-05-18 2022-09-30 삼성전자주식회사 반도체 장치와 그 제조 방법
US11306396B2 (en) * 2018-11-30 2022-04-19 Meidensha Corporation Oxide film forming device
GB2585077A (en) 2019-06-28 2020-12-30 Nanexa Ab Apparatus
US11658300B2 (en) 2019-08-13 2023-05-23 Graphenix Development, Inc. Anodes for lithium-based energy storage devices, and methods for making same
US11495782B2 (en) 2019-08-26 2022-11-08 Graphenix Development, Inc. Asymmetric anodes for lithium-based energy storage devices
JP6860048B2 (ja) * 2019-08-30 2021-04-14 株式会社明電舎 原子層堆積方法
JP7098677B2 (ja) 2020-03-25 2022-07-11 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
US20220064792A1 (en) * 2020-08-25 2022-03-03 Innovalens B.V. Partial coating of intraocular lenses using spatial atomic layer deposition
DE102021206168A1 (de) 2021-06-16 2022-12-22 Carl Zeiss Smt Gmbh Verfahren zum Abscheiden einer Deckschicht, reflektives optisches Element für den EUV-Wellenlängenbereich und EUV-Lithographiesystem
US11320393B1 (en) 2021-08-03 2022-05-03 King Abdulaziz University Gas sensor for detection of toxic gases
DE102021214362A1 (de) 2021-12-15 2023-06-15 Carl Zeiss Smt Gmbh Verfahren zur Herstellung einer Schutzabdeckung und EUV-Lithographiesystem
CN117727695B (zh) * 2024-02-07 2024-05-07 中国科学院长春光学精密机械与物理研究所 一种降低漏电的cmos器件及其制备方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3850679A (en) * 1972-12-15 1974-11-26 Ppg Industries Inc Chemical vapor deposition of coatings
FI57975C (fi) * 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
JPS57130435U (zh) * 1981-02-06 1982-08-14
US4731255A (en) * 1984-09-26 1988-03-15 Applied Materials Japan, Inc. Gas-phase growth process and an apparatus for the same
JPS6482631A (en) * 1987-09-25 1989-03-28 Mitsubishi Electric Corp Atmospheric pressure cvd system
FI100409B (fi) * 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
FI118474B (fi) * 1999-12-28 2007-11-30 Asm Int Laite ohutkalvojen valmistamiseksi
US20030116087A1 (en) * 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US20050084610A1 (en) * 2002-08-13 2005-04-21 Selitser Simon I. Atmospheric pressure molecular layer CVD
US20040065255A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US6821563B2 (en) * 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103874783A (zh) * 2011-08-10 2014-06-18 荷兰应用科学研究组织 用于在基板上沉积原子层的方法及装置
US9567671B2 (en) 2011-08-10 2017-02-14 Nederlandse Organisatie Voor Toegepast-Natuurwetenschappelijk Onderzoek Tno Method and apparatus for depositing atomic layers on a substrate
CN103959434A (zh) * 2011-12-05 2014-07-30 伊斯曼柯达公司 通过使用聚合物掩模的选择性沉积
CN102992389A (zh) * 2012-12-13 2013-03-27 上海纳米技术及应用国家工程研究中心有限公司 一种生长氧化锌纳米线阵列的制备方法
CN108884567A (zh) * 2016-04-01 2018-11-23 3M创新有限公司 辊到辊原子层沉积设备和方法
CN106000409B (zh) * 2016-05-19 2018-12-04 陕西师范大学 用于co2氧化乙苯脱氢制苯乙烯的铁基复合氧化物催化剂
CN106000409A (zh) * 2016-05-19 2016-10-12 陕西师范大学 用于co2氧化乙苯脱氢制苯乙烯的铁基复合氧化物催化剂
CN110382737A (zh) * 2017-03-14 2019-10-25 伊斯曼柯达公司 带有模块化沉积头的沉积系统
CN110382737B (zh) * 2017-03-14 2021-09-07 伊斯曼柯达公司 一种用于薄膜沉积系统的沉积单元
WO2018210273A1 (zh) * 2017-05-17 2018-11-22 李哲峰 一种具有同一等离子体源的原子层沉积装置及方法
CN107313028A (zh) * 2017-07-11 2017-11-03 秦皇岛博硕光电设备股份有限公司 原子层沉积装置及具有孔状结构的基材的原子层沉积方法
CN107313028B (zh) * 2017-07-11 2023-10-10 秦皇岛博硕光电设备股份有限公司 原子层沉积装置及具有孔状结构的基材的原子层沉积方法
CN109534404A (zh) * 2018-12-13 2019-03-29 上海纳米技术及应用国家工程研究中心有限公司 表面改性氧化钨纳米材料的制备方法及其产品和应用
CN109534404B (zh) * 2018-12-13 2021-05-04 上海纳米技术及应用国家工程研究中心有限公司 表面改性氧化钨纳米材料的制备方法及其产品和应用
CN113088932A (zh) * 2021-03-30 2021-07-09 天津理工大学 一种晶圆级层数可控硫化钼及其制备方法
CN117525212A (zh) * 2024-01-04 2024-02-06 无锡松煜科技有限公司 一种太阳能电池钝化结构及其制备方法
CN117525212B (zh) * 2024-01-04 2024-03-19 无锡松煜科技有限公司 一种太阳能电池钝化结构及其制备方法

Also Published As

Publication number Publication date
KR20080109002A (ko) 2008-12-16
US20070238311A1 (en) 2007-10-11
TWI396768B (zh) 2013-05-21
EP1999295B1 (en) 2013-08-07
TW200808997A (en) 2008-02-16
WO2007126585A3 (en) 2008-04-24
JP5149272B2 (ja) 2013-02-20
JP2009531549A (ja) 2009-09-03
EP1999295A2 (en) 2008-12-10
WO2007126585A2 (en) 2007-11-08
US7413982B2 (en) 2008-08-19

Similar Documents

Publication Publication Date Title
CN101415860A (zh) 原子层沉积方法
CN101415862A (zh) 原子层沉积装置
CN101809195B (zh) 无机材料的选择区域沉积法
US8207063B2 (en) Process for atomic layer deposition
CN101578391B (zh) 沉积系统及方法
CN102017104B (zh) 用于制造掺杂的氧化锌的方法
CN101809190B (zh) 由原子层沉积制造薄膜晶体管的方法
CN101809188B (zh) 用于形成薄膜密封层的工艺
US7858144B2 (en) Process for depositing organic materials
CN101809187A (zh) 用于无机材料的选择区域沉积的有机硅氧烷材料
CN101809193A (zh) 使用具有空间分隔的反应性气体的气体输送头和移动基材经过输送头的用于形成薄膜的方法和沉积系统
Oruç ZnO, TiO² and Exotic Materials for Low Temperature Thin Film Electronic Devices

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Open date: 20090422