CN101415862A - 原子层沉积装置 - Google Patents

原子层沉积装置 Download PDF

Info

Publication number
CN101415862A
CN101415862A CNA2007800116858A CN200780011685A CN101415862A CN 101415862 A CN101415862 A CN 101415862A CN A2007800116858 A CNA2007800116858 A CN A2007800116858A CN 200780011685 A CN200780011685 A CN 200780011685A CN 101415862 A CN101415862 A CN 101415862A
Authority
CN
China
Prior art keywords
output channel
distributing manifold
gaseous substance
base material
stream
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2007800116858A
Other languages
English (en)
Inventor
D·H·李维
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Eastman Kodak Co
Original Assignee
Eastman Kodak Co
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Eastman Kodak Co filed Critical Eastman Kodak Co
Publication of CN101415862A publication Critical patent/CN101415862A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45595Atmospheric CVD gas inlets with no enclosed reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • C23C16/545Apparatus specially adapted for continuous coating for coating elongated substrates

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

本发明提供一种用于在基材(20)上沉积薄膜物质的分配歧管(10),所述分配歧管包括多个用于序列气态物质的入口(18),包括多个开放的伸长输出通道(12)的输出面,各通道以长度方向实质平行延伸。所述分配歧管(10)可用于沉积薄膜的沉积系统,且进一步包括用于多种气态物质的多个源,和用于使基材按预定紧密接近分配歧管(10)输出面定位的载体。在系统操作期间,完成输出面和基材载体之间的相对移动。

Description

原子层沉积装置
发明领域
本发明整体涉及薄膜物质的沉积,更具体地讲,涉及利用允许跨基材横向气体流动的分配歧管在基材上沉积原子层的装置。
发明背景
在薄膜沉积广泛使用的技术中,化学气相沉积(CVD)用化学反应性分子在反应室中反应,以在基材上沉积所需薄膜。用于CVD应用的分子前体包括待沉积薄膜的单质(原子)成分,一般也包括其他成分。为了在基材上反应形成薄膜,CVD前体为在气相输送到室的挥发性分子。化学反应沉积所需薄膜厚度的薄膜。
大多数CVD技术一般需要将精确控制的一种或多种分子前体流加入CVD反应器。基材在控制压力条件下保持在精确控制温度,以促进这些分子前体之间的化学反应,同时有效除去副产物。获得最佳CVD性能需要在整个过程中达到并维持气流、温度和压力的稳态条件,并使瞬变过程达到最小或消除。
尤其在半导体、集成电路和其他电子器件领域,需要超过常规CVD技术可取得限度的具有优良保形涂覆性质的薄膜,尤其是较高品质的致密薄膜,尤其是能够在较低温度制成的薄膜。
原子层沉积(“ALD”)是一种供选的薄膜沉积技术,与前面CVD技术相比,这种技术可提供改善的厚度分辨率和保形能力。ALD过程将常规CVD的常规薄膜沉积过程分成单原子层沉积步骤。最好ALD步骤自己终止,并且在进行到或超过自身终止暴露时间时能够精确沉积一个原子层。原子层一般为0.1至0.5个分子单层,并且一般尺寸大约不大于数个埃。在ALD中,沉积原子层是反应性分子前体和基材化学反应的结果。在各个单独的ALD反应沉积步骤中,纯反应沉积所需原子层,并且实质消除最初在分子前体中包含的“额外”原子。在其最纯态,ALD在其他反应前体完全不存在下包括各前体的吸收和反应。实际上,在任何系统中都难以避免不同前体的一些直接反应,产生少量化学气相沉积反应。为进行ALD提出的系统的目的是获得装置性能,并归结为与ALD系统相称,同时认识到能够容许少量CVD反应。
在ALD应用中,一般在单独阶段将两种分子前体引入ALD反应器。例如,金属前体分子MLx包括结合到原子或分子配位体L的金属元素M。例如,M可以为但不限于Al、W、Ta、Si、Zn等。在基材表面经制备能与分子前体直接反应时,金属前体与基材反应。例如,基材表面一般经制备,以包含能与金属前体反应的含氢配位体AH等。硫(S)、氧(O)和氮(N)为一般A物质。气态前体分子与基材表面上的所有配位体有效反应,致使沉积单一金属原子层:
基材-AH+MLx→基材-AMLx-1+HL         (1)
其中HL为反应副产物。反应期间,初始表面配位体AH消耗,表面变得以L配位体覆盖,L配位体可不进一步与金属前体MLx反应。因此,在表面上所有的初始AH配位体以AMLx-1代替时,反应自己终止。在反应阶段后一般为惰性气体吹扫阶段,在单独引入其他单体前,惰性气体吹扫阶段从室中排除过量的金属前体。
然后用第二分子前体恢复基材对金属前体的表面反应性。这例如通过除去L配位体和重新沉积AH配位体来进行。在此情况下,第二前体一般包含所需(通常为非金属)元素A(即,O、N、S)和氢(即H2O、NH3、H2S)。下一步反应如下:
基材-A-ML+AHY→基材-A-M-AH+HL             (2)
这使表面回转到其AH覆盖态。(在此为了简单起见,化学反应未配平)。所需的其他元素A结合到薄膜,不需要的配位体L作为挥发性副产物排除。反应再一次消耗反应部位(这一次为L终止的部位),并且在基材上的反应部位完全耗尽时自身终止。然后,第二分子前体通过在第二吹扫阶段使惰性吹扫气体流动从沉积室移除。
这样,概括地讲,ALD方法需要按次序改变化学物质到基材的流量。以上讨论的代表性ALD方法为具有四个不同操作阶段的周期:
1.MLx反应;
2.清除MLx
3.AHy反应;和
4.清除AHy,然后回到阶段1。
表面反应和前体移除交替的这一重复序列以居间吹扫操作使基材表面恢复到其初始反应状态是一种典型的ALD沉积周期。ALD操作的关键特点是基材恢复到其初始表面化学条件。利用这一组重复步骤,可使薄膜以相等计量层成层到基材,这些层在化学动力学、每周期的沉积、组成和厚度方面完全相同。
可用ALD作为制造步骤形成多种薄膜电子器件,包括半导体器件和支持电子元件,如电阻器和电容器、绝缘体、总线线路及其他导电结构。ALD特别适用于形成电子器件的元件中的金属氧化物薄层。可用ALD沉积的功能物质的一般种类包括导体、电介质或绝缘体及半导体。
导体可以为任何可用的导电物质。例如,导体可包括透明导体,如氧化锡铟(ITO)、ZnO、SnO2或In2O3。导体的厚度可以变化,根据特别实例,可以为50至1000nm。
电介质使图形电路的不同部分电绝缘。电介质层也可被称为绝缘体或绝缘层。用作电介质的物质的具体实例包括strontiates、钽酸盐、钛酸盐、锆酸盐、氧化铝、氧化硅、氧化钽、氧化铪、氧化钛、硒化锌和硫化锌。此外,可用这些实例的合金、组合和多层作为电介质。在这些物质中,氧化铝是优选的。
电介质结构层可包括两个或更多个具有不同介电常数的层。这些绝缘体讨论于美国专利5,981,970和同时待审的美国申请11/088,645。电介质材料一般显示大于5eV的带隙。所用电介质层的厚度可以变化,根据特别实例,可以为10至300nm。
所用半导体物质的实例为化合物半导体,如砷化镓、氮化镓、硫化镉、氧化锌和硫化锌。
可用上述功能层制造多种器件结构。可通过选择具有适度到不良导电性的导电物质制造电阻器。可通过在两个导体之间放置电介质制造电容器。可通过在两个导电电极之间放置两个互补载流子类型的半导体制造二极管。也可在互补载流子类型的半导体之间布置半导体区域,该半导体区域是固有的,表明此区域具有少量自由电荷载流子。也可通过在两个导体之间放置单一半导体构成二极管,其中导体/半导体的一个界面产生以一个方向强力阻碍电流的肖特基势垒。晶体管可通过在导体(栅)上放置绝缘层,随后放置半导体层制造。如果两个或多个其他导体电极(源和漏)分开与顶部半导体层接触布置,也可形成晶体管。可以不同结构产生任何以上器件,只要产生临界界面。
大气压方法使用的半导体物质应显示数种性质。在薄膜晶体管的一般应用中,需要能够控制电流通过器件的开关。因此,需要在开关接通时,高电流能够通过器件。电流的范围与半导体电荷载流子迁移率相关。当器件断开时,需要电流很小。这与电荷载流子浓度相关。此外,需要器件受可见光微弱影响或根本不受影响。为了使这成为实际,半导体带隙应足够大(>3eV),以使暴露于可见光不产生带间跃迁。能够产生高迁移率、低载流子浓度和高带隙的物质是ZnO。此外,在基于实高体积网(real high volume web)的大气压制造方案中,在方法中使用的化学物质廉价且低毒非常合乎需要,这可用ZnO及其大多数前体满足。
根据本发明方法制成的半导体薄膜显示大于0.01cm2/Vs,优选至少0.1cm2/Vs,更优选大于0.2cm2/Vs的场效应电子迁移率。此外,根据本发明制成的n-通道半导体薄膜能够提供至少104,最好至少105的通/断(on/off)比率。通/断比率测量为栅压从1个值扫描到另一个值(代表可能用于显示器栅极线上的相关电压)时的漏电流的最大值/最小值。一般组值为-10V至40V,漏电压保持在30V。
自饱和表面反应使ALD对传送不均匀性不敏感,由于工程容差和流系统限制或者与表面形貌有关,这可能另外削弱表面均匀性(即,沉积成三维高长宽比结构)。通常,在反应过程中化学物质的不均匀流量一般导致在不同区域不同完成次数。然而,利用ALD允许各反应在整个基材表面上完成。因此,完成动力学的差异没有对均匀性产生不利。这是因为首先完成反应的区域自身终止反应;其他区域能够继续,直到完全处理的表面经历预期反应。
一般ALD方法在单一ALD周期中沉积0.1-0.2nm薄膜(利用以前所列的编号步骤1至4)。为了对很多或大多数半导体应用提供3nm至30nm范围均匀薄膜厚度,甚至是其他应用的较厚薄膜,应达到有用且经济可行的周期时间。工业通过标准要求基材2分钟至3分钟内处理,这意味ALD周期时间应为0.6秒至6秒。
ALD为提供控制水平的高度均匀薄膜沉积给予相当大希望。然而,为了利用其内在能力,一些技术限制仍然保留。一个重要考虑涉及所需的周期数。由于其重复反应物和吹扫周期,有效使用ALD需要能够与快速进行吹扫周期一起突然使化学物质流从MLx改变到AHy的装置。常规的ALD系统设计是以所需次序使不同气态物质快速脉冲到基材上。然而获得以所需速度且在没有一些不需要混合下将所需系列气态配制物引入室中的可行方案很难。另外,为了允许成本有效涂覆很多基材,ALD装置应能够对于很多周期有效和可靠执行这一定序。
为了在任何给定反应温度使ALD反应需要达到自身终止的时间达到最少限度,一种方法用所谓的“脉冲”系统使化学物质流入ALD反应器的流量达到最大程度。为了使化学物质进入ALD反应器的流量最大化,有利以最小惰性气体稀释并在高压将分子前体引入ALD反应器。然而,这些措施对需要达到短周期时间和快速从ALD反应器移除这些分子前体产生消极影响。快速移除又会要求在ALD反应器中的气体停留时间减少到最低限度。气体停留时间τ与反应器的体积V、在ALD反应器中的压力P和流量Q的倒数成比例,即:
τ=VP/Q                     (3)
因此,降低在ALD反应器中的压力(P)有利于低气体停留时间,并增加从ALD反应器移除(清除)化学前体的速度。相比之下,使ALD反应时间最少化需要在ALD反应器内利用高压使化学前体进入ALD反应器的流量最大化。此外,气体停留时间和化学使用效率与流量成反比。因此,尽管降低流量能够提高效率,但也增加了气体停留时间。
现有的ALD方法在需要缩短反应时间和改善化学利用效率之间折衷,另一方面,需要使吹扫气体停留时间和化学移除时间最少化。克服“脉冲”输送气态物质固有限制的一种方法是连续提供各反应气体,并使基材连续通过各气体移动。例如,授予Yudovsky的美国专利6,821,563(标题为“GAS DISTRIBUTION SYSTEM FOR CYCLICALLAYER DEPOSITION”)描述在真空下具有用于前体和吹扫气体的单独气体口并且气体口与各气体口之间的真空泵口交替的处理室。各气体口将其气流向下垂直引向基材。单独气流由壁或间壁分隔,并且具有用于在各气流的两侧抽空气体的真空泵。各间壁的下部接近基材延伸,从基材表面例如0.5mm或更大。以此方式,间壁的下部从基材表面离开足够距离,此距离足以允许气流在与基材表面反应后,围绕下部向真空口流动。
提供旋转式可旋转或其他输送装置保持一个或多个晶片基材。以此布置,使基材在不同气流下往返移动,从而实现ALD沉积。在一个实施方案中,基材以线形路径移动通过室,其中基材前后通过多次。
利用连续气流的另一种方法说明于授予Suntola等人的标题为“METHOD FOR PERFORMING GROWTH OF COMPOUND THINFILMS”的美国专利4,413,022中。气流列具有交替源气体开口、载气开口和真空排气口。在所述列上往复移动基材同样实现ALD沉积而不需要脉冲操作。在图13和14的实施方案中,尤其通过在源开口固定列上往复移动基材产生基材表面和反应性蒸气的依序相互作用。扩散壁垒由排气开口之间的载气开口形成。Suntola等人声称,此实施方案甚至可在大气压操作,尽管提供很少或没有提供此方法或实例的细节。
虽然例如在′563 Yudovsky和′022 Suntola等公开中所述的系统可避免脉冲气体方法固有的一些难题,但这些系统也有其他缺陷。如两个公开中所述,以垂直方向直接向表面驱使气流产生阻挡层效应,此效应使气流复杂化,并可导致不需要的混合。′563 Yudovsky公开的气流输送装置和′022 Suntola等公开的气流列均需要用于排出废气的位于气流供应口之间的真空口。这妨碍这些装置的紧凑性,并且为了实现ALD暴露增加需要的基材移动距离。另外,在列中不同点保持均匀真空和在补充压力保持同步气流和真空会很难,因此,危害提供到基材表面的气流的均匀性。′563 Yudovsky公开的气流输送装置和′022Suntola等公开的气流列均不能比0.5mm更近接近基材使用,因为需要在垂直方向(即垂直于基材表面的方向)保持气流。′563 Yudovsky公开的气流输送装置和′022 Suntola等公开的气流列分别都提供气流和真空,两者的复杂布置使这些解决方法难以实行,缩放比例费用大,限制了在有限尺寸移动基材上沉积应用的潜在适用性。
授予Selitser的美国专利公开2005/0084610公开一种大气压原子层化学气相沉积方法。Selitser等人阐述通过使操作压力改变到大气压额外增加反应速率,这包括反应物浓度的数量级增加,随之提高表面反应物速率。Selitser等人的实施方案包括用于方法各阶段的单独室,尽管图10显示其中室壁移除的一个实施方案。一系列分离的注射器围绕旋转的圆形基材支架轨道隔开。各注射器独立结合被操作的反应物、吹扫和排气歧管,控制并作为一个完全单层沉积和反应物吹扫周期用于在过程下通过的各基材。Selitser等人未描述或描述很少气体注射器或歧管的具体细节,尽管他们声称选择注射器间距,以便通过吹扫气流防止相邻的注射器交叉污染,并且排出歧管结合在各注射器中。
为了产量更高且制造成本更低,ALD系统允许薄膜沉积于大表面上或移动网上特别有利。然而ALD装置的常规设计不易给予此灵活性。例如,常规方法给予很小获得跨较大基材或网布置表面输送的ALD气体分配装置的希望。
因此,需要一种ALD装置,所述装置能够达到短反应时间和良好的化学利用效率,适用于较大尺寸基材,适合高速操作,包括在或接近大气压的优选方法,并且能够经济地制造和操作。
发明概述
本发明提供用于在基材上沉积薄膜物质的分配歧管,所述分配歧管包括:
(a)多个输入口,所述输入口包括能够分别接收第一气态物质、第二气态物质和第三气态物质的至少第一输入/入口、第二输入/入口和第三输入/入口;和
(b)包括多个开放的伸长输出通道的输出面,各通道以长度方向实质平行延伸,所述输出通道包括至少第一输出通道、第二输出通道和第三输出通道,其中所述输出通道沿着输出面彼此相邻,
各输出通道具有输出口,
其中用于将气态物质流提供到相应输出通道的各输出口允许与第一入口、第二入口或第三入口之一气体流通,并且能够沿着输出通道的长度方向朝向输出通道末端实质引导相应第一气态物质、第二气态物质或第三气态物质之一的流,其中所述气态物质在沿着输出通道长度自输出口移位的位置从输出通道排出。
所述分配歧管可用于在基材上沉积薄膜的沉积系统,所述沉积系统包括:
(a)分别用于多种气态物质的多个源,所述源包括分别用于第一气态物质、第二气态物质和第三气态物质的至少第一源、第二源和第三源;
(b)如上所述的分配歧管;和
(c)用于按预定紧密接近分配歧管输出面支持基材的基材载体,其中在系统操作期间,在输出面和基材之间实现相对移动,同时保持预定紧密接近。
在一个实施方案中,所述系统在分配歧管和基材之间提供相对摆动移动。在一个优选的实施方案中,可用经受薄膜沉积的基材连续移动操作所述系统,其中所述系统能够将载体输送到分配歧管上或作为网通过分配歧管,优选在处于实质大气压的非密封环境。
本发明的一个优点是提供非常适合许多不同类型基材和沉积环境的在基材上沉积原子层的紧凑装置。
本发明的另一个优点是允许在优选的实施方案中在大气压条件下操作。
本发明的另一个优点是适合在网上或其他移动基材上沉积,包括在大面积基材上沉积。
本发明的另一个优点是可用于大气压力的低温方法,此方法可在对环境大气开放的非密封环境实施。
本领域技术人员通过阅读以下详细说明并结合显示和描述本发明示例实施方案的附图,本发明的这些和其他目的、特征和优点将变得显而易见。
附图简述
虽然本说明通过特别指出并清楚地要求本发明主题的权利要求做出结论,但相信通过以下详述并结合附图,可更好地理解本发明,其中:
图1为本发明的原子层沉积的分配歧管的一个实施方案的横截面侧视图;
图2为分配歧管的一个实施方案的横截面侧视图,显示气态物质提供到经历薄膜沉积的基材的一个示例布置;
图3A和3B为示意显示伴随沉积操作的分配歧管的一个实施方案的横截面侧视图;
图4为分配歧管的一个实施方案的一部分从输出面侧的透视图,显示在基材上沉积期间输出通道相对于基材的取向和往复移动;
图5为如图4的透视图,显示气流的一种示例布置;
图6A、6B、6C和6D为正交于前面图1-3B的横截面图截取的横截面图,显示不同实施方案中输出通道的气流方向;
图7A和7B为分配歧管的一个实施方案的一部分的横截面图,显示从分配歧管的输出面到基材表面的距离减小的优点;
图8为根据图2的分配歧管的输出面的平面图,显示一个实施方案中输出通道的布置;
图9为一个实施方案中由堆叠板形成的分配歧管的一个实施方案的透视图;
图10A和10B为利用图9所示堆叠板结构的分配歧管结构的一个实施方案的分解图,分解图显示用于方法中所用不同气体的两个不同输出通道;
图11为显示使用沿着分配歧管的周边引导的惰性气体的封罩的实施方案的透视图;
图12为显示往复和正交移动的供选运动模型的示意图;
图13为利用本发明的分配歧管的沉积系统的一个实施方案的示意流程图;
图14为显示施加到移动网的沉积系统的一个实施方案的示意流程图;
图15为显示利用静态分配歧管施加到移动网的沉积系统的另一个实施方案的示意流程图;
图16为具有曲率输出面的分配歧管的一个实施方案的横截面侧视图;
图17为根据实施例的薄膜沉积的源物质的示意流程图;
图18为分配歧管的横截面侧视图,显示气态物质提供到经受实施例的薄膜沉积过程的基材的布置。
发明详述
本发明尤其涉及形成本发明的装置的部分或更直接与本发明的装置配合的元件。应了解,未明确显示或描述的元件可采取本领域技术人员熟悉的各种形式。
对于以下说明,所用术语“气体”或“气态物质”在广泛意义上包括任何范围的蒸发或气态单质、化合物或物质。本文所用其他术语,如反应物、前体、真空和惰性气体,均具有物质沉积领域技术人员了解的普通含义。提供的附图未按比例绘制,而旨在显示本发明一些实施方案的总体功能和结构布置。
本发明的装置从常规方法有意义偏离到ALD,利用将气态物质输送到基材表面的紧凑分配装置,适应在较大和基于网的基材上沉积,并且能够以提高的通过速度得到高度均匀的薄膜沉积。本发明的装置和方法利用连续(相对于脉冲)气态物质分配。本发明的装置允许在大气压或接近大气压和真空下操作,并且能够在非密封或开放空气环境操作。
参考图1,图1显示本发明用于在基材20上原子层沉积的分配歧管10的一个实施方案的横截面侧视图。分配歧管10具有接受第一气态物质的气体入口14、接受第二气态物质的气体入口16和接受第三气态物质的气体入口18。这些气体通过具有随后所述结构布置的输出通道12在输出面36排出。图1和随后图2-3B中的箭头是指气态物质的扩散输送,而不是从输出通道接收的流。所述流实质上被引出附图的页面,如以下进一步描述。
在一个实施方案中,气体入口14和16适应接收随后在基材表面上反应以实现ALD沉积的第一气体和第二气体,气体入口18接收对第一气体和第二气体为惰性的吹扫气体。分配歧管10与在基材载体上提供的基材20间隔距离D,如随后更详细描述。通过移动基材20,移动分配歧管10或移动基材20和分配歧管10两者,可在基材20和分配歧管10之间提供往复移动。在图1所示的特定实施方案中,基材20以往复方式跨输出面36移动,如图1中箭头R和基材20左右的虚轮廓线所示。应注意到,用分配歧管10沉积薄膜并不总是需要往复运动。也可在基材20和分配歧管10之间提供其他类型相对移动,例如以一个或多个方向移动基材20或分配歧管10,如随后更详细描述。
图2的横截面图显示在分配歧管10前面36的一部分排出的气流。在此特定布置中,各输出通道12与图1中看到的气体入口14、16或18之一气体流通。各输出通道12一般输送第一气态反应物质O或第二气态反应物质M或第三气态惰性物质I。
图2显示气体的相对基本或简单布置。可预想在薄膜单一沉积中在不同口依序输送多种非金属沉积前体(如物质O)或多种含金属前体物质(如物质M)。或者,在制造例如具有交替金属层或在金属氧化物中具有较少量掺杂剂的复杂薄膜物质时,可在单一输出通道施加气体反应物的混合物,例如金属前体物质的混合物或金属和非金属前体的混合物。关键需要是标为I的间流应将其中气体可能相互反应的任何反应物通道分离。第一气态反应物质O和第二气态反应物质M相互反应实现ALD沉积,但气态反应物质O和M均不与气态惰性物质I反应。图2和以下使用的命名表明气体反应物的一些一般类型。例如,第一气态反应物质O可以为氧化气态物质,第二气态反应物质M为含金属化合物,如含锌物质。惰性气态物质I可以为氮、氩、氦或在ALD系统中通常用作吹扫气体的其他气体。惰性气态物质I对第一气态反应物质O和第二气态反应物质M为惰性。在一个实施方案中,第一气态反应物质和第二气态反应物质之间的反应形成金属氧化物或其他二元化合物,如氧化锌ZnO或ZnS,用于半导体。多于两种气态反应物质之间的反应可形成三元化合物,例如ZnAlO。
图3A和3B的横截面图以简单示意形式显示在输送气态反应物质O和M时,在基材20沿着分配歧管10的输出面36通过时进行的ALD涂覆操作。在图3A中,基材20的表面首先接收来自输出通道12的氧化物质,称为输送第一气态反应物质O。基材的表面现在包含易于与物质M反应的物质O的部分反应形式。然后,在基材20进入第二气态反应物质M的金属化合物的路径时,发生与M的反应,生成金属氧化物或可由两种气态反应物质生成的一些其他薄膜物质。
如图3A和3B显示,在第一气态反应物质O和第二气态反应物质M的流之间的每个交替输出通道12提供惰性气态物质I。在所示的实施方案中,顺序的输出通道12相邻,共同享有由间壁22形成的公共边界。在此,输出通道12相互由以垂直于基材20表面延伸的间壁22限定和分隔。
值得注意的是,优选在输出通道12之间没有散置真空通道,也就是,在输送气态物质的通道的各侧没有真空通道以围绕间隔抽吸气态物质。由于使用创新性气流,这种有利的紧密布置是可能的。与对基材施加基本垂直(即正交)气流并且应然后以相反垂直方向抽出废气的前面系统的气体输送列不同,分配歧管10对各反应物和惰性气体沿着表面引导气流(在一个实施方案中优选基本层流),并且以不同方式处理废气和反应副产物,如随后所述。本发明所用的优选单向流被沿着并且大致平行于基材表面的平面引导。换句话讲,气体流基本横向于基材的平面而不是垂直于被处理的基材。
可在更详细描述于普通转让且同时提交的Levy等标题为“PROCESS FOR ATOMIC LAYER DEPOSITION”的美国申请11/392,007的方法中使用本发明的装置和系统。
图4和图5显示分配歧管10的一个实施方案的透视图,视图从输出面36观察(即,对于图1-3B从下侧观察)。限定并分隔相邻输出通道12的间壁22被部分切开显示,以允许更好可见从气体出口24流动的气流。图4和5也显示本公开附图中使用的参照x,y,z坐标轴指定。输出通道12基本上平行,并且以相当于x坐标轴的长度方向延伸。利用此坐标指定,基材20的往复移动或相对于基材20移动处于y坐标方向。
图5显示利用此实施方案从分配歧管10输送的不同气态物质的流FI、FO和FM。流FI、FO和FM处于x方向,也就是沿着伸长输出通道12长度的方向。
图6A、6B、6C和6D的横截面图正交于图1-3B的横截面截取,并显示从此视图在一个方向的优选气流。在各个输出通道12内,相当的气态物质从图6A、6B、6C和6D视图中虚示的气体输出口24流动。在图6A的实施方案中,流F1沿着输出通道12的长度并跨基材20引导气态物质,如对图4和5所述。流F1继续通过此布置中分配歧管10的边缘,向外流入环境,或者如果需要,流到气体收集歧管(未显示)。图6B显示流F2的供选实施方案,其中输出通道12也提供使气流改向或抽出气流的排气口26。图6C显示流F3的供选实施方案,其中气体输出口24居中位于输出通道12内,并且引导气态物质沿着通道在两个方向流动。图6D显示流F4的供选实施方案,其中气体输出口24也居中布置,并且多个排气口26适当接近输出通道12的极端布置。虽然优选单向流,但根据流速和特定应用中涉及的其他情况,可能发生一定程度混合,甚至可能在某种程度有益。
一种具体的分配歧管10可使用用所述流构型之一或其组合构成的输出通道12,构型可以是图6A的F1流,图6B的F2流,图6C的F3流,图6D的F4流或这样一些其他变型,其中跨基材20沿着输出通道12引导气态物质流动,优选以基本层流方式。在一个实施方案中,对输送气态反应物质的各输出通道12提供一个或多个排气口26。例如,参考图5,标为O和M的第一气态反应物质和第二气态反应物质的输出通道12按照流F2(图6B)的模型配置排出或抽出反应物质的排气口26。这允许一些物质循环,并防止接近歧管末端不合乎需要地混合和反应。标为I的惰性气态物质的输出通道12不使用排气口26,因此遵循流F1的模型(图6A)。
在通常意义上,排气口26不是真空口,而是简单提供用来在其相应的输出通道12抽出流,因此有利于在通道内的均匀流型。在气体输出口24恰好略小于气体压力反压力的负抽可帮助促进有序流动。负抽可例如在0.9和1.0大气压之间的压力操作,而一般真空为例如低于0.1个大气压。可提供如图6B和6D点线所示的任选挡板58,以将流型重新引入排气口26。
用流型如F1或F3或其具有排气口26的变型F2和F4提供超过对表面垂直引导连续气源列的常规方法(如以前背景部分中提到的那些方法)的多个优点。由于不需要单独的真空通道,分配歧管10可以非常紧凑,沿着各相邻输出通道引导气态物质。流体动力学也超过以前方法改良,因为使用的流很小可能遇到阻挡层效应。惰性气体流FI提供一种“吹扫清除”,从前面的输出通道12除去过量物质和不需要的反应副产物。惰性气体流FI也帮助分离流FO和FM的气体反应物,以使基材20表面上的这些气体反应物的混合减到最小或消除。由于不使用常规真空,分配歧管10的设计比在各气体输送通道之间需要真空泵通道且真空度要小心校准以相对于输出流平衡的气体列设计复杂程度低。
本发明所用的流布置取决于基材20和分配歧管10的输出面36之间的距离D(图1所示)。由于不需要气流围绕间壁22到真空排气,输出面36可非常接近基材表面布置,在1密耳(约0.025mm)以内。比较起来,以前引用的前面方法,如授予Yudovsky的美国专利6,821,563所述,需要气流围绕通道侧壁的边缘,因此将与基材表面的距离限制到0.5mm或更大。在本发明中,分配歧管10优选更接近基材表面布置。在一个优选的实施方案中,从基材的表面到分配歧管的输出面的距离D可为0.4mm或更小,优选在0.3mm以内,更优选在0.25mm以内。
图7A和7B的横截面图显示为什么距离D与本发明的操作一致相对较小合乎需要。在这些图中,分配歧管10从左到右在基材20上移动,如箭头所示。随着携带反应性气态物质M的输出通道12移到右侧一个区域上,它遇到来自主要为惰性气态物质I的下一个相邻(时间在前)输出通道的扩散层72。为了在基材20的表面上反应,反应性气态物质M应扩散通过扩散层72,扩散层72的厚度与距离D成比例。比较起来,图7B显示在距离D减小时发生的情况:扩散层72成比例减小。通过扩散层72扩散更快且更有效,这允许很少废物,并减少在基材20的表面上反应所需的时间总量。较低的间壁22也防止从时间在前的输出通道气体保留很少量气体。应注意到,通道中气体的流动垂直于图7A和7B的页面,如箭头后部所示,此流动保持有助于通过薄扩散层72扩散到基材表面的浓度梯度。所述表面暴露于M气流足够时间,用于扩散和混合以代替时间在前的输出通道气体。应注意到,由于气体流动是跨表面而不是直接进入表面,因此它限制出口通道之间气体反应物的不合乎需要混合,不合乎需要混合可能另外由歧管和/或基材的相对摆动加剧。
为了沿着输出通道12的长度提供平稳流动,气体输出口24可以离开垂直的一定角度倾斜,如图6A和6B所示。也可任选用某种类型的气流改向结构使向下流从气体输出口24改向,以便其形成实质平行于输出面36的流。
图8的平面图显示一个实施方案中分配歧管10的一部分的输出面36。为了优化方向性流,改向板38位于引导气态反应物质的各个输出通道12中。在所示的实施方案中,只有输送气态反应物质的输出通道12具有改向板38和排气口26。此特殊布置可在这样一些应用中有利,其中有利用惰性气体围绕分配歧管10,例如以减少环境气体不合乎需要地吸入。然而可在所有输出通道12上使用改向板38。也可在一些或所有输出通道12上使用排气口26。在另一种可能的实施方案中,可在所有通道上使用改向板,但改向板的出口边缘可根据通道考虑处于不同的x轴位置。具体地讲,合乎需要惰性流的挡板的出口边缘位置比气体反应物的处于更下x轴位置,以便惰性吹扫流可如上述作用以分隔不同的通道。
图8也显示一个实施方案中输出通道的模型。已发现在此提供惰性气体通道I作为分配歧管10的最外通道特别有利。具有第一气态反应物质O的氧化通道紧邻最外通道,因为这些调节用于与第二气态反应物质M的金属组分进行ALD反应的表面。
图9显示分配歧管10的一个实施方案,其中用具有形成管46a,b,c的孔40的堆叠金属板42和44形成宽度W、长度L和高度H的通道。图10A显示以此方式形成的分配歧管10的单一输出通道12区段的分解图,显示在交替的间壁板42和输送板44中孔40的布置。图10B显示相邻输出通道12的相似分解图。由孔40对准形成的管46a、46b和46c延伸通过分配歧管10,并提供气体流通、从外源接收不同反应物和惰性气体物质和提供沿着前述输出面36提供气流的改向结构的输入管。挡板和其他改向结构未在这些图中显示,但可用适当结构的堆叠板提供或在装置装配后施加。
图10A和10B的分解图分别显示由堆叠板42和44形成的单一输出通道12。在图10A的实例中,所示输出通道12提供从管46b提供的气态物质。在图10A所示的实施方案中,管46a和46c引导其他气体通过此通道。尺寸和孔不同于结合输出通道12的间壁板42(作为垫片和间隔元件并引导气态物质流动)的输送板44包含改向室48,改向室48将管46b中的一部分气体改向成气流F1。在图10B的实例中,所示输出通道12提供从管46a提供的气态物质。在图10B所示的实施方案中,管46b和46c引导其他气体通过此通道。板42和44应为适合引导气态反应物质的金属,例如不锈钢或其他金属。
合乎需要在对此实施方案装配大量板时,输送到基材的气流均匀跨过输送粒子流(I、M或O)的所有通道。这可用适当板设计完成,例如在各板的流型的一些部分具有限制物,这些限制物精确加工以便为各通道提供可再现的压降。
虽然堆叠板方法是构造本发明制品的特别有用的方式,但有一些建立此结构的其他方法,这些方法可用于供选的实施方案。例如,所述装置可由直接加工金属块或粘在一起的数个金属块构成。此外,可利用包括内模部件的模铸技术,这为本领域的技术人员所了解。也可用一些立体平版印刷技术构造所述装置。
可从图9、10A和10B的实例实施方案看到,可构造很小尺寸的分配歧管10,且各输出通道12具有金属镀片的宽度。例如,在使用图9、10A和10B布置的一个实施方案中,输出通道12为0.034英寸(0.86mm)宽W。在一个实施方案中,至少一个(优选全部)伸长输出通道的开口的宽度在0.2至5mm之间,更优选在0.50和1.50mm之间。可以不同厚度制造不同材料的输出通道12,以得到紧密布置的宽度W范围,优选0.01英寸(0.25mm)至0.1英寸(2.5mm)。输出通道12的长度L可根据所需均匀性和所需气体压力变化。在一个实施方案中,输出通道长度L为3英寸(75mm)。在一个实施方案中,由堆叠板42的延伸部分形成的输出通道12的高度H为0.1英寸(2.5mm)。
因为气流可能由于产生的低压区域无意吸入环境气体,所以从惰性层提供其他保护壁垒可能有用。参考图11,图11显示包封气流FE,通过包封气流FE对分配歧管10的一侧或多侧使用其他惰性气体流,以防止环境气体污染过程气体。
特别关于图3A和图3B描述,为了完成其沉积功能,分配歧管10需要相对于基材20的表面移动。此相对移动可以多种方式获得,包括移动分配歧管10和基材20之一或两者,例如通过提供基材载体的装置的移动。根据需要多少个沉积周期,移动可以是摆动或往复移动,或者可以是连续移动。也可特别在间歇方法中使用基材旋转,虽然连续方法是优选的。
一般ALD需要多个沉积周期,以各周期建立控制薄膜深度。使用以前所给气态物质的命名法,单一周期可例如在简单设计中提供一次施加第一气态反应物质O和一次施加第二气态反应物质M。
气态反应物质O和M的输出通道之间的距离决定往复移动完成每个周期所需的距离。对于关于各输出通道12具有0.034英寸名义通道宽度W的图8的实例分配歧管10,需要往复移动为至少0.204英寸(沿着本文所用y轴)。对于此实例,基材20的区域利用在此距离上移动暴露于第一气态反应物质O和第二气态反应物质M两者。在某些情况下,出于均匀性考虑可能需要在各周期随机往复移动量的措施,例如以降低边缘效应或沿着往复移动末端积累。
分配歧管10可只具有足够输出通道12以提供单一周期。或者,分配歧管10可具有多个周期布置,使其能够覆盖较大沉积区域或使其能够在一定距离往复移动,此距离允许在往复移动距离的一个横越中有两个或更多个沉积周期。
例如,在一个特别应用中,发现各O-M周期在1/4的处理表面上形成一个原子直径层。因此,在此情况下,需要4个周期在所述处理表面上形成一个原子直径均匀层。同样,在此情况下为了形成10个原子直径的均匀层,需要40个周期。
本发明分配歧管10所用的往复移动的一个优点是允许在面积超过输出面36面积的基材20上沉积。图12示意显示如何能够利用沿着箭头R所示的y轴往复运动和相对于x轴垂直或横向于往复运动移动实现此较宽区域覆盖。同样应强调,通过移动分配歧管10,利用提供移动的基材载体74移动基材20,或者移动分配歧管10和基材20两者,可如图12所示以x或y方向移动。
在图12中,分配歧管和基材的相对移动相互垂直。此相对移动平行也是可能的。在此情况下,相对移动需要具有代表摆动的非零频率分量和代表基材移位的零频率分量。此组合可通过以下方式取得:摆动与分配歧管在固定基材上移位组合;摆动与基材相对于固定基材分配歧管移位组合;或其中摆动和固定移动由分配歧管和基材两者移动提供的任何组合。
在一个优选的实施方案中,可在或接近大气压并在宽范围环境和基材温度(优选在低于300℃的温度)进行ALD。优选需要相对洁净环境使污染的可能性最小;然而,在使用本发明装置的优选实施方案时,获得优良性能不需要完全“净室”条件或惰性气体填充的封闭体。
图13显示具有用于提供相对精确控制和无污染物环境的室50的原子层沉积(ALD)系统60。气体供应源28a、28b和28c通过供应线32将第一气态物质、第二气态物质和第三气态物质提供到分配歧管10。任选使用柔性供应线32帮助容易地移动分配歧管10。为简单起见,任选的真空蒸气回收装置和其他载体组件未在图12中显示,但也可以使用。输送子系统54提供基材载体,基材载体沿着分配歧管10的输出面36输送基材20,从而提供使用本公开所用坐标轴系统在x方向的移动。通过控制逻辑处理器56,例如计算机或专用微处理器装置,可提供移动控制和阀及其他承载组件的全面控制。在图13的布置中,控制逻辑处理器56控制用于对分配歧管10提供往复移动的传动装置30,也控制输送子系统54的输送电动机52。
图14显示用于在网基材66上沉积薄膜的原子层沉积(ALD)系统70的一个供选实施方案,所述网基材66沿着作为基材载体的网输送机62被输送通过分配歧管10。分配歧管输送装置64以横向于网移动方向的方向跨网基材66的表面输送分配歧管10。在一个实施方案中,分配歧管输送装置64使用穿过网基材66宽度的导螺杆。在另一个实施方案中,在适合位置沿着网62使用多个分配歧管10。
图15显示在网布置中利用静态分配歧管10的另一种原子层沉积(ALD)系统70,其中流型垂直于图13的结构定向。在此布置中,网输送机62的移动自身提供ALD沉积所需的移动。也可在此环境中使用往复移动,例如重复反转网辊旋转的方向,以使基材66相对于分配歧管10向前和向后移动。通过允许横跨其轴与辊轴一致的弧往复移动分配歧管,而网以持续运动移动,也可得到往复移动。参考图16,图16显示分配歧管10的一部分的实施方案,其中输出面36具有一定量曲率,这一曲率对一些网涂覆应用有利。可提供凸曲率或凹曲率。
本发明的装置能有利在宽范围温度在基材上进行沉积,在一些实施方案中包括室温或接近室温。本发明的装置可在真空环境操作,但尤其适于在或接近大气压操作。
实施例
所有以下薄膜实施例利用图17所示的流装置。流装置供有氮气流81,氮气流81经纯化,以将氧和水污染物除到低于1ppm。气体由歧管转移到数个流量计,流量计控制吹扫气体和通过气泡器转移的气体的流量,以选择反应性前体。除了氮供应源外,也将空气流90输送到所述装置。空气经预处理,以除去水分。
将以下流输送到ALD涂覆装置:包含在氮气中稀释的金属前体的金属前体流92;包含在氮气中稀释的非金属前体或氧化剂的含氧化剂流93;和只由惰性气体组成的氮吹扫流95。这些流的组成和流量如下所述控制。
气泡器82包含二乙基锌在己烷中的1摩尔浓度溶液。气泡器83包含三甲基铝在己烷中的2摩尔浓度溶液。两个气泡器均保持在室温。流量计85和86将纯氮气流分别输送到二乙基锌气泡器82和三甲基铝气泡器83。气泡器的输出现在包含用相应的前体溶液饱和的氮气。这些输出流与从流量计87输送的氮气稀释流混合,得到金属前体流总流92。在以下实施例中各流如下:
流量计85:  至二乙基锌气泡器流
流量计86:  至三甲基铝气泡器流
流量计87:  至金属前体稀释流
气泡器84包含处于室温的纯水。流量计88将纯氮气流输送到气泡器84,其输出代表饱和水蒸气流。空气流由流量计91控制。水气泡器输出和空气流与从流量计89的稀释流混合,以产生含氧化剂流的总流93,此总流具有可变水成分、氧成分和总流量。在以下实施例中各流如下:
流量计88:  至水气泡器
流量计89:  至氧化剂稀释流
流量计91:  至空气流
流量计94控制输送到涂覆装置的纯氮流。
然后将物流或流92、93和95输送到大气压涂覆头,在此将它们引出通道或微室槽,如图18所示。在微室和基材97之间存在约0.15mm间隙96。微室为约2.5mm高,0.86mm宽,并且延伸于76mm涂覆头长度。将此结构中的反应物质输送到槽的中部,并流出前部和后部。
为了进行沉积,使涂覆头位于一部分基材上,然后以往复方式在基材上移动,如箭头98所示。往复循环的长度为32mm。往复循环移动速率为30mm/sec。
使用以下表征:
A.晶体管测试和分析
用本发明制造的器件的晶体管表征用Hewlett Packard HP 4156
Figure A200780011685D0028125739QIETU
参数分析仪进行。器件测试在暗密封体内空气中进行。
结果一般由数个器件平均得到。对于各器件,漏电流(Id)测量为栅压(Vg)不同值的源-漏电压(Vd)的函数。此外,对于各器件,漏电流还测量为源-漏电压不同值的栅压的函数。对于大多数器件,对于所测的各漏电压,Vg从-10V扫描到40V,一般10V、20V和30V。迁移率检测从30V扫描取得。
从数据提取的参数包括场效应迁移率(μ)、阈电压(Vth)和对于所测漏电流的Ion/Ioff比率。场效应迁移率在饱和区域提取,其中Vd>Vg-Vth。在此区域中,漏电流由以下公式给出(参见,Sze in SemiconductorDevices—Physics and Technology,John Wiley & Sons(1981)):
I d = W 2 L μ C ox ( V g - V th ) 2
其中W和L分别为通道宽度和长度,Cox为介电层的电容,此电容为电介质厚度和物质介电常数的函数。给定此公式,从对√Id与Vg曲线的线性部分的直线拟合提取饱和场效应迁移率。阈电压Vth为此直线拟合的x-截距。
对log漏电流作为栅压的函数作图。从logId绘图提取的参数包括Ion/Ioff比率。Ion/Ioff比率简单为最大与最小漏电流的比率。
B.击穿电压表征:
所制造器件的击穿电压表征用HEWLETT PACKARD HP 4156参数分析仪进行。器件测试在暗密封体内空气中进行。
关于介电层,击穿电压相当于跨实质电流由于介电击穿开始流动所在层的电压。
为了评估击穿电压,将所述介电薄膜涂在导体上(一般为重掺杂的硅晶片),并且使金属触点置于介电层的顶部。将硅晶片保持接地,同时从0至100V扫描对顶部金属触点施加的电压。在扫描期间,测量流入顶部金属触点的电流。虽然在击穿前可能发生显著电流泄漏,但将击穿电压认作为见到电流尖锐增加所在的点。
C.薄膜厚度测量
以下实施例的氧化铝薄膜厚度用J.A.WOOLAM ALPHA-SE白光椭圆偏振计测量。将获得的数据拟合到一个模型中,其中受检验层由Cauchy色散模型代表。模型拟合输出得到薄膜厚度和折光率。
以下实施例证明以上系统能够制造电介质、导体和半导体的高性能薄膜涂层。
实施例1
本实施例说明制造本发明的氧化锌半导体薄膜用以制造工作薄膜晶体管。在此结构中,器件的栅为重掺杂的硅晶片,绝缘体为沉积氧化锌半导体薄膜之前由在硅晶片上热加工生长的二氧化硅薄膜。
氧化锌半导体层用本发明的沉积系统施加。用200℃基材温度和以下条件进行两个试验:
表1
 
样品 二乙基锌气泡器流(sccm)   金属前体稀释流(sccm)   空气流(sccm) 水气泡器流(sccm)     氧化剂稀释流(sccm) 氮气吹扫流(sccm) 周期
1-A 10 620 5 10 1000 1500 40
1-B 5 620 5 5 1000 1500 40
在沉积氧化锌后,通过荫罩由蒸发将铝触点施加到以上器件,达
Figure A200780011685D00301
厚度。荫罩用500微米通道宽度和50微米通道长度产生器件。所有制出的器件给予大于106的通断(on/off)比率,迁移率如下表所列,为每样品三个器件的平均值:
表2
 
样品 迁移率(cm2/Vs) Vth(伏)
1-A 4.0 9.2
1-B 2.9 8.8
以上数据显示,可用此装置制造利用氧化锌半导体层的高迁移率优良品质的器件。
实施例2
本实施例说明制造本发明的氧化铝薄膜,表明能够制造具有优良击穿电压的高品质绝缘薄膜。在此结构中,用裸硅晶片作为一个电极,用本发明上述装置在此电极上生长氧化铝薄膜。
氧化铝层用基材在200℃和以下沉积条件施加,其中2-B为重复数据点。
表3
 
样品 三甲基铝气泡器流(sccm)   金属前体稀释流(sccm)   空气流(sccm) 水气泡器流(sccm)     氧化剂稀释流(sccm) 氮气吹扫流(sccm) 周期
2-A 10 620 10 10 1100 1500 100
2-B 10 620 10 10 1100 1500 100
2-C 10 620 10 10 1100 1500 200
2-D 20 620 10 20 1100 1500 100
在氧化铝沉积后,用椭圆偏振法测量样品的厚度和折光率。在那之后,用荫罩蒸发将铝触点施加到样品D薄膜的顶部,以便能够进行介电击穿测量。这些结果显示于下表中:
表4
 
样品 厚度(nm) 折光率
2-A 442 1.6
2-B 451 1.6
2-C 463 1.6
2-D 660 1.6
对于样品D,对样品的三个区域进行击穿测量。平均击穿为7.9MV/cm,显示形成高品质Al2O3介电层。
实施例3
本实施例说明用重掺杂的硅作为栅物质,然后用Al2O3作为电介质并用ZnO作为半导体,制造工作晶体管器件,其中用本发明沉积后面两种物质。
首先根据以下条件沉积氧化铝薄膜:
表5
 
样品 三甲基铝气泡器流(sccm)   金属前体稀释流(sccm)   空气流(sccm) 水气泡器流(sccm)     氧化剂稀释流(sccm) 氮气吹扫流(sccm) 周期
3-A 25 620 10 20 1100 1500 80
3-B 25 620 10 20 1100 1500 60
在氧化铝沉积后,两个样品用以上装置根据以下条件用ZnO涂覆:
表6
 
二乙基锌气泡器流(sccm)   金属前体稀释流(sccm)   空气流(sccm) 水气泡器流(sccm)     氧化剂稀释流(sccm) 氮气吹扫流(sccm) 周期
5 620 5 10 1000 1500 20
通过荫罩在所得多层器件上蒸发铝触点,用50μm通道长度和500μm通道宽度得到薄膜晶体管。器件的结果以下显示:
表7
 
样品 Al2O3厚度(nm)    迁移率(cm2/Vs) Vth(伏)
3-A 1330 2.4 9.7
3-B 1068 1.1 9.4
以上数据显示,通过用本发明的装置沉积所有临界层,可制造高品质薄膜晶体管。
实施例4
本实施例说明用重掺杂的硅作为栅物质,然后用Al2O3作为电介质并用ZnO作为半导体,制造工作晶体管器件,其中根据本发明沉积后面两种物质,并且改变沉积温度,以表明能够在一定温度范围制造有用的器件。
首先根据以下条件沉积氧化铝薄膜:
表8
 
样品 三甲基铝气泡器流(sccm)   金属前体稀释流(sccm)   空气流(sccm) 水气泡器流(sccm)     氧化剂稀释流(sccm) 氮气吹扫流(sccm) 周期 温度(C)
4-A 5 620 10 25 1100 1500 175 200
4-B 5 620 10 25 1100 1500 175 160
4-C 5 620 10 25 1100 1500 100 160
在氧化铝沉积后,用以上装置根据以下条件用ZnO涂覆样品:
表9
 
样品 二乙基锌气泡器流(sccm)   金属前体稀释流(sccm)   空气流(sccm) 水气泡器流(sccm)     氧化剂稀释流(sccm) 氮气吹扫流(sccm) 周期 温度(C)
4-A 5 620 10 10 1100 1500 20 200
4-B 5 620 10 10 1100 1500 20 160
4-C 5 620 10 10 1100 1500 20 160
通过荫罩在所得多层器件上蒸发铝触点,用50μm通道长度和500μm通道宽度得到薄膜晶体管。器件的结果以下显示:
表10
 
样品 Al2O3厚度(nm)    迁移率(cm2/Vs) Vth(伏)
4-A 757 3.3 9.9
4-B 988 1.5 11.0
4-C 573 1.3 6.5
上表数据显示,可用本发明的装置在不同温度制造优良品质的薄膜晶体管。
实施例5
本实施例说明在玻璃基材上制造氧化铝薄膜,证明可在本发明中使用多种基材。首先根据以下条件沉积氧化铝薄膜:
表11
 
三甲基铝气泡器流(sccm)   金属前体稀释流(sccm)   空气流(sccm) 水气泡器流(sccm)     氧化剂稀释流(sccm) 氮气吹扫流(sccm) 周期
5 620 10 25 1100 1500 175
关于如何在沉积前预处理玻璃基材作出变化。在以100mTorr室压力运行的SPI Plasma-Prep 
Figure A200780011685D00331
 Plasma Etcher(West Chester,PA)中进行氧等离子处理。Pirhana净化由样品在100ml 30%过氧化氢在200ml浓硫酸的新制备溶液中浸渍组成。下表显示处理和所得样品的厚度:
表12
 
样品 表面处理 Al2O3厚度(nm)
5-A Piranha净化10′ 626
5-B 2′O2等离子处理 427
5-C 2′O2等离子处理 695
5-D 5′O2等离子处理 906
以上样品显示可在玻璃基材上沉积薄膜,尽管基于表面预处理有一些厚度变化。
实施例6
本实施例说明用氧化锡铟作为栅物质,然后用Al2O3作为电介质并用ZnO作为半导体,制造工作晶体管器件,其中用本专利所述的发明沉积后面两种物质。首先根据以下条件沉积氧化铝薄膜:
表13
 
三甲基铝气泡器流(sccm)   金属前体稀释流(sccm)   空气流(sccm) 水气泡器流(sccm)     氧化剂稀释流(sccm) 氮气吹扫流(sccm) 周期 温度(C) 
16 620 10 25 1100 1500 175 200C
在氧化铝沉积后,用以上装置根据以下条件用ZnO涂覆样品:
表14
 
二乙基锌气泡器流(sccm)   金属前体稀释流(sccm)   空气流(sccm) 水气泡器流(sccm)     氧化剂稀释流(sccm) 氮气吹扫流(sccm) 周期 温度(C) 
8.5 620 10 15 1100 1500 45 200
给予样品如下表所列的各种净化处理。利用台面超声净化器在异丙醇(IPA)中进行声处理,所述处理由样品在IPA中声处理5分钟组成。O2等离子/CFx处理由以下步骤组成,如以上实施例5所述进行2′O2等离子处理,随后如US 6,208,075所述通过等离子辅助的CHF3沉积方法沉积1nm氟碳化合物(CFx)层。
表15
 
样品 基材制备 迁移率 Vth
6-A 在IPA中声处理 1.2 3.8
6-B O2等离子/CFx 2.8 3.8
以上所示结果表明,可用本发明的装置在ITO上制造堆叠的器件,表明完全透明器件的可能性。
实施例7
本实施例显示根据本发明制造导电材料的能力。导体为铝掺杂的氧化锌。通过同时加入如下表所示的二乙基锌和三甲基铝生长薄膜。
表16
 
样品 二乙基锌气泡器流(sccm)   三甲基铝气泡器流(sccm)   金属前体稀释流(sccm)   空气流(sccm) 水气泡器流(sccm)     氧化剂稀释流(sccm) 氮气吹扫流(sccm) 周期 温度(C)
3 30 2 620 10 15 1100 1500 300 350
6 30 2 620 10 15 1100 1500 300 200
探针间隔约0.5cm,由使欧姆表接触样品测量样品电阻率。测量结果以下显示:
表17
 
样品 电阻
3 8kΩ
6 450kΩ
以上结果表明可用本发明制造导电薄膜。
部件清单
10               分配歧管
12               输出通道
14,16,18       气体入口
20               基材
22               间壁
24               气体输出口
26               排气口
28a,28b,28c    气体供应源
30               传动装置
32               供应线
36               输出面
38               改向板
40               孔
42               间壁板
44               输送板
46a,46b,46c    管
48               改向室
50               室
52               输送电动机
54               输送子系统
56               控制逻辑处理器
58               挡板
60               原子层沉积(ALD)系统
62               网输送机
64               分配歧管输送
66               网基材
70                             原子层沉积(ALD)系统
72                             扩散层
74                             基材载体
81                             氮气流
82,83,84                     气泡器
85,86,87,88,89,91,94     流量计
90                             空气流
92                             金属前体流
93                             含氧化剂流
95                             氮气吹扫流
96                             间隙
97                             实例基材
98                             箭头
D                              距离
F1,F2,F3,F4,FI,FO,FM,FE 气流
H                              高度
I                              惰性气态物质
L                              通道长度
M                              第二气态反应物质
O                              第一气态反应物质
R                              箭头
W                              通道宽度

Claims (33)

1.一种用于在基材上沉积薄膜物质的分配歧管,所述分配歧管包括:
a)多个入口,所述入口包括能够分别接收第一气态物质、第二气态物质和第三气态物质的至少第一入口、第二入口和第三入口;
b)包括多个开放的伸长输出通道的输出面,各通道以长度方向实质平行延伸,所述输出通道包括至少第一输出通道、第二输出通道和第三输出通道,其中所述输出通道沿着输出面彼此相邻,各输出通道包括输出口,
其中用于将气态物质流提供到相应输出通道的各输出口允许与第一入口、第二入口或第三入口之一气体流通,并且能够沿着输出通道的长度方向朝向输出通道末端实质引导相应第一气态物质、第二气态物质和第三气态物质之一的流,其中所述气态物质在沿着输出通道长度自输出口移位的位置从输出通道排出。
2.权利要求1的分配歧管,所述分配歧管进一步包括偶合到分配歧管体的传动装置,从而以实质垂直于输出通道长度方向的方向提供分配歧管往复移动。
3.权利要求1的分配歧管,其中各伸长输出通道具有由间壁限定的伸长侧面。
4.权利要求3的分配歧管,其中各伸长输出通道由中央板分隔的两个堆叠侧板限定,其中以垂直于长度方向的高度方向延伸超出中央板的侧板的部分形成间壁。
5.权利要求3的分配歧管,其中所述至少一个伸长输出通道的开口的宽度为0.2至5mm。
6.权利要求1的分配歧管,其中至少一个输出通道还在输出通道的一端包括能够抽吸在输出通道中接收的气态物质流的排气口。
7.权利要求1的分配歧管,其中所述输出面在横截面具有曲率。
8.权利要求1的分配歧管,其中所述输出通道在横截面为长方形。
9.权利要求1的分配歧管,其中各输出通道的输出口接近输出通道的一端布置。
10.权利要求1的分配歧管,其中各输出通道的输出口接近输出通道的中心布置。
11.权利要求1的分配歧管,其中各输出通道的输出口的开口的平面以对长度方向倾斜的一定角度倾斜。
12.权利要求1的分配歧管,其中在至少一个输出通道中的输出口在挡板下,所述挡板以长度方向引导气体流。
13.权利要求1的分配歧管,其中邻近伸长出口通道没有连接到真空源的伸长通道。
14.权利要求6的分配歧管,其中所述排气口允许气态物质循环再用。
15.权利要求1的分配歧管,其中至少一个输出通道在其伸长的末端具有开口,以允许由输出通道接收的气态物质流动通过分配歧管的侧部或由收集歧管收集。
16.一种在基材上沉积固体物质薄膜的沉积系统,所述沉积系统包括:
a)分别用于多种气态物质的多个源,所述源包括分别用于第一气态物质、第二气态物质和第三气态物质的至少第一源、第二源和第三源;
b)分配歧管,所述分配歧管包括:
(i)多个入口,所述入口包括用于分别接收第一气态物质、第二气态物质和第三气态物质的至少第一入口、第二入口和第三入口;
(ii)包括多个开放的伸长输出通道的输出面,各通道以长度方向实质平行延伸,所述输出通道包括至少第一输出通道、第二输出通道和第三输出通道,
其中所述输出通道沿着输出面彼此相邻,
各输出通道具有输出口,
其中用于将气态物质流提供到相应输出通道的各输出口允许与第一输入口、第二输入口和第三输入口之一气体流通,并且能够沿着输出通道的长度方向朝向输出通道末端实质引导相应第一气态物质、第二气态物质或第三气态物质之一的流,其中所述气态物质在沿着输出通道长度自输出口移位的位置从输出通道排出;和
c)用于按实质均匀预定紧密接近分配歧管输出面支持基材的基材载体,其中所述系统能够在操作期间在输出面和基材表面之间提供相对移动,同时保持预定紧密接近。
17.权利要求16的沉积系统,所述沉积系统进一步包括偶合到分配歧管的传动装置,以在实质垂直于输出通道长度方向的方向提供分配歧管往复移动,从而提供具有摆动移动的分配歧管。
18.权利要求16的沉积系统,所述沉积系统进一步包括偶合到基材载体的传动装置,以在实质垂直于分配歧管的输出通道长度方向的方向提供基材往复移动,从而提供具有摆动移动的基材。
19.权利要求16的沉积系统,其中所述基材载体包括用于沿着分配歧管的输出面移动基材的输送装置。
20.权利要求16的沉积系统,其中所述用于固体物质薄膜沉积的基材的总表面积超过分配歧管输出面的表面积。
21.权利要求16的沉积系统,其中所述基材载体输送移动网。
22.权利要求16的沉积系统,其中所述基材载体将基材表面保持在分配歧管输出面0.4mm内的分离距离。
23.权利要求19的沉积系统,其中由输送装置提供的网的移动是连续的。
24.权利要求21的沉积系统,其中由输送装置提供的移动网的移动是往复的。
25.权利要求16的沉积系统,其中在第一输出通道、第二输出通道和第三输出通道中的气态物质流在薄膜沉积期间是实质连续的。
26.权利要求16的沉积系统,所述沉积系统在薄膜沉积期间进一步包括容纳分配歧管和基材的室。
27.权利要求16的沉积系统,其中所述基材和分配歧管对大气开放。
28.权利要求16的沉积系统,其中各伸长输出通道具有由间壁限定的伸长侧面。
29.权利要求16的沉积系统,其中各伸长输出通道由中央板分隔的两个堆叠侧板限定,其中以垂直于长度方向的高度方向延伸超出中央板的侧板的部分形成间壁。
30.一种用于在基材上沉积薄膜的沉积装置,所述沉积装置包括:
a)用于多种气态物质的多个源,所述源包括分别用于第一气态物质、第二气态物质和第三气态物质的至少第一源、第二源和第三源;
b)分配歧管,所述分配歧管包括:
(i)多个入口,所述入口包括用于分别接收第一气态物质、第二气态物质和第三气态物质的至少第一入口、第二入口和第三入口;
(ii)包括多个开放的伸长输出通道的输出面,各通道以长度方向实质平行延伸,所述输出通道包括至少第一输出通道、第二输出通道和第三输出通道,其中所述输出通道沿着输出面彼此相邻,
各输出通道具有输出口,
其中用于将气态物质流提供到相应输出通道的各输出口允许与第一输入口、第二输入口和第三输入口之一气体流通,并且能够沿着输出通道的长度方向朝向输出通道末端实质引导相应第一气态物质、第二气态物质和第三气态物质之一的流,其中所述气态物质在沿着输出通道长度自输出口移位的位置从输出通道排出;和
c)输送机,所述输送机用于将网基材移动通过分配歧管的输出面,以在网基材的区域上实现薄膜沉积,其中所述网基材实质均匀预定紧密接近分配歧管的输出面,并且其中所述系统在系统操作期间能够在输出面和基材表面之间提供相对移动,同时保持预定紧密接近。
31.权利要求30的沉积装置,所述沉积装置进一步包括用于以横向于网移动的方向移动分配歧管的输送组合件。
32.权利要求30的沉积装置,所述沉积装置进一步包括在两个相反方向之间往复移动网的网移动装置。
33.权利要求30的沉积装置,其中所述沉积装置与环境大气为非密封关系。
CNA2007800116858A 2006-03-29 2007-03-14 原子层沉积装置 Pending CN101415862A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/392,006 2006-03-29
US11/392,006 US7456429B2 (en) 2006-03-29 2006-03-29 Apparatus for atomic layer deposition

Publications (1)

Publication Number Publication Date
CN101415862A true CN101415862A (zh) 2009-04-22

Family

ID=38544360

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2007800116858A Pending CN101415862A (zh) 2006-03-29 2007-03-14 原子层沉积装置

Country Status (7)

Country Link
US (1) US7456429B2 (zh)
EP (1) EP1999296B1 (zh)
JP (1) JP2009531548A (zh)
KR (1) KR20080106563A (zh)
CN (1) CN101415862A (zh)
TW (1) TWI396769B (zh)
WO (1) WO2007126582A2 (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102424958A (zh) * 2011-11-17 2012-04-25 陈聪茂 用于连续制造金属薄膜太阳能电池的淀积设备及方法
CN104451597A (zh) * 2014-11-19 2015-03-25 上海纳米技术及应用国家工程研究中心有限公司 一种固体润滑ZnS薄膜的制备方法
CN104488067A (zh) * 2012-06-20 2015-04-01 Mts纳米科技株式会社 原子层沉积装置
CN107475689A (zh) * 2017-08-28 2017-12-15 常州亿晶光电科技有限公司 一种改善理想机台沉积ALOx膜均匀性的方法
CN110382737A (zh) * 2017-03-14 2019-10-25 伊斯曼柯达公司 带有模块化沉积头的沉积系统
CN110392748A (zh) * 2017-03-14 2019-10-29 伊斯曼柯达公司 模块化薄膜沉积系统

Families Citing this family (390)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8088223B2 (en) * 2005-03-10 2012-01-03 Asm America, Inc. System for control of gas injectors
KR101314708B1 (ko) 2006-03-26 2013-10-10 로터스 어플라이드 테크놀로지, 엘엘씨 원자층 증착 시스템 및 연성 기판을 코팅하기 위한 방법
US20070281089A1 (en) * 2006-06-05 2007-12-06 General Electric Company Systems and methods for roll-to-roll atomic layer deposition on continuously fed objects
US7615486B2 (en) * 2007-04-17 2009-11-10 Lam Research Corporation Apparatus and method for integrated surface treatment and deposition for copper interconnect
US7573420B2 (en) * 2007-05-14 2009-08-11 Infineon Technologies Ag RF front-end for a radar system
US7789961B2 (en) * 2007-01-08 2010-09-07 Eastman Kodak Company Delivery device comprising gas diffuser for thin film deposition
US20080166880A1 (en) * 2007-01-08 2008-07-10 Levy David H Delivery device for deposition
US11136667B2 (en) * 2007-01-08 2021-10-05 Eastman Kodak Company Deposition system and method using a delivery head separated from a substrate by gas pressure
US8207063B2 (en) 2007-01-26 2012-06-26 Eastman Kodak Company Process for atomic layer deposition
US20080299771A1 (en) * 2007-06-04 2008-12-04 Irving Lyn M Methods of making thin film transistors comprising zinc-oxide-based semiconductor materials and transistors made thereby
US8211231B2 (en) * 2007-09-26 2012-07-03 Eastman Kodak Company Delivery device for deposition
US8182608B2 (en) * 2007-09-26 2012-05-22 Eastman Kodak Company Deposition system for thin film formation
US7572686B2 (en) * 2007-09-26 2009-08-11 Eastman Kodak Company System for thin film deposition utilizing compensating forces
US7858144B2 (en) * 2007-09-26 2010-12-28 Eastman Kodak Company Process for depositing organic materials
US8398770B2 (en) * 2007-09-26 2013-03-19 Eastman Kodak Company Deposition system for thin film formation
JP5179389B2 (ja) * 2008-03-19 2013-04-10 東京エレクトロン株式会社 シャワーヘッド及び基板処理装置
US9238867B2 (en) * 2008-05-20 2016-01-19 Asm International N.V. Apparatus and method for high-throughput atomic layer deposition
US20090291209A1 (en) * 2008-05-20 2009-11-26 Asm International N.V. Apparatus and method for high-throughput atomic layer deposition
KR20090122727A (ko) * 2008-05-26 2009-12-01 삼성전자주식회사 원자층 증착 장치와 이를 이용한 원자층 증착 방법
EP2356672B1 (en) * 2008-12-04 2018-09-12 Veeco Instruments Inc. Chemical vapor deposition flow inlet elements
JP4523661B1 (ja) * 2009-03-10 2010-08-11 三井造船株式会社 原子層堆積装置及び薄膜形成方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20110023775A1 (en) * 2009-07-31 2011-02-03 E.I. Du Pont De Nemours And Company Apparatus for atomic layer deposition
US8657959B2 (en) * 2009-07-31 2014-02-25 E I Du Pont De Nemours And Company Apparatus for atomic layer deposition on a moving substrate
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
EP2488678B1 (en) 2009-10-14 2019-01-16 Lotus Applied Technology, LLC Inhibiting excess precursor transport between separate precursor zones in an atomic layer deposition system
US20110097492A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Fluid distribution manifold operating state management system
US20110097494A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Fluid conveyance system including flexible retaining mechanism
US20110097493A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Fluid distribution manifold including non-parallel non-perpendicular slots
US20110097491A1 (en) 2009-10-27 2011-04-28 Levy David H Conveyance system including opposed fluid distribution manifolds
US20110097487A1 (en) 2009-10-27 2011-04-28 Kerr Roger S Fluid distribution manifold including bonded plates
US20110097490A1 (en) 2009-10-27 2011-04-28 Kerr Roger S Fluid distribution manifold including compliant plates
US20110097488A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Fluid distribution manifold including mirrored finish plate
US20110097489A1 (en) 2009-10-27 2011-04-28 Kerr Roger S Distribution manifold including multiple fluid communication ports
NL2003836C2 (en) * 2009-11-19 2011-05-23 Levitech B V Floating wafer track with lateral stabilization mechanism.
US20110120544A1 (en) 2009-11-20 2011-05-26 Levy David H Deposition inhibitor composition and method of use
WO2011062779A1 (en) 2009-11-20 2011-05-26 Eastman Kodak Company Method for selective deposition and devices
US8637123B2 (en) 2009-12-29 2014-01-28 Lotus Applied Technology, Llc Oxygen radical generation for radical-enhanced thin film deposition
EP2360293A1 (en) 2010-02-11 2011-08-24 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Method and apparatus for depositing atomic layers on a substrate
EP2362411A1 (en) 2010-02-26 2011-08-31 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus and method for reactive ion etching
US7923313B1 (en) 2010-02-26 2011-04-12 Eastman Kodak Company Method of making transistor including reentrant profile
US8803203B2 (en) 2010-02-26 2014-08-12 Eastman Kodak Company Transistor including reentrant profile
US8865259B2 (en) 2010-04-26 2014-10-21 Singulus Mocvd Gmbh I.Gr. Method and system for inline chemical vapor deposition
JP5828895B2 (ja) 2010-07-23 2015-12-09 ロータス アプライド テクノロジー エルエルシーLotus Applied Technology, Llc ロール・ツー・ロール薄膜堆積用の可撓性ウェブ基板の片面接触式基板輸送機構
US8133806B1 (en) 2010-09-30 2012-03-13 S.O.I.Tec Silicon On Insulator Technologies Systems and methods for forming semiconductor materials by atomic layer deposition
US8486192B2 (en) 2010-09-30 2013-07-16 Soitec Thermalizing gas injectors for generating increased precursor gas, material deposition systems including such injectors, and related methods
TWI541378B (zh) 2010-10-16 2016-07-11 奧特科技公司 原子層沉積鍍膜系統及方法
US8847232B2 (en) 2011-01-07 2014-09-30 Eastman Kodak Company Transistor including reduced channel length
US7985684B1 (en) 2011-01-07 2011-07-26 Eastman Kodak Company Actuating transistor including reduced channel length
CN103314445B (zh) 2011-01-07 2016-03-30 柯达公司 包含多重凹入外形的晶体管
US8338291B2 (en) 2011-01-07 2012-12-25 Eastman Kodak Company Producing transistor including multiple reentrant profiles
WO2012094109A1 (en) 2011-01-07 2012-07-12 Eastman Kodak Company Transistor including reduced channel length
US8383469B2 (en) 2011-01-07 2013-02-26 Eastman Kodak Company Producing transistor including reduced channel length
US8304347B2 (en) 2011-01-07 2012-11-06 Eastman Kodak Company Actuating transistor including multiple reentrant profiles
US8847226B2 (en) 2011-01-07 2014-09-30 Eastman Kodak Company Transistor including multiple reentrant profiles
US8492769B2 (en) 2011-01-07 2013-07-23 Eastman Kodak Company Transistor including multi-layer reentrant profile
US8409937B2 (en) 2011-01-07 2013-04-02 Eastman Kodak Company Producing transistor including multi-layer reentrant profile
US20120222620A1 (en) 2011-03-01 2012-09-06 Applied Materials, Inc. Atomic Layer Deposition Carousel with Continuous Rotation and Methods of Use
US20120225204A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
US20120225191A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
DE102011077833A1 (de) * 2011-06-20 2012-12-20 Gebr. Schmid Gmbh Verfahren zur Bearbeitung von Substraten und Vorrichtung dazu
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8617942B2 (en) 2011-08-26 2013-12-31 Eastman Kodak Company Producing transistor including single layer reentrant profile
US8592909B2 (en) 2011-08-26 2013-11-26 Eastman Kodak Company Transistor including single layer reentrant profile
US8637355B2 (en) 2011-08-26 2014-01-28 Eastman Kodak Company Actuating transistor including single layer reentrant profile
US8865576B2 (en) 2011-09-29 2014-10-21 Eastman Kodak Company Producing vertical transistor having reduced parasitic capacitance
US8623757B2 (en) 2011-09-29 2014-01-07 Eastmak Kodak Company Producing a vertical transistor including reentrant profile
US8273654B1 (en) 2011-09-29 2012-09-25 Eastman Kodak Company Producing a vertical transistor including reentrant profile
US8803227B2 (en) 2011-09-29 2014-08-12 Eastman Kodak Company Vertical transistor having reduced parasitic capacitance
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130143415A1 (en) * 2011-12-01 2013-06-06 Applied Materials, Inc. Multi-Component Film Deposition
US8618003B2 (en) 2011-12-05 2013-12-31 Eastman Kodak Company Method of making electronic devices using selective deposition
US9748125B2 (en) 2012-01-31 2017-08-29 Applied Materials, Inc. Continuous substrate processing system
US8633068B2 (en) 2012-02-22 2014-01-21 Eastman Kodak Company Vertical transistor actuation
US8698230B2 (en) 2012-02-22 2014-04-15 Eastman Kodak Company Circuit including vertical transistors with a conductive stack having reentrant profile
JP6119745B2 (ja) * 2012-05-31 2017-04-26 凸版印刷株式会社 巻き取り成膜装置
US8791023B2 (en) 2012-08-31 2014-07-29 Eastman Kodak Company Patterned thin film dielectric layer formation
US8927434B2 (en) 2012-08-31 2015-01-06 Eastman Kodak Company Patterned thin film dielectric stack formation
US8846545B2 (en) 2012-08-31 2014-09-30 Eastman Kodak Company Method of forming patterned thin film dielectric stack
US8653516B1 (en) 2012-08-31 2014-02-18 Eastman Kodak Company High performance thin film transistor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
DE102012111484A1 (de) * 2012-11-27 2014-05-28 Aixtron Se Vorrichtung und Verfahren zum Bearbeiten streifenförmiger Substrate
US9175389B2 (en) * 2012-12-21 2015-11-03 Intermolecular, Inc. ALD process window combinatorial screening tool
US20140206137A1 (en) * 2013-01-23 2014-07-24 David H. Levy Deposition system for thin film formation
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6333941B2 (ja) 2013-03-11 2018-05-30 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高温処理チャンバリッド及びそれを備えた処理チャンバ
US20140374806A1 (en) 2013-06-19 2014-12-25 Lee W. Tutt Four terminal transistor
US8946070B2 (en) 2013-06-19 2015-02-03 Eastman Kodak Company Four terminal transistor fabrication
US8921236B1 (en) 2013-06-21 2014-12-30 Eastman Kodak Company Patterning for selective area deposition
US8937016B2 (en) 2013-06-21 2015-01-20 Eastman Kodak Company Substrate preparation for selective area deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9198283B2 (en) 2014-03-06 2015-11-24 Eastman Kodak Company Vertically spaced electrode structure
US9142647B1 (en) 2014-03-06 2015-09-22 Eastman Kodak Company VTFT formation using selective area deposition
US9331205B2 (en) 2014-03-06 2016-05-03 Eastman Kodak Company VTFT with post, cap, and aligned gate
US9093470B1 (en) 2014-03-06 2015-07-28 Eastman Kodak Company VTFT formation using capillary action
CN106068567B (zh) 2014-03-06 2019-07-16 伊斯曼柯达公司 具有聚合物芯的vtft
US9153698B2 (en) 2014-03-06 2015-10-06 Eastman Kodak Company VTFT with gate aligned to vertical structure
US9178029B2 (en) 2014-03-06 2015-11-03 Eastman Kodak Company Forming a VTFT gate using printing
US9117914B1 (en) 2014-03-06 2015-08-25 Eastman Kodak Company VTFT with polymer core
US9123815B1 (en) 2014-03-06 2015-09-01 Eastman Kodak Company VTFTs including offset electrodes
US9214560B2 (en) 2014-03-06 2015-12-15 Eastman Kodak Company VTFT including overlapping electrodes
US9202898B2 (en) 2014-03-06 2015-12-01 Eastman Kodak Company Fabricating VTFT with polymer core
US9129993B1 (en) 2014-03-06 2015-09-08 Eastman Kodak Company Forming a VTFT using printing
US9147770B1 (en) 2014-03-06 2015-09-29 Eastman Kodak Company VTFT with extended electrode
US9153445B2 (en) 2014-03-06 2015-10-06 Eastman Kodak Company Forming a VTFT with aligned gate
US9236486B2 (en) 2014-03-06 2016-01-12 Eastman Kodak Company Offset independently operable VTFT electrodes
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
EP2960059B1 (en) 2014-06-25 2018-10-24 Universal Display Corporation Systems and methods of modulating flow during vapor jet deposition of organic materials
US11220737B2 (en) * 2014-06-25 2022-01-11 Universal Display Corporation Systems and methods of modulating flow during vapor jet deposition of organic materials
US11267012B2 (en) * 2014-06-25 2022-03-08 Universal Display Corporation Spatial control of vapor condensation using convection
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9368490B2 (en) 2014-10-29 2016-06-14 Eastman Kodak Company Enhancement-depletion mode inverter with two transistor architectures
US9634145B2 (en) 2014-10-29 2017-04-25 Eastman Kodak Company TFT substrate with variable dielectric thickness
US9443887B1 (en) 2015-06-12 2016-09-13 Eastman Kodak Company Vertical and planar TFTS on common substrate
US9620501B1 (en) 2014-09-16 2017-04-11 Eastman Kodak Company Enhancement-depletion mode circuit element with differential passivation
US9368491B2 (en) 2014-10-29 2016-06-14 Eastman Kodak Company Enhancement mode inverter with variable thickness dielectric stack
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10954597B2 (en) 2015-03-17 2021-03-23 Asm Ip Holding B.V. Atomic layer deposition apparatus
US9653493B2 (en) 2015-06-12 2017-05-16 Eastman Kodak Company Bottom-gate and top-gate VTFTs on common structure
US9401430B1 (en) 2015-06-12 2016-07-26 Eastman Kodak Company VTFT with a top-gate structure
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10566534B2 (en) 2015-10-12 2020-02-18 Universal Display Corporation Apparatus and method to deliver organic material via organic vapor-jet printing (OVJP)
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9859308B1 (en) 2016-07-29 2018-01-02 Eastman Kodak Company Multiple TFTs on common vertical support element
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US9799752B1 (en) 2016-10-31 2017-10-24 Eastman Kodak Company Method for forming a thin-film transistor
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10422038B2 (en) 2017-03-14 2019-09-24 Eastman Kodak Company Dual gas bearing substrate positioning system
US20180265977A1 (en) 2017-03-14 2018-09-20 Eastman Kodak Company Deposition system with vacuum pre-loaded deposition head
US11248292B2 (en) 2017-03-14 2022-02-15 Eastman Kodak Company Deposition system with moveable-position web guides
US10400332B2 (en) 2017-03-14 2019-09-03 Eastman Kodak Company Deposition system with interlocking deposition heads
US10550476B2 (en) 2017-03-14 2020-02-04 Eastman Kodak Company Heated gas-bearing backer
US10584413B2 (en) 2017-03-14 2020-03-10 Eastman Kodak Company Vertical system with vacuum pre-loaded deposition head
US10435788B2 (en) 2017-03-14 2019-10-08 Eastman Kodak Deposition system with repeating motion profile
JP6640781B2 (ja) * 2017-03-23 2020-02-05 キオクシア株式会社 半導体製造装置
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102390560B1 (ko) * 2018-11-30 2022-04-26 메이덴샤 코포레이션 산화막 형성 장치
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11802714B2 (en) 2019-05-31 2023-10-31 Hamilton Sundstrand Corporation Exhaust manifold for additive manufacturing
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
IL59065A0 (en) * 1979-01-18 1980-05-30 Beecham Group Ltd Hydantoin derivatives,their preparation pharmaceutical compositons containing them
FI57975C (fi) 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
FI100409B (fi) * 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
FI118474B (fi) * 1999-12-28 2007-11-30 Asm Int Laite ohutkalvojen valmistamiseksi
US6890386B2 (en) 2001-07-13 2005-05-10 Aviza Technology, Inc. Modular injector and exhaust assembly
US20030116087A1 (en) * 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US20050084610A1 (en) 2002-08-13 2005-04-21 Selitser Simon I. Atmospheric pressure molecular layer CVD
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US6972055B2 (en) * 2003-03-28 2005-12-06 Finens Corporation Continuous flow deposition system
US7537662B2 (en) 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102424958A (zh) * 2011-11-17 2012-04-25 陈聪茂 用于连续制造金属薄膜太阳能电池的淀积设备及方法
CN104488067A (zh) * 2012-06-20 2015-04-01 Mts纳米科技株式会社 原子层沉积装置
CN104488067B (zh) * 2012-06-20 2018-05-18 Mts纳米科技株式会社 原子层沉积装置
CN104451597A (zh) * 2014-11-19 2015-03-25 上海纳米技术及应用国家工程研究中心有限公司 一种固体润滑ZnS薄膜的制备方法
CN110382737A (zh) * 2017-03-14 2019-10-25 伊斯曼柯达公司 带有模块化沉积头的沉积系统
CN110392748A (zh) * 2017-03-14 2019-10-29 伊斯曼柯达公司 模块化薄膜沉积系统
CN110382737B (zh) * 2017-03-14 2021-09-07 伊斯曼柯达公司 一种用于薄膜沉积系统的沉积单元
CN107475689A (zh) * 2017-08-28 2017-12-15 常州亿晶光电科技有限公司 一种改善理想机台沉积ALOx膜均匀性的方法

Also Published As

Publication number Publication date
EP1999296B1 (en) 2012-01-25
JP2009531548A (ja) 2009-09-03
KR20080106563A (ko) 2008-12-08
US7456429B2 (en) 2008-11-25
TW200808998A (en) 2008-02-16
TWI396769B (zh) 2013-05-21
WO2007126582A3 (en) 2007-12-21
EP1999296A2 (en) 2008-12-10
WO2007126582A2 (en) 2007-11-08
US20070228470A1 (en) 2007-10-04

Similar Documents

Publication Publication Date Title
CN101415862A (zh) 原子层沉积装置
CN101415860A (zh) 原子层沉积方法
CN101578391B (zh) 沉积系统及方法
CN101809195B (zh) 无机材料的选择区域沉积法
US8207063B2 (en) Process for atomic layer deposition
EP2191499B1 (en) Process for making doped zinc oxide
US7858144B2 (en) Process for depositing organic materials
EP2193218B1 (en) Process for selective area deposition of inorganic materials
US8318249B2 (en) Method for selective deposition and devices
WO2009042059A1 (en) Process for making thin film transistors by atomic layer deposition
CN101809193A (zh) 使用具有空间分隔的反应性气体的气体输送头和移动基材经过输送头的用于形成薄膜的方法和沉积系统
US20110120543A1 (en) Method for selective deposition and devices

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Open date: 20090422