TWI396769B - 用於原子層沉積之裝置 - Google Patents

用於原子層沉積之裝置 Download PDF

Info

Publication number
TWI396769B
TWI396769B TW096110843A TW96110843A TWI396769B TW I396769 B TWI396769 B TW I396769B TW 096110843 A TW096110843 A TW 096110843A TW 96110843 A TW96110843 A TW 96110843A TW I396769 B TWI396769 B TW I396769B
Authority
TW
Taiwan
Prior art keywords
output
distribution manifold
gaseous material
substrate
output channel
Prior art date
Application number
TW096110843A
Other languages
English (en)
Other versions
TW200808998A (en
Inventor
David H Levy
Original Assignee
Eastman Kodak Co
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Eastman Kodak Co filed Critical Eastman Kodak Co
Publication of TW200808998A publication Critical patent/TW200808998A/zh
Application granted granted Critical
Publication of TWI396769B publication Critical patent/TWI396769B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45595Atmospheric CVD gas inlets with no enclosed reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • C23C16/545Apparatus specially adapted for continuous coating for coating elongated substrates

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)

Description

用於原子層沉積之裝置
本發明大體上係關於薄膜材料之沉積,且更具體而言,係關於用於使用允許橫向氣流通過基板之分配歧管而將原子層沉積於基板上之裝置。
廣泛地用於薄膜沉積之技術中之一者為化學氣相沉積(CVD),其使用在反應腔室中反應之化學反應性分子以在基板上沉積所要薄膜。用於CVD應用之分子前驅體包含待沉積之薄膜之元素(原子)組份且通常亦包括額外元素。CVD前驅體為以氣相傳遞至腔室以便在基板處反應從而在基板上形成薄膜之揮發性分子。化學反應沉積具有所要薄膜厚度之薄膜。
多數CVD技術之共同點為對將良好控制之一或多個分子前驅體之通量施加至CVD反應器中的需要。基板在受控壓力條件下保持於良好控制之溫度以在有效移除副產物的同時促進此等分子前驅體之間的化學反應。獲得最佳CVD效能需要用以貫穿製程而達成並持續氣流、溫度及壓力之穩態條件之能力及用以最小化或消除暫態之能力。
尤其在半導體、積體電路及其他電子設備領域中,存在對具有高於習知CVD技術之可達成之極限之優良等形塗層特性之薄膜(尤其係較高品質較緻密薄膜)的需要,尤其係可在較低溫度下製造之薄膜。
原子層沉積("ALD")為替代薄膜沉積技術,與其CVD前身相比較,其可提供改良之厚度解析度及等形能力。ALD製程將習知CVD之習知薄膜沉積製程分為單一原子層沉積步驟。有利地,當進行達到或超出自終止暴露時間時,ALD步驟自終止且可精確地沉積一原子層。原子層通常在0.1至0.5分子單層之範圍內,其具有大約不超過幾個埃的典型尺寸。在ALD中,原子層之沉積為反應性分子前驅體與基板之間的化學反應之結果。在每一單獨ALD反應沉積步驟中,淨反應沉積所要原子層且大體上消除最初包括於分子前驅體中之"額外"原子。在其最單純形式中,ALD涉及在完全不存在反應之其他一或多個前驅體之情況下吸收並反應前驅體中之每一者。在實務中,在任何系統中,難以避免導致少量化學氣相沉積反應之不同前驅體之某些直接反應。主張能執行ALD之任何系統之目標為獲得設備效能及與ALD系統匹配之屬性同時辨別可容許之少量CVD反應。
在ALD應用中,通常在單獨階段將兩種分子前驅體引入ALD反應器中。舉例而言,金屬前驅體分子MLx 包含鍵接至原子或分子配位體L之金屬元素M。舉例而言,M可為(但不限於)Al、W、Ta、Si、Zn等。當基板表面經製備以直接與分子前驅體反應時,金屬前驅體與基板反應。舉例而言,基板表面通常經製備以包括與金屬前驅體反應之含氫配位體AH或類似物。硫(S)、氧(O)及氮(N)為某些典型A物質。氣態前驅體分子與基板表面上之所有配位體有效地反應,從而引起金屬之單一原子層之沉積:基板-AH+MLx → 基板-AMLx-1 +HL (1)其中HL為反應副產物。在反應期間,初始表面配位體AH被消耗,且表面變為由不可與金屬前驅體MLx 進一步反應之L配位體覆蓋。因此,當表面上之所有初始AH配位體由AMLx-1 物質替代時,反應自終止。反應階段通常繼之以惰性氣體淨化階段,其在單獨引入其他前驅體之前自腔室消除額外金屬前驅體。
第二分子前驅體接著用以恢復基板與金屬前驅體之表面反應性。此(例如)藉由移除L配位體並再沉積AH配位體而完成。在此情況下,第二前驅體通常包含所要(通常非金屬性)元素A(亦即,O、N、S)及氫(亦即,H2 O、NH3 、H2 S)。下一反應如下:基板-A-ML+AHY → 基板-A-M-AH+HL (2)此使表面轉換回其AH覆蓋之狀態。(本文中,為簡單起見,未配平化學反應)。所要額外元素A被併入薄膜中且將非所要配位體L作為揮發性副產物而消除。再次,反應消耗反應性位點(此時,L終止位點)並當基板上之反應性位點被完全耗盡時自終止。第二分子前驅體接著藉由在第二淨化階段使惰性淨化氣體流動而自沉積腔室移除。
概括言之,接著,ALD製程需要依次交替至基板之化學品通量。如上所論述之代表性ALD製程為具有四個不同操作階段之循環:1. MLx 反應;2. MLx 淨化;3. AHy 反應;及4. AHy 淨化且接著返回階段1。
具有插入之淨化操作的使基板表面恢復至其初始反應性狀態之交替表面反應及前驅體移除之此重複序列為典型ALD沉積循環。ALD操作之關鍵特徵為使基板恢復至其初始表面化學條件。使用此重複步驟集合,薄膜可以相等計量層而層化於基板上,該等層在化學動力學、每一循環之沉積、組合物及厚度方面皆係相同的。
ALD可用作用於形成包括半導體設備及支援諸如電阻器及電容器、絕緣體、匯流排線及其他導電結構之電子部件的若干類型薄膜之電子設備之製造步驟。ALD尤其適用於形成電子設備之部件中的金屬氧化物薄層。可使用ALD沉積之普通類別之功能性材料包括導體、介電質或絕緣體,及半導體。
導體可為任何可使用之導電材料。舉例而言,導體可包含諸如氧化銦錫(ITO)、ZnO、SnO2 或In2 O3 之透明導體。導體之厚度可變化,且根據特定實例,其可在50至1000 nm之範圍內。
介電質電絕緣圖案化電路之各部分。介電層亦可被稱作絕緣體或絕緣層。可用作介電質之材料的特定實例包括鍶酸鹽、鉭酸鹽、鈦酸鹽、鋯酸鹽、氧化鋁、氧化矽、氧化鉭、氧化鉿、氧化鈦、硒化鋅及硫化鋅。此外,此等實例之合金、組合及多層可用作介電質。在此等材料中,氧化鋁為較佳的。
介電質結構層可包含具有不同介電常數之兩個或兩個以上層。在美國專利第5,981,970號及同在申請中之美國申請案第11/088,645號中論述此等絕緣體。介電材料通常顯現大於5 eV之帶隙。可用介電層之厚度可變化,且根據特定實例,其可在10至300 nm之範圍內。
可用半導體材料之實例為諸如砷化鎵、氮化鎵、硫化鎘、氧化鋅及硫化鋅之化合物半導體。
可使用上述功能層製造若干設備結構。可藉由選擇具有中等至低劣導電率之導電材料而製造電阻器。可藉由在兩個導體之間置放介電質而製造電容器。可藉由在兩個導電電極之間置放兩個互補載體類型之半導體而製造二極體。亦可在互補載體類型之半導體之間安置半導體區(其係本質的),指示該區具有較小數目之自由電荷載子。亦可藉由在兩個導體之間置放單一半導體而建構二極體,其中導體/半導體界面中之一者產生強烈阻礙一方向上之電流之肖特基(Schottky)障壁。可藉由在導體(閘極)上置放絕緣層(繼之以半導體層)而製造電晶體。若兩個或兩個以上額外導體電極(源極及汲極)與頂部半導體層接觸而間隔地置放,則可形成電晶體。只要會建立臨界界面,可以各種組態建立上述設備中之任一者。
在大氣程序中使用之半導體材料應顯示若干特徵。在薄膜電晶體之典型應用中,所要的為可控制電流流過設備之開關。因此,需要當開關開啟時,較高電流可流過設備。電流之範圍與半導體電荷載子遷移率相關。當設備關閉時,需要電流係非常小的。此情形與電荷載子濃度相關。此外,需要設備很小或根本不受可見光影響。為了使此情形成為現實,半導體帶隙應足夠大(>3 eV)以使得暴露於可見光並不導致帶間躍遷。能夠產生高遷移率、低載子濃度及高帶隙之材料為ZnO。此外,在基於實際大體積腹板之大氣製造機制中,高度需要在製程中使用之化學品為低廉的且具有低毒性,其可使用藉由ZnO及其前驅體中的多數而滿足。
根據本發明之方法製造之半導體薄膜顯現大於0.01 cm2 /Vs、較佳至少0.1 cm2 /Vs,更佳大於0.2 cm2 /Vs的場效電子遷移率。此外,根據本發明製造之n通道半導體薄膜能夠提供至少104 、有利地至少105 之開/關比。開/關比被量測為汲極電流之最大值/最小值,因為閘極電壓自一值拂掠至表示可在顯示器之閘極線上使用之有關電壓的另一值。在汲極電壓維持於30 V情況下,典型之值集合將為-10 V至40 V。
自飽和表面反應使得ALD對傳送不均一性不敏感,歸因於流動系統之工程容差及限制或與表面構形(亦即,至三維、高縱橫比結構中之沉積)相關之工程容差及限制,其可能另外削弱表面均一性。作為一般規則,反應性製程中之化學品的不均一通量通常導致在不同區域處之不同的完成時間。然而,使用ALD,允許反應中之每一者在整個基板表面上完成。因此,完成動力學之差異未對均一性產生損失。此係因為首先完成反應之區域自終止反應;其他區域能夠繼續直至全部處理之表面經受預期反應為止。
通常,ALD製程在單一ALD循環(具有如較早列出之經編號之步驟1至步驟4)中沉積0.1至0.2 nm之薄膜。可用且經濟上可行之循環時間應經達成以便提供用於許多或大多數半導體應用之厚度在3 nm至30 nm範圍內之均一薄膜及用於其他應用之更厚之薄膜。工業產出標準指示在2分鐘至3分鐘內處理基板,其意謂ALD循環時間應在0.6秒至6秒之範圍內。
ALD提供用於提供受控位準之高度均一薄膜沉積之大量希望。然而,為了利用其固有能力,仍遺留若干技術障礙。一重要考慮與所需要之循環之數目相關。因為其重複之反應及淨化循環,ALD之有效使用已要求能夠將化學品之通量自MLx 突然改變至AHy 並快速執行淨化循環之裝置。習知ALD系統經設計而以所需要序列將不同氣態物質快速脈衝至基板上。然而,難以獲得用於以所需要之速度且不具有某些不良混合之情況下將所需要系列之氣態調配物引入至腔室中之可靠機制。此外,ALD裝置應能夠有效並可靠地執行此排序許多循環以允許成本有效地塗佈許多基板。
在任一給定反應溫度下最小化ALD反應達到自終止需要之時間的努力中,使用所謂的"脈衝"系統,一方法已最大化流入ALD反應器中之化學品的通量。為最大化至ALD反應器中之化學品之通量,將分子前驅體以最小的惰性氣體稀釋且在高壓下引入ALD反應器係有利的。然而,此等量測不利於達成較短循環時間及自ALD反應器快速移除此等分子前驅體之需要。快速移除又指示在ALD反應器中之氣體滯留時間被最小化。氣體滯留時間τ與反應器之體積V、ALD反應器中之壓力P及流量Q之倒數成正比,亦即:τ=VP/Q (3)
因此,降低ALD反應器中之壓力(P)促成較低之氣體滯留時間並增大自ALD反應器移除(淨化)化學前驅體之速度。相反,最小化ALD反應時間需要經由ALD反應器內之高壓的使用而最大化至ALD反應器中之化學前驅體之通量。此外,氣體滯留時間與化學品使用效率皆與流量成反比。因此,雖然降低流量可增大效率,但其亦可增大氣體滯留時間。
已使現有ALD方法在縮短反應時間與改良化學品利用效率之需要之間進行取捨,且另一方面,已使現有ALD方法在最小化淨化氣體滯留時間與化學品移除時間之需要之間進行取捨。一種克服氣態材料之"脈衝"傳遞之固有限制之方法為連續提供每一反應物氣體並接連移動基板通過每一氣體。舉例而言,頒於Yudovsky之標題為"GAS DISTRIBUTION SYSTEM FOR CYCLICAL LAYER DEPOSITION"之美國專利第6,821,563號描述在真空下具有用於前驅體氣體及淨化氣體之單獨氣口之處理腔室,其在每一氣口之間與真空泵口交替。每一氣口將其氣流垂直向下導引向基板。單獨氣流由壁或隔片分離,其中真空泵用於在每一氣流之兩側上排出氣體。每一隔片之下部靠近基板而延伸,例如,距離基板表面0.5 mm或更大。以此方式,隔片之下部以足以允許在氣流與基板表面反應後氣流在下部周圍朝向真空口流動之距離而與基板表面分離。
提供用於固持一或多個基板晶圓之旋轉式轉盤或其他傳送設備。使用此配置,基板在不同氣流下穿梭,藉此實現ALD沉積。在一實施例中,基板以直線路徑移動通過腔室,其中基板來回通過若干次。
在頒予Suntola等人之標題為"METHOD FOR PERFORMING GROWTH OF COMPOUND THIN FILMS"之美國專利第4,413,022號中展示使用連續氣流之另一方法。使氣流陣列具有交替之源氣開口、載氣開口及真空排氣開口。基板在陣列上方之往復運動實現ALD沉積而又不需要脈衝操作。在圖13及圖14之實施例中,詳言之,基板表面與反應性蒸氣之間的連續相互作用藉由基板在源開口之固定陣列上方之往復運動而進行。擴散障壁藉由排氣開口之間的載氣開口而形成。Suntola等人陳述此實施例之操作甚至在大氣壓下亦為可能的,即使提供製程或實例之少量細節或未提供細節。
雖然諸如在'563 Yudovsky及'022 Suntola等人之揭示案中描述之系統之系統可避免脈衝之氣體方法固有難點中之某些,但此等系統具有其他缺陷。如此等揭示案中皆描述的,在垂直方向上直接朝向表面驅動氣流引起使氣流複雜化且可導致不良混合之障壁層效應。'563 Yudovsky揭示案之氣流傳遞單元及'022 Suntola等人揭示案之氣流陣列皆需要用於排出消耗之氣體的定位於氣體供應口之間的真空口。此阻止此等設備為緻密的且增大基板之所需要之行進距離以便實現ALD暴露。另外,難以在陣列中之不同點處維持均一真空且在補充壓力下維持同步氣流及真空,因此損害提供至基板表面之氣體通量的均一性。'563 Yudovsky揭示案之氣流傳遞單元及'022 Suntola等人揭示案之氣流陣列歸因於在垂直方向(亦即,垂直於基板表面)上維持氣流之需要而皆不可比0.5 mm更接近基板來使用。'563 Yudovsky揭示案之氣流傳遞單元及'022 Suntola等人揭示案之氣流陣列之複雜配置(各自提供氣流及真空)使得此等解決方案難以實施且剝落係昂貴的並將其潛在使用性限於至有限尺寸之移動基板上之沉積應用。
頒予Selitser之美國專利公開案第2005/0084610號揭示大氣壓原子層化學氣相沉積方法。Selitser等人陳述,反應速率之特別增大藉由將操作壓力改變至大氣壓而獲得,其將涉及反應物濃度之量級的增大,隨之發生表面反應速率之增強。雖然圖10中展示腔室壁被移除之實施例,但Selitser等人之實施例涉及製程之每一階段之單獨的腔室。一系列分離之注入器圍繞旋轉圓形基板固持器軌道而隔開。每一注入器併有獨立操作之反應物、淨化及排氣歧管且控制並充當如在製程中在注入器下通過之每一基板之一完整單層沉積及反應淨化循環。雖然Selitser等人陳述,注入器之間距經選擇以使得來自相鄰注入器之交叉污染藉由在每一注入器中併入之淨化氣流及排氣歧管來防止,但Selitser等人描述氣體注入器或歧管之少量特定細節或未描述特定細節。
為了較高產出及較低製造成本,允許將薄膜沉積於較大表面上或沉積於移動腹板上之ALD系統將將具有特別優點。然而,ALD裝置之習知設計將不易於提供此靈活性。舉例而言,習知方法提供獲得可通過較大基板或腹板配置之表面而傳送之ALD氣體分配裝置之少許希望。
因此,存在對一種ALD裝置之需要,其可達成較短之反應時間及良好之化學品利用效率,可適於與較大大小之基板一起使用,適合於高速操作(包括在大氣壓下或接近大氣壓之較佳製程),且可經濟地生產並操作。
本發明提供一種用於將薄膜材料沉積於一基板上之分配歧管,其包含:(a)複數個輸入口,其包含能夠分別接收第一、第二及第三氣態材料之至少一第一、第二及第三輸入口/入口;及(b)包含複數個開放之伸長輸出通道之輸出面,每一通道在長度方向上大體上平行地延伸,輸出通道包含至少一第一、第二及第三輸出通道,其中該輸出通道沿該輸出面而彼此相鄰,每一輸出通道具有一輸出口,其中用以將氣態材料流提供至對應輸出通道之每一輸出口允許與第一、第二或第三入口中之一者之氣流連通,並且能夠沿輸出通道之長度方向將第一、第二或第三氣態材料中之對應氣態材料之流大體上導引向輸出通道之末端,藉此在自輸出口沿輸出通道之長度移位的位置處自該輸出通道排出該氣態材料。
分配歧管可用於將薄膜沉積於基板上之沉積系統中,該沉積系統包含:(a)分別用於複數個氣態材料之複數個氣源,其包含分別用於第一、第二及第三氣態材料之至少第一、第二及第三氣源;(b)一如上所述之分配歧管;及(c)一基板支撐件,其用於將基板支撐於分配歧管之輸出面的預設計之緊密鄰近區域中,其中,在系統之操作期間,實現了輸出面與基板之間的相對移動,同時維持了預設計之緊密鄰近。
在一實施例中,該系統提供在分配歧管與基板之間的相對振盪運動。在一較佳實施例中,該系統可於正經受薄膜沉積之基板之連續移動下操作,其中該系統能夠在通過分配歧管之腹板上提供支撐件或將支撐件提供為該腹板,較佳在相對於大體上大氣壓之周圍環境為未密封之環境中。
本發明之一優點為其提供一種用於將原子層沉積至基板上、良好地適合於若干不同類型基板及沉積環境之緻密裝置。
本發明之另一優點為,在較佳實施例中,其允許在大氣壓條件下之操作。
本發明之再一優點為其適於在腹板或其他移動基板上沉積,包括沉積至大面積之基板上。
本發明之又一優點為其可在大氣壓下在低溫製程中使用,其中製程可在對環境大氣開放之未密封之環境中實施。
在研讀下列詳細說明以及結合展示並描述本發明之說明性實施態樣之圖式後,熟習此項技術者將清楚本發明之此等及其他目標、特徵及優點。
本說明書尤其係針對形成根據本發明之裝置的部分或與根據本發明之裝置更直接地合作之元件。應理解,未明確展示或描述之元件可採用熟習此項技術者所熟知之各種形式。
於以下描述中,術語"氣體"或"氣態材料"以廣泛含義使用以涵蓋蒸氣化或氣態元素、化合物或材料範圍中之任一者。本文中使用之其他術語(諸如反應物、前驅體、真空及惰性氣體)皆具有其將由熟習材料沉積技術者充份理解之習知含義。所提供之圖式並未按照比例繪製但意欲展示本發明之某些實施態樣的整體功能及結構配置。
本發明之裝置為ALD提供了較之於習知方法而言之顯著改良,其使用用於將氣態材料傳遞至基板表面的小型分配設備,適於在較大及基於腹板之基板上進行沉積,並能夠以改良之產出速度達成高度均一之薄膜沉積。本發明之裝置及方法使用連續(相對於脈衝)的氣態材料分配。本發明之裝置允許在大氣壓下或接近大氣壓下以及在真空下操作且能夠在未密封或露天環境中操作。
參看圖1,其展示根據本發明的用於將原子層沉積至基板20上之分配歧管10之一實施例的橫截面側視圖。分配歧管10具有用於接受第一氣態材料之氣體入口14、用於接受第二氣態材料之氣體入口16及用於接受第三氣態材料之氣體入口18。此等氣體經由具有隨後描述之結構配置之輸出通道12而在輸出面36處發出。圖1及後續圖2至圖3B中之箭頭指示自輸出通道接收之氣態材料之擴散性傳送而非氣流。如下文中進一步說明,該流大體上被導引出該圖式之頁面。
在一實施例中,氣體入口14及16經調適以接受在基板表面上順序反應以實現ALD沉積之第一及第二氣體,且氣體入口18接收相對於第一及第二氣體為惰性氣體之淨化氣體。如隨後將更詳細描述,分配歧管10與提供於基板支撐件上之基板20隔開距離D。可藉由移動基板20、藉由移動分配歧管10或藉由移動基板20與分配歧管10而在基板20與分配歧管10之間提供往復運動。在圖1中展示之特定實施例中,如在圖1中藉由箭頭R並藉由基板20之右側及左側的幻影輪廓所指示,基板20通過輸出面36以往復方式移動。應注意,對於使用分配歧管10之薄膜沉積而言,並非始終需要往復運動。如隨後更詳細描述,亦可提供基板20與分配歧管10之間的其他類型之相對運動,諸如基板20或分配歧管10在一或多個方向上之移動。
圖2之橫截面圖展示在分配歧管10之正面36之一部分上方發出之氣流。在此特定配置中,每一輸出通道12與圖1中可見之氣體入口14、16或18中之一者氣流連通。每一輸出通道12通常傳遞第一反應物氣態材料O、或第二反應物氣態材料M或第三惰性氣態材料I。
圖2展示氣體之相對基礎或簡單之配置。應預料,可在薄膜單一沉積中於各個口處順序傳遞複數個非金屬沉積前驅體(如材料O)或複數個含金屬之前驅體材料(如材料M)。或者,當製造(例如)具有交替之金屬層或具有混合於金屬氧化物材料中混合之少量摻雜劑之複合薄膜材料時,可在單一輸出通道處施加反應物氣體混合物,例如,多種金屬前驅體材料之混合物,或金屬與非金屬前驅體之混合物。關鍵要求為:標記為I之中間流應分離氣體可能於其中相互反應之任何反應物通道。第一反應物氣態材料O及第二反應物氣態材料M相互反應以實現ALD沉積,但反應物氣態材料O及反應物氣態材料M皆不與惰性氣態材料I反應。圖2及下文中使用之術語建議某些典型類型之反應物氣體。舉例而言,第一反應物氣態材料O可為氧化氣態材料;第二反應物氣態材料M可為含金屬之化合物,諸如含鋅材料。惰性氣態材料I可為通常在ALD系統中用作淨化氣體之氮氣、氬氣、氦氣或其他氣體。惰性氣態材料I相對於第一或第二反應物氣態材料O及M為惰性的。在一實施例中,第一與第二反應物氣態材料之間的反應將形成在半導體中使用之金屬氧化物或其他二元化合物,諸如氧化鋅ZnO或ZnS。兩個以上反應物氣態材料之間的反應可形成三元化合物,例如,ZnAlO。
圖3A及圖3B之橫截面圖以簡化示意之形式展示當傳遞反應物氣態材料O及M時隨著基板20沿分配歧管10之輸出面36通過而執行之ALD塗佈操作。在圖3A中,基板20之表面首先自表示為傳遞第一反應物氣態材料O之輸出通道12接收氧化材料。基板之表面現含有容易與材料M反應之經部分反應之形式的材料O。接著,隨著基板20進入第二反應物氣態材料M之金屬化合物之路徑,發生與M的反應,從而形成可由兩種反應物氣態材料形成之金屬氧化物或某種其他薄膜材料。
如圖3A及圖3B展示,在第一反應物氣態材料O及第二反應物氣態材料M之流之間的每一交替輸出通道12中提供惰性氣態材料I。連續輸出通道12係相鄰的,亦即,輸出通道12共用藉由在所展示之實施例中之隔片22形成的共同邊界。此處,輸出通道12藉由垂直於基板20之表面而延伸之擱板22來界定並彼此分離。
值得注意的是,較佳不存在散佈於輸出通道12之間的真空通道,亦即,在傳遞氣態材料之通道之任一側上不存在在隔片周圍抽取氣態材料的真空通道。此有利、緻密配置因為使用之新穎氣流而係可能的。與施加相對於基板之大體上垂直的氣流並應接著在相反垂直方向上抽出所消耗之氣體的較早系統之氣體傳遞陣列不同,分配歧管10沿每一反應物及惰性氣體之表面導引氣流(在一實施例中較佳大體上為層狀的)且以不同方式處置所消耗之氣體及反應副產物,如隨後所描述。本發明中使用之較佳單向流係沿著且大體上平行於基板表面之平面而導引。換言之,氣流大體上橫斷基板之平面而非垂直於待處理之基板。
本裝置及系統可在共同讓渡、由Levy等人共同申請且標題為"PROCESS FOR ATOMIC LAYER DEPOSITION"之美國申請案第11/392,007號中更詳細描述的製程中使用。
圖4及圖5自輸出面36(亦即,自相對於圖1至圖3B之下側)展示分配歧管10之一實施例之透視圖。界定並分離相鄰輸出通道12之隔片22被表示為局部切除以允許自氣體出口24流動之氣流之更佳可視性。圖4及圖5亦展示在本揭示案之圖式中使用之參考x、y、z座標軸分配。輸出通道12係大體上平行的且在對應於x座標軸之長度方向上延伸。使用此座標分配,基板20之往復運動或相對於基板20之運動係在y座標方向上。
圖5展示自此實施例之分配歧管10傳遞之各種氣態材料之流FI 、FO 及FM 。流FI 、FO 及FM 係在x方向上,亦即,沿伸長之輸出通道12之長度。
圖6A、圖6B、圖6C及圖6D之橫截面圖係正交於圖1至圖3B之橫截面而獲得且自此視圖較佳展示一方向上之氣流。在每一輸出通道12內,在圖6A、圖6B、圖6C及圖6D之視圖中以幻影展示,對應氣態材料流自氣體輸出口24流出。在圖6A之實施例中,流F1沿輸出通道12之長度且通過基板20而導引氣態材料,如參看圖4及圖5而描述。流F1在此配置中通過分配歧管10之邊緣而繼續行進,向外流動至環境中或(若需要)流動至氣體收集歧管(未圖示)。圖6B展示流F2之替代實施例,其中輸出通道12亦提供用於再導引或抽出氣流之排氣口26。圖6C展示流F3之替代實施例,其中氣體輸出口24中心地位於輸出通道12內且導引氣體材料在兩個方向上沿通道流動。圖6D展示流F4之替代實施例,其中在多個排氣口26接近輸出通道12之末端而合適地置放的情況下,氣體輸出口24亦中心地定位。雖然單向流為較佳的,但視特定應用中涉及之流動速率及其他情形而定,可出現某一程度之混合且甚至在某種程度上可能為有益的。
特定分配歧管10可使用輸出通道12,其使用氣態材料經導引以沿輸出通道12通過基板20而流動(較佳以大體上層狀方式)之圖6A之F1流、圖6B之F2流、圖6C之F3流、圖6D之F4流或某一其他變化之流動組態或其組合中之任一者而組態。在一實施例中,向傳遞反應物氣態材料之每一輸出通道12提供一或多個排氣口26。舉例而言,參看圖5,標記為O及M之第一反應物氣態材料及第二反應物氣態材料之輸出通道12以排氣口26來組態以遵循流F2之型式(圖6B)而排出或抽出反應物物質。此允許材料之某些再循環且防止接近歧管末端之不良混合及反應。標記為I之惰性氣態材料之輸出通道12並不使用排氣口26且因此遵循流F1之型式(圖6A)。
排氣口26並非習知意義上之真空口,而是僅經提供以抽出其對應輸出通道12中之流,因此促成通道內之均一流型。恰稍小於氣體輸出口24處之氣壓的相反氣壓之負抽取可有助於促進有序之流。負抽取可(例如)在0.9與1.0大氣壓之間的壓力下操作,而典型真空係(例如)低於0.1大氣壓。如圖6B及圖6D中以虛線展示之任選擋板58可經提供以將流型再導引至排氣口26中。
將諸如F1或F3或其變體F2及F4之流型與排氣口26一起使用提供優於使用正交於表面而導引之連續氣源陣列之習知方法(諸如在【先前技術】部分中較早描述的方法)之若干優點。因為不需要單獨真空通道,所以分配歧管10可為非常緻密的,其沿每一相鄰輸出通道而導引氣態材料。由於使用之流較不可能遭遇障壁層效應,因此流動動態相比於較早之方法亦得到改良。惰性氣流FI 提供自先前輸出通道12移除額外材料以及不良反應副產物之一類型的"掃除淨化"。惰性氣流FI 亦有助於分離流FO 及FM 之反應物氣體,使得基板20之表面上方之此等反應物氣體之混合被最小化或消除。因為並未使用習知真空,所以分配歧管10之設計與在每一氣體傳遞通道之間需要真空泵通道之氣體陣列設計(其中真空位準經仔細校準以平衡輸出流)相比而較不複雜。
本發明中使用之流配置視圖1中展示之在基板20與分配歧管10之輸出面36之間的距離D而定。因為不需要用於真空排氣的隔片22周圍之氣流,所以輸出面36可非常接近基板表面(在1密爾(約0.025 mm)內)而定位。藉由比較,諸如在較早引用之頒予Yudovsky之美國專利第6,821,563號中描述的方法之較早方法需要在通道側壁之邊緣周圍之氣流且因此限制於與基板表面相距0.5 mm或更大距離。將分配歧管10較接近基板表面而定位在本發明中係較佳的。在較佳實施例中,自基板表面至分配歧管之輸出面之距離D可為0.4 mm或更小,較佳在0.3 mm內,更佳在0.25 mm內。
圖7A及圖7B之橫截面圖展示與本發明之操作相容,需要距離D相對較小的原因。在此等圖式中,如箭頭指示,分配歧管10在基板20上方自左至右移動。隨著載運反應性氣態材料M之輸出通道12在一區域上方向右移動,其遭遇來自最初為惰性氣態材料I之下一相鄰(時間上先前的)輸出通道之擴散層72。為在基板20之表面上反應,反應性氣態材料M應通過具有與距離D成比例之厚度之擴散層72而擴散。藉由比較,圖7B展示當距離D減小時發生何事:擴散層72成比例地減小。通過擴散層72之擴散更快且更有效地發生,從而允許較小之浪費並減小在基板20之表面上之反應所需要的時間總量。下部隔片壁22亦防止較少氣體在時間上先前的輸出通道氣體中殘存。應注意,如由箭頭之背部所示,通道中之氣流垂直於圖7A及圖7B之頁面,該流維持輔助通過較薄擴散層72而擴散至基板之表面之濃度梯度。表面暴露至M之氣流歷時足夠時間以用於擴散及任何混合來替代時間上先前的輸出通道氣體。應注意,由於氣流係通過表面而非直接進入表面中,因此其限制輸出通道之間的反應物氣體之不良混合,該不良混合可能另外由歧管及/或基板之相對振盪而加劇。
為沿輸出通道12之長度提供平滑之流動,如圖6A及圖6B中所指示,氣體輸出口24可自法線以一角度傾斜。視需要,某類型氣流之再導引結構亦可用以再導引來自氣體輸出口24之向下之流,使得其形成大體上平行於輸出面36而行進之流。
圖8之平面圖展示一實施例中之分配歧管10之一部分的輸出面36。為最佳化定向之流,在用於導引反應物氣態材料之輸出通道12的每一者中定位再導引板38。在所展示之實施例中,僅傳遞反應物氣態材料之輸出通道12具備再導引板38及排氣口26。此特定配置在某些應用中可能係有利的,其中使用惰性氣體包圍分配歧管10以便減小環境氣體之不良吸入係有利的。然而,可在所有輸出通道12上使用再導引板38。同樣,亦可在某些或所有輸出通道12上使用排氣口26。在另一可能實施例中,可在所有通道上使用再導引板,但再導引板之出口邊緣可取決於考慮哪一通道而處於不同的x軸位置。詳言之,可能需要使惰性流之擋板之出口邊緣位置處於比反應物氣體之擋板之出口邊緣位置低之x軸位置,使得惰性淨化流可如上所述用以隔離各種通道。
圖8亦展示一實施例中之輸出通道之型式。此處,已發現將惰性氣體通道I提供為分配歧管10之最外部通道係尤其有利的。具有第一反應物氣態材料O之氧化通道緊接於最外部通道,因為此等通道調節與第二反應物氣態材料M之金屬成份之ALD反應的表面。
圖9展示分配歧管10之一實施例,其中具有寬度W、長度L及高度H之通道由具有形成導管46a、46b、46c之孔徑40的堆疊之金屬板42及44形成。圖10A展示以此方式形成之分配歧管10之單一輸出通道12區段之分解圖,其展示交替之隔板42及傳遞板44中之孔徑40之配置。圖10B展示相鄰輸出通道12之類似分解圖。藉由對準孔徑40而形成之導管46a、46b及46c延伸通過分配歧管10並提供氣流連通之輸入管道,從而自外部氣源接受不同的反應物及惰性氣態材料並提供較早描述之沿輸出面36提供氣流之再導引結構。並未在此等圖式中展示擋板及其他再導引結構,但在組裝設備後可使用經適當結構化或塗覆之堆疊板來提供。
圖10A及圖10B之分解圖各自展示由堆疊之板42及44形成之單一輸出通道12。在圖10A之實例中,所展示之輸出通道12提供自導管46b提供之氣態材料。導管46a及導管46c導引其他氣體通過圖10A中展示之實施例中的此通道。與限制輸出通道12之隔板42不同地尺寸化及穿孔的充當墊片及間隔物元件並導引氣態材料流之傳遞板44含有再導引腔室48,其將導管46b中之氣體的一部分再導引至氣流F1中。在圖10B之實例中,所展示之輸出通道12提供自導管46a提供之氣態材料。導管46b及導管46c導引其他氣體通過圖10B中展示之實施例中的此通道。板42及44應具有用於導引反應性氣態材料之適當的金屬,諸如,(例如)不銹鋼或其他金屬。
需要當組裝用於此實施例之大量板時,傳遞至基板之氣流通過傳遞顆粒流(I、M或O)之所有通道係均一的。此可藉由板之正確設計而實現,諸如,對經精確加工以提供每一通道之可再現壓降之每一板之流型之某些部分進行約束。
雖然堆疊板之方法為建構本發明之物品之尤其可用的方式,但存在用以建置此等結構之許多其他方法且可用於替代實施例中。舉例而言,可藉由金屬塊或黏結在一起之若干金屬塊之直接加工來建構裝置。此外,如將由熟習此項技術者所理解,可使用涉及內模特征之成形技術。亦可使用許多立體微影技術中之任一者來建構裝置。
如自圖9、圖10A及圖10B之實例實施例可瞭解,可建構具有非常小之大小之分配歧管10,其中每一輸出通道12具有一片金屬鍍層之寬度。舉例而言,在使用圖9、圖10A及圖10B之配置之一實施例中,輸出通道12之寬度W為0.034英吋(0.86 mm)。在一實施例中,至少一伸長輸出通道(較佳全部)之開口之寬度係在0.2與5 mm之間,更佳在0.50與1.50 mm之間。不同材料之輸出通道12可以不同厚度製造以獲得緻密配置之較佳自0.01英吋(0.25 mm)至0.1英吋(2.5 mm)之寬度W範圍。輸出通道12之長度L可視所需要均一性及所要氣壓而變化。在一實施例中,輸出通道長度L為3英吋(75 mm)。由堆疊之板42之延伸部分形成的輸出通道12之高度H在一實施例中為0.1英吋(2.5 mm)。
因為氣流歸因於建立之低壓區而可能無意地抽取環境氣體,所以由惰性層提供額外保護障壁可能為有用的。參看圖11,展示一包絡氣流FE ,額外惰性氣流藉由該包絡氣流FE 而使用於分配歧管10之一或多側上以防止環境氣體污染處理氣體。
如參看圖3A及圖3B而特定描述,分配歧管10要求相對於基板20之表面之移動以便執行其沉積功能。可以許多方式獲得包括分配歧管10及基板20中之任一者或兩者之移動之此相對移動,諸如,藉由移動提供基板支撐件的裝置。移動視所需要之沉積循環之數目而可為振盪或往復移動或可為連續移動。亦可使用基板之旋轉(尤其在分批製程中),儘管連續製程係較佳的。
通常,ALD需要多個沉積循環,從而由每一循環積聚受控之薄膜深度。使用較早給出之氣態材料類型之術語,單一循環(例如)以簡單設計提供第一反應物氣態材料O之一施加及第二反應物氣態材料M之一施加。
O反應物氣態材料及M反應物氣態材料之輸出通道之間的距離判定往復移動完成每一循環所需要之距離。對於圖8之具有每一輸出通道12之寬度W的0.034英吋標稱通道寬度之實例分配歧管10,將需要至少0.204英吋之往復運動(如本文中所使用,沿y軸)。對於此實例,基板20之一區域將藉由在此距離上移動而暴露至第一反應物氣態材料O及第二反應物氣態材料M。在某些情況下,均一性之考慮可需要對每一循環中之往復運動量之隨機性進行量測,以便減小沿往復行程之末端的邊緣效應或積聚。
分配歧管10可僅具有足夠的輸出通道12以提供單一循環。或者,分配歧管10可具有多個循環之配置,從而使分配歧管10能夠覆蓋較大之沉積區域或賦能其在一距離上之往復運動,該距離在往復運動距離之一橫跨中允許兩個或兩個以上沉積循環。
舉例而言,在一特定應用中,發現每一O-M循環在所處理之表面之上形成一原子直徑之層。因此,在此情況下,需要四個循環以在所處理之表面上形成1原子直徑之均一層。類似地,在此情況下,為形成10個原子直徑之均一層,則將需要40個循環。
用於本發明之分配歧管10之往復運動之一優點在於,其允許沉積至面積超出輸出面36之面積之基板20上。圖12示意性地展示如何使用如由箭頭R展示之沿y軸之往復運動且亦使用相對於x軸之正交於往復運動或橫斷往復運動之移動來實現此較廣面積之覆蓋。此外,應強調的是,可藉由分配歧管10之移動或藉由以提供移動之基板支撐件74而提供之基板20之移動或藉由分配歧管10及基板20之移動來實現如圖12中所示之在x或y方向上之運動。
在圖12中,分配歧管與基板之相對運動係彼此垂直的。亦可能使此相對運動平行。在此情況下,相對運動需要具有表示振盪之非零頻率分量及表示基板之移位之零頻率分量。此組合可藉由如下方式而達成:與分配歧管在固定基板上方之移位組合之振盪;與基板相對於固定基板分配歧管之移位組合之振盪;或振盪及固定運動藉由分配歧管及基板之移動而提供的任一組合。
在較佳實施例中,可在大氣壓下或接近大氣壓且在廣泛範圍之環境及基板溫度內(較佳在低於300℃之溫度下)執行ALD。較佳地,需要相對清潔之環境以最小化污染之可能性;然而,當使用本發明之裝置的較佳實施例時,為獲得良好效能,將並不需要完全"無塵室"條件或惰性氣體填充之外殼。
圖13展示原子層沉積(ALD)系統60,其具有一用於提供相對良好控制且無污染之環境之腔室50。氣體供應28a、28b及28c經由供應管線32將第一、第二及第三氣態材料提供至分配歧管10。可撓性供應管線32之任選使用促成分配歧管10之移動之容易性。為簡單起見,任選真空蒸氣恢復裝置及其他支撐部件在圖12中並未展示但亦可加以使用。使用在本揭示案中使用的座標軸系統,傳送子系統54提供沿分配歧管10之輸出面36輸送基板20之基板支撐件從而在x方向上提供移動。可藉由控制邏輯處理器56(諸如,(例如)電腦或專用微處理器組件)來提供運動控制以及閥門及其他支撐部件之整體控制。在圖13之配置中,控制邏輯處理器56控制用於將往復運動提供給分配歧管10之致動器30且亦控制傳送子系統54之傳送馬達52。
圖14展示用於將薄膜沉積於腹板基板66上之原子層沉積(ALD)系統70之替代實施例,腹板基板66沿充當基板支撐件之腹板輸送機62通過分配歧管10而輸送。分配歧管傳送器64在橫斷腹板行進方向之方向上通過腹板基板66之表面而輸送分配歧管10。在一實施例中,分配歧管傳送器64使用橫跨腹板基板66之寬度之導螺桿。在另一實施例中,在沿腹板62之適當位置處使用多個分配歧管10。
圖15展示在腹板配置中使用固定分配歧管10之另一原子層沉積(ALD)系統70,其中流型正交於圖13之組態而定向。在此配置中,腹板輸送機62之運動自身提供ALD沉積所需要之移動。在此環境中亦可(諸如)藉由重複反向腹板滾筒之旋轉方向以相對於分配歧管10向前及向後移動基板而使用往復運動。亦可藉由允許分配歧管通過軸與滾筒軸重合之弧線之往復運動而獲得往復運動,同時以恆定運動移動腹板。參看圖16,展示分配歧管10之一部分之實施例,其中輸出面36具有一彎曲量,其對於某些腹板塗佈應用可能為有利的。可提供凸出或凹入之彎曲。
本發明之裝置在其在寬廣溫度範圍內(在某些實施例中包括室溫或接近室溫)執行沉積至基板上之能力方面係有利的。本發明之裝置可在真空環境下操作,但尤其良好地適用於在大氣壓下或接近大氣壓下的操作。
實例
以下薄膜實例之全部使用如圖17中所指示之流設置。流設置使用已淨化以移除氧氣及水污染物從而使其含量低於1 ppm之氮氣流81來供應。氣體藉由歧管而分流至若干流量計,流量計控制淨化氣流及經由擴散器而分流之氣流以選擇反應性前驅體。除氮氣供應外,空氣流90亦傳遞至裝置。空氣經預處理以移除水分。
將以下流傳遞至ALD塗佈裝置:在氮氣中稀釋之含金屬前驅體之金屬前驅體流92;在氮氣中稀釋之含非金屬前驅體或氧化劑之含氧化劑流93;僅由惰性氣體組成之氮氣淨化流95。如下描述而控制此等流之組合物及流量。
氣體擴散器82含有1莫耳二乙基鋅於己烷中之溶液。氣體擴散器83含有2莫耳三甲基鋁於己烷中之溶液。兩個擴散器維持於室溫。流量計85及86分別將純淨氮氣流傳遞至二乙基鋅擴散器82及三甲基鋁擴散器83。擴散器之輸出現含有充滿各別前驅體溶液之氮氣。此等輸出流與自流量計87傳遞之氮氣稀釋流混合以產生金屬前驅體流92之整體流。在以下實例中,流將為如下之流:流量計85:至二乙基鋅擴散器之流流量計86:至三甲基鋁擴散器之流流量計87:至金屬前驅體之稀釋流
氣體擴散器84在室溫下含有純淨水。流量計88將純淨氮氣流傳遞至氣體擴散器84,其輸出表示飽和之水蒸氣之流。空氣流藉由流量計91控制。水擴散器輸出及空氣流與來自流量計89之稀釋流混合以產生含氧化劑流93之整體流,其具有可變之水組合物、氧組合物及總流量。在以下實例中,流將為如下之流:流量計88:至水擴散器流量計89:至氧化劑之稀釋流流量計91:至空氣流
流量計94控制待傳遞至塗佈裝置之純淨氮氣流。
接著將流92、93及95傳遞至大氣壓塗佈頭,其中流92、93及95如圖18中所指示被導引出通道或微腔室狹槽。在微腔室與基板97之間存在約0.15 mm之間隙96。微腔室約2.5 mm高、0.86 mm寬且運轉76 mm之塗佈頭之長度。將此組態中之反應物材料傳遞至狹槽中間且前後流出。
為執行沉積,如由箭頭98所表示,塗佈頭在基板之一部分上方定位且接著在基板上方以往復方式移動。往復循環之長度為32 mm。往復循環之運動之速率為每一秒30 mm。
使用以下特徵化:
A.電晶體量測及分析
使用本發明製造之製造設備之電晶體特徵化由Hewlett Packard HP 4156參數分析儀來執行。在黑暗外殼中之空氣中完成設備測試。
通常平均化來自若干設備之結果。對於每一設備,汲極電流(Id)被量測為用於各種值之閘極電壓(Vg)之源極-汲極電壓(Vd)的函數。此外,對於每一設備,汲極電流被量測為用於各種值之源極-汲極電壓之閘極電壓的函數。對於多數設備而言,對於所量測之通常10 V、20 V及30 V之汲極電壓中之每一者,Vg自-10 V拂掠至40 V。遷移率量測自30 V拂掠進行。
自資料擷取之參數包括場效遷移率(μ)、臨限電壓(Vth)及所量測之汲極電流之Ion/Ioff比。場效遷移率擷取於飽和區中,其中Vd>Vg-Vth。在此區中,汲極電流藉由等式(參見John Wiley & Sons(1981)之Semiconductor Devices-Physics and Technology 中之Sze)給出: 其中,W及L分別為通道寬度及長度,且Cox 為介電層之電容,其為介電質厚度及材料之介電常數之函數。給定此等式,飽和場效遷移率係擷取自與Id 對Vg曲線之直線部分之直線擬合。臨限電壓Vth 為此直線擬合之x截距。
繪製作為閘極電壓之函數之汲極電流的對數。自對數Id 曲線擷取之參數包括Ion /Ioff 比。Ion /Ioff 比僅為最大汲極電流與最小汲極電流之比。
B.崩潰電壓特徵化:
製造設備之崩潰電壓特徵化由HEWLETT PACKARD HP 4156參數分析儀來執行。在黑暗外殼中之空氣中完成設備測試。
對於介電層,崩潰電壓對應於通過層施加之電壓,在此電壓下,實質電流歸因於介電質崩潰而開始流動。
為評估崩潰電壓,在導體(通常為重摻雜之矽晶圓)上塗佈所述介電薄膜且將金屬接觸點置放於介電層之頂部上。將矽晶圓保持為接地電壓,同時使施加至頂部金屬接觸點之電壓自0 V拂掠至100 V。在拂掠期間,量測流入頂部金屬接觸點中之電流。雖然在崩潰之前可出現顯著電流洩漏,但崩潰電壓被視為可看到電流急劇增大之點。
C.薄膜厚度量測:
下列實例之氧化鋁薄膜之厚度使用J.A.WOOLAM ALPHA-SE白色光橢圓偏光儀而量測。使所得之資料適配於由Cauchy散佈模型表示待測層之模型。模型適配之輸出產生薄膜厚度及折射率。
下列實例論證以上系統生產介電質、導體及半導體之高效能薄膜塗層之能力。
實例1
此實例展示根據本發明之用以生產工作薄膜電晶體之氧化鋅半導體薄膜之生產。在此結構中,設備之閘極為重摻雜之矽晶圓,且絕緣體為在沉積氧化鋅半導體薄膜之前藉由熱製程成長於矽晶圓上之二氧化矽薄膜。
使用本發明之沉積系統施加氧化鋅半導體層。在200℃之基板溫度及以下條件下進行兩次運轉:
在氧化鋅之沉積之後,鋁接觸點藉由經由蔽蔭遮罩之蒸鍍而施加於以上設備上達500之厚度。蔽蔭遮罩生產具有500微米之通道寬度及50微米之通道長度之設備。所生產之全部設備提供大於106 之開關比且具有如在以下表中列出之遷移率,其為每一個樣本三個設備之平均值。
以上資料展示可用此裝置生產使用氧化鋅半導體層之高遷移率之良好品質的設備。
實例2
此實例展示根據本發明之氧化鋁薄膜之生產,其論證製造具有良好崩潰電壓之高品質絕緣薄膜之能力。在此結構中,裸矽晶圓被用作一電極,在該電極上使用上述之本發明之設備來成長氧化鋁薄膜。
氧化鋁層在200℃及下列沉積條件下以基板來施加,其中2-B為複製資料點。
在氧化鋁之沉積後,使用橢圓偏光法量測樣本厚度及折射率。此後,使用蔽蔭遮罩蒸鍍將鋁接觸襯墊施加至樣本D薄膜之頂部,使得可進行介電質崩潰量測。此等結果係在下表中:
對於樣本D,在樣本之三個區域上完成崩潰量測。平均崩潰為7.9 MV/cm,其展示形成了高品質之Al2 O3 介電層。
實例3
此實例展示將重摻雜之矽用作閘極材料但接著將Al2 O3 用作介電質且將ZnO用作半導體之工作電晶體設備之生產,其中使用本發明而沉積Al2 O3 及ZnO。
首先根據下列條件沉積氧化鋁薄膜:
在氧化鋁沉積後,根據下列條件使用以上裝置用ZnO塗佈兩個樣本。
經由蔽蔭遮罩在所得多層設備上蒸鍍鋁接觸點,從而產生具有50 μm之通道長度及500 μm之通道寬度之薄膜電晶體。設備之結果展示如下:
以上資料展示可藉由使用本發明之裝置沉積所有臨界層而生產高品質之薄膜電晶體。
實例4
此實例展示在沉積溫度變化情況下將重摻雜之矽用作閘極材料但接著將Al2 O3 用作介電質且將ZnO用作半導體(其中根據本發明而沉積Al2 O3 及ZnO)之工作電晶體設備之生產,以論證在一溫度範圍內生產可用設備之能力。
首先根據下列條件沉積氧化鋁薄膜:
在氧化鋁沉積後,根據下列條件使用以上裝置用ZnO塗佈樣本。
經由蔽蔭遮罩在所得多層設備上蒸鍍鋁接觸點,從而產生具有50 μm之通道長度及500 μm之通道寬度之薄膜電晶體。設備之結果展示如下:
上表中之資料展示可使用本發明之裝置在各種溫度下製造良好品質之薄膜電晶體。
實例5
此實例展示氧化鋁薄膜在玻璃基板上之生產,從而論證在本發明中可使用各種基板。首先根據下列條件沉積氧化鋁薄膜:
對在沉積之前預處理玻璃基板之方式進行變化。在約100 mTorr之腔室壓力下運轉之SPI Plasma-Prep IIPlasma Etcher(West Chester,PA)中執行氧電漿處理。Pirhana清潔由將樣本浸沒於新製備之100 ml之30%之過氧化氫於200 ml濃硫酸中之溶液中組成。下表列出樣本之處理及所得厚度:
以上樣本展示薄膜可沉積於玻璃基板上而不管基於表面預處理之某些厚度變化。
實例6
此實例展示將氧化銦錫用作閘極材料但接著將Al2 O3 用作介電質且將ZnO用作半導體之工作電晶體設備之生產,其中使用本專利中描述之本發明而沉積Al2 O3 及ZnO。首先根據下列條件沉積氧化鋁薄膜:
在氧化鋁沉積後,根據下列條件使用以上裝置用ZnO塗佈樣本。
給予樣本如下表中列出之各種清潔處理。異丙醇(IPA)中之超音波處理由桌上型超音清潔器完成且由在IPA中超音波處理樣本歷時5分鐘組成。O2 電漿/CFx處理由如以上實例5中描述之2' O2電漿處理組成,繼之以如美國專利第6,208,075號中描述之藉由CHF3 之電漿輔助沉積之1 nm碳氟化合物(CFx)層的沉積。
以上展示之結果指示本發明之裝置可用以在ITO上生產堆疊設備,從而指示全透明設備之可能性。
實例7
此實例展示根據本發明之生產導電材料之能力。導體為鋁摻雜之氧化鋅。如下表中所展示,藉由同時添加二乙基鋅及三甲基鋁而成長薄膜:
藉由使用以約0.5cm隔開之探針而將歐姆計接觸至樣本來量測樣本電阻率。此量測之結果展示如下:
以上結果指示可使用本發明製造導電薄膜。
10...分配歧管
12...輸出通道
14、16、18...氣體輸入口
20...基板
22...隔片
24...氣體輸出口
26...排氣口
28a、28b、28c...氣體供應
30...致動器
32...供應管線
36...輸出面
38...再導引板
40...孔徑
42...隔板
44...傳遞板
46a、46b、46c...導管
48...再導引腔室
50...腔室
52...傳送馬達
54...傳送子系統
56...控制邏輯處理器
58...擋板
60...原子層沉積(ALD)系統
62...腹板輸送機
64...分配歧管傳送器
66...腹板基板
70...原子層沉積(ALD)系統
72...擴散層
74...基板支撐件
81...氮氣流
82、83、84...氣體擴散器
85、86、87、88、89、91、94...流量計
90...空氣流
92...金屬前驅體流
93...含氧化劑流
95...氮氣淨化流
96...間隙
97...實例基板
98...箭頭
D...距離
F1、F2、F3、F4、FI 、FO 、FM 、FE ...氣流
H...高度
I...惰性氣態材料
L...通道長度
M...第二反應物氣態材料
O...第一反應物氣態材料
R...箭頭
W...通道寬度
圖1為根據本發明的用於原子層沉積之分配歧管之一實施例的橫截面側視圖;圖2為展示提供至經受薄膜沉積之基板的氣態材料之一例示性配置的分配歧管之一實施例之橫截面側視圖;圖3A及圖3B為分配歧管之一實施例的橫截面側視圖,其示意性地展示伴發沉積操作;圖4為分配歧管之一實施例之一部分的透視圖(自輸出面側),其展示沉積於基板上期間輸出通道相對於基板之定向及往復運動;圖5為如圖4中的透視圖,其展示氣流之一例示性配置;圖6A、圖6B、圖6C及圖6D為正交於先前圖1至圖3B之橫截面圖而獲得的橫截面圖,其展示各種實施例中之輸出通道的氣流方向;圖7A及圖7B為分配歧管之一實施例之一部分的橫截面圖,其展示自分配歧管之輸出面至基板表面之減小的距離之優點;圖8為根據圖2之分配歧管之輸出面之平面圖,其展示一實施例中之輸出通道的配置;圖9為自一實施例中之堆疊板形成的分配歧管之一實施例的透視圖;圖10A及圖10B為使用圖9中展示之堆疊板結構之分配歧管之構造的一實施例之分解圖,該等分解圖展示在製程中使用的不同氣體之兩個不同輸出通道;圖11為展示使用沿分配歧管之周邊導引之惰性氣體的包絡護罩之一實施例之透視圖;圖12為展示往復及正交移動之替代運動型式之示意圖;圖13為使用根據本發明之分配歧管的沉積系統之一實施例之方塊圖;圖14為展示應用至移動腹板之沉積系統之一實施例的方塊圖;圖15為展示應用至移動腹板之沉積系統之另一實施例的方塊圖,其中分配歧管係固定的;圖16為分配歧管之一實施例之橫截面側視圖,其中輸出面具有曲率;圖17為根據實例之用於薄膜沉積之源材料的方塊圖;及圖18為分配歧管之橫截面側視圖,其展示提供至經受實例之薄膜沉積製程之基板的氣態材料之配置。
10...分配歧管
12...輸出通道
20...基板
22...隔片
24...氣體輸出口
36...輸出面
R...箭頭

Claims (32)

  1. 一種用於將薄膜材料沉積至一基板上之分配歧管,其包含:a)複數個入口,其包含能夠分別接收第一氣態材料、第二氣態材料及第三氣態材料之至少一第一入口、一第二入口及一第三入口;b)一包含複數個開放之伸長輸出通道之輸出面,每一通道在一長度方向上大體上平行地延伸,該等輸出通道包含至少一第一輸出通道、一第二輸出通道及一第三輸出通道,其中該等輸出通道沿該輸出面而彼此相鄰,每一輸出通道包含一輸出口,其中用以將一氣態材料流提供至對應輸出通道之每一輸出口係與該第一入口、該第二入口或該第三入口中之一者之氣流連通,並且能夠沿該輸出通道之該長度方向將該第一氣態材料、該第二氣態材料及該第三氣態材料中的對應氣態材料之流大體上導引向該輸出通道之末端,藉此在自該輸出口沿輸出通道之長度移位之一位置處自該輸出通道排出該氣態材料。
  2. 如請求項1之分配歧管,其進一步包含一耦接至該分配歧管之本體之致動器,以在大體上正交於該輸出通道之該長度方向之方向上提供該分配歧管之往復運動。
  3. 如請求項1之分配歧管,其中每一伸長輸出通道具有由隔片壁界定之伸長側面。
  4. 如請求項3之分配歧管,其中每一伸長輸出通道係由兩個堆疊之側板界定,該等堆疊之側板由一中央板分離,其中該等側板之在一垂直於該長度方向之高度方向上延伸超出該中央板之部分形成該等隔片壁。
  5. 如請求項3之分配歧管,其中至少一伸長輸出通道之開口寬度係在0.2與5 mm之間。
  6. 如請求項1之分配歧管,其中至少一輸出通道進一步包含一在該輸出通道之末端處的排氣口,其能夠抽取在該輸出通道中收納之該氣態材料流。
  7. 如請求項1之分配歧管,其中該輸出面之橫截面具有曲率。
  8. 如請求項1之分配歧管,其中該等輸出通道之橫截面為矩形。
  9. 如請求項1之分配歧管,其中每一輸出通道中之該輸出口係安置於鄰近於該輸出通道之末端。
  10. 如請求項1之分配歧管,其中每一輸出通道中之該輸出口係大致安置於該輸出通道之中心。
  11. 如請求項1之分配歧管,其中每一輸出通道中之該輸出口之開口的平面係以一相對該長度方向是斜的之角度而傾斜。
  12. 如請求項1之分配歧管,其中至少一輸出通道中之該輸出口係位於一在該長度方向上導引該氣流之擋板之下方。
  13. 如請求項1之分配歧管,其中不存在附著至一真空源且與該等伸長輸出通道相鄰之伸長通道。
  14. 如請求項6之分配歧管,其中該排氣口可使該氣態材料再循環以便再使用。
  15. 如請求項1之分配歧管,其中該等輸出通道中之至少一者在其一伸長末端處係開放的,以使由該輸出通道收納之該氣態材料通過該分配歧管之側面而流動或由一收集歧管收集。
  16. 一種用於將一固態材料薄膜沉積至一基板上之沉積系統,其包含:a)分別提供複數個氣態材料之複數個氣源,其包含分別用於第一氣態材料、第二氣態材料及第三氣態材料之至少一第一氣源、一第二氣源及一第三氣源;b)一分配歧管,其包含:(i)複數個入口,其包含用於分別接收該第一氣態材料、該第二氣態材料及該第三氣態材料之至少一第一入口、一第二入口及一第三入口;(ii)一包含複數個開放之伸長輸出通道之輸出面,每一通道在一長度方向上大體上平行地延伸,該等輸出通道包含至少一第一輸出通道、一第二輸出通道及一第三輸出通道,其中該等輸出通道沿該輸出面而彼此相鄰,每一輸出通道具有一輸出口,其中用以將一氣態材料流提供至對應輸出通道之每一輸出口可與該第一入口、該第二入口及該第三入口中之一者之氣流連通,並能夠沿該輸出通道之該長度方向而將該第一氣態材料、該第二氣態材料或該第三氣態材料中的對應氣態材料流大體上導引向該輸出通道之末端,藉此在自該輸出口沿輸出通道之長度移位之位置處自該輸出通道排出該氣態材料,及c)一基板支撐件,其用於將基板支撐於該分配歧管之該輸出面的大體上均一的預設計之緊密鄰近區域中,其中該系統能夠在操作期間提供在該輸出面與基板表面之間的相對移動,同時維持該預設計之緊密鄰近。
    如請求項16之沉積系統,其進一步包含一耦接至該分配歧管之致動器,以在大體上正交於該輸出通道之該長度方向之方向上提供該分配歧管之往復運動,藉此將一振盪運動提供給該分配歧管。
  17. 如請求項16之沉積系統,其進一步包含一耦接至該基板支撐件之致動器,以在大體上正交於該分配歧管之該輸出通道之該長度方向的方向上提供該基板之往復運動,藉此將一振盪運動提供給該基板。
  18. 如請求項16之沉積系統,其中該基板支撐件包含一用於沿該分配歧管之該輸出面移動該基板之傳送裝置。
  19. 如請求項16之沉積系統,其中用於該固態材料之薄膜沉積之該基板之總表面積超出該分配歧管之該輸出面之表面積。
  20. 如請求項16之沉積系統,其中該基板支撐件係輸送一移動腹板。
  21. 如請求項16之沉積系統,其中該基板支撐件將該基板表面維持於一距該分配歧管之該輸出面0.4 mm以內的分離距離處。
  22. 如請求項19之沉積系統,其中由該傳送裝置提供之該腹板之該移動係連續的。
  23. 如請求項21之沉積系統,其中由該傳送裝置提供之該移動腹板之該移動係往復的。
  24. 如請求項16之沉積系統,其中在該第一輸出通道、該第二輸出通道及該第三輸出通道中之該氣態材料流在薄膜沉積期間係大體上連續的。
  25. 如請求項16之沉積系統,其進一步包含一在薄膜沉積期間用於該分配歧管及該基板之腔室外罩。
  26. 如請求項16之沉積系統,其中該基板及該分配歧管對於大氣係開放的。
  27. 如請求項16之沉積系統,其中每一伸長輸出通道具有由隔片壁界定之伸長側面。
  28. 如請求項16之沉積系統,其中每一伸長輸出通道係由兩個堆疊之側板界定,該等堆疊之側板由一中央板分離,其中該等側板之在一垂直於該長度方向之高度方向上延伸超出該中央板之部分形成該等隔片壁。
  29. 一種用於在基板上進行薄膜沉積之沉積裝置,其包含:a)用於提供複數個氣態材料之複數個氣源,其包含分別用於第一氣態材料、第二氣態材料及第三氣態材料之至少一第一氣源、一第二氣源及一第三氣源;b)一分配歧管,其包含:(i)複數個入口,其包含用於分別接收該第一氣態材料、該第二氣態材料及該第三氣態材料之至少一第一入口、一第二入口及一第三入口;(ii)一包含複數個開放之伸長輸出通道之輸出面,每一通道在一長度方向上大體上平行地延伸,該等輸出通道包含至少一第一輸出通道、一第二輸出通道及一第三輸出通道,其中該等輸出通道沿該輸出面而彼此相鄰,每一輸出通道具有一輸出口,其中用以將一氣態材料流提供至對應輸出通道之每一輸出口係與該第一入口、該第二入口及該第三入口中之一者之氣流連通,並且能夠沿該輸出通道之該長度方向而將該第一氣態材料、該第二氣態材料及該第三氣態材料中的對應氣態材料流大體上導引向該輸出通道之末端,藉此在自該輸出口沿輸出通道之長度移位之位置處自該輸出通道排出該氣態材料,及c)一輸送機,其用於通過該分配歧管之該輸出面移動一腹板基板以實現在該腹板基板之一區域上之薄膜沉積,其中該腹板基板與該分配歧管之該輸出面處於大體上均一的預設計之緊密鄰近關係,且其中該系統能夠在該系統之操作期間提供在該輸出面與基板表面之間的相對移動,同時維持該預設計之緊密鄰近。
  30. 如請求項30之沉積裝置,其進一步包含一用於在橫向腹板移動之方向上移動該分配歧管之傳送總成。
  31. 如請求項30之沉積裝置,其進一步包含一使腹板運動在兩個相反方向之間往復的腹板運動裝置。
  32. 如請求項30之沉積裝置,其中該沉積裝置係未密封隔離於環境大氣。
TW096110843A 2006-03-29 2007-03-28 用於原子層沉積之裝置 TWI396769B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/392,006 US7456429B2 (en) 2006-03-29 2006-03-29 Apparatus for atomic layer deposition

Publications (2)

Publication Number Publication Date
TW200808998A TW200808998A (en) 2008-02-16
TWI396769B true TWI396769B (zh) 2013-05-21

Family

ID=38544360

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096110843A TWI396769B (zh) 2006-03-29 2007-03-28 用於原子層沉積之裝置

Country Status (7)

Country Link
US (1) US7456429B2 (zh)
EP (1) EP1999296B1 (zh)
JP (1) JP2009531548A (zh)
KR (1) KR20080106563A (zh)
CN (1) CN101415862A (zh)
TW (1) TWI396769B (zh)
WO (1) WO2007126582A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI672729B (zh) * 2016-08-25 2019-09-21 Asm知識產權私人控股有限公司 排氣設備和使用其的基板處理設備

Families Citing this family (395)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8088223B2 (en) * 2005-03-10 2012-01-03 Asm America, Inc. System for control of gas injectors
KR101314708B1 (ko) 2006-03-26 2013-10-10 로터스 어플라이드 테크놀로지, 엘엘씨 원자층 증착 시스템 및 연성 기판을 코팅하기 위한 방법
US20070281089A1 (en) * 2006-06-05 2007-12-06 General Electric Company Systems and methods for roll-to-roll atomic layer deposition on continuously fed objects
US7615486B2 (en) * 2007-04-17 2009-11-10 Lam Research Corporation Apparatus and method for integrated surface treatment and deposition for copper interconnect
US7573420B2 (en) * 2007-05-14 2009-08-11 Infineon Technologies Ag RF front-end for a radar system
US7789961B2 (en) * 2007-01-08 2010-09-07 Eastman Kodak Company Delivery device comprising gas diffuser for thin film deposition
US20080166880A1 (en) * 2007-01-08 2008-07-10 Levy David H Delivery device for deposition
US11136667B2 (en) * 2007-01-08 2021-10-05 Eastman Kodak Company Deposition system and method using a delivery head separated from a substrate by gas pressure
US8207063B2 (en) 2007-01-26 2012-06-26 Eastman Kodak Company Process for atomic layer deposition
US20080299771A1 (en) * 2007-06-04 2008-12-04 Irving Lyn M Methods of making thin film transistors comprising zinc-oxide-based semiconductor materials and transistors made thereby
US8211231B2 (en) * 2007-09-26 2012-07-03 Eastman Kodak Company Delivery device for deposition
US8182608B2 (en) * 2007-09-26 2012-05-22 Eastman Kodak Company Deposition system for thin film formation
US7572686B2 (en) * 2007-09-26 2009-08-11 Eastman Kodak Company System for thin film deposition utilizing compensating forces
US7858144B2 (en) * 2007-09-26 2010-12-28 Eastman Kodak Company Process for depositing organic materials
US8398770B2 (en) * 2007-09-26 2013-03-19 Eastman Kodak Company Deposition system for thin film formation
JP5179389B2 (ja) * 2008-03-19 2013-04-10 東京エレクトロン株式会社 シャワーヘッド及び基板処理装置
US9238867B2 (en) * 2008-05-20 2016-01-19 Asm International N.V. Apparatus and method for high-throughput atomic layer deposition
US20090291209A1 (en) * 2008-05-20 2009-11-26 Asm International N.V. Apparatus and method for high-throughput atomic layer deposition
KR20090122727A (ko) * 2008-05-26 2009-12-01 삼성전자주식회사 원자층 증착 장치와 이를 이용한 원자층 증착 방법
EP2356672B1 (en) * 2008-12-04 2018-09-12 Veeco Instruments Inc. Chemical vapor deposition flow inlet elements
JP4523661B1 (ja) * 2009-03-10 2010-08-11 三井造船株式会社 原子層堆積装置及び薄膜形成方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20110023775A1 (en) * 2009-07-31 2011-02-03 E.I. Du Pont De Nemours And Company Apparatus for atomic layer deposition
US8657959B2 (en) * 2009-07-31 2014-02-25 E I Du Pont De Nemours And Company Apparatus for atomic layer deposition on a moving substrate
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
EP2488678B1 (en) 2009-10-14 2019-01-16 Lotus Applied Technology, LLC Inhibiting excess precursor transport between separate precursor zones in an atomic layer deposition system
US20110097492A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Fluid distribution manifold operating state management system
US20110097494A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Fluid conveyance system including flexible retaining mechanism
US20110097493A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Fluid distribution manifold including non-parallel non-perpendicular slots
US20110097491A1 (en) 2009-10-27 2011-04-28 Levy David H Conveyance system including opposed fluid distribution manifolds
US20110097487A1 (en) 2009-10-27 2011-04-28 Kerr Roger S Fluid distribution manifold including bonded plates
US20110097490A1 (en) 2009-10-27 2011-04-28 Kerr Roger S Fluid distribution manifold including compliant plates
US20110097488A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Fluid distribution manifold including mirrored finish plate
US20110097489A1 (en) 2009-10-27 2011-04-28 Kerr Roger S Distribution manifold including multiple fluid communication ports
NL2003836C2 (en) * 2009-11-19 2011-05-23 Levitech B V Floating wafer track with lateral stabilization mechanism.
US20110120544A1 (en) 2009-11-20 2011-05-26 Levy David H Deposition inhibitor composition and method of use
WO2011062779A1 (en) 2009-11-20 2011-05-26 Eastman Kodak Company Method for selective deposition and devices
US8637123B2 (en) 2009-12-29 2014-01-28 Lotus Applied Technology, Llc Oxygen radical generation for radical-enhanced thin film deposition
EP2360293A1 (en) 2010-02-11 2011-08-24 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Method and apparatus for depositing atomic layers on a substrate
EP2362411A1 (en) 2010-02-26 2011-08-31 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus and method for reactive ion etching
US7923313B1 (en) 2010-02-26 2011-04-12 Eastman Kodak Company Method of making transistor including reentrant profile
US8803203B2 (en) 2010-02-26 2014-08-12 Eastman Kodak Company Transistor including reentrant profile
US8865259B2 (en) 2010-04-26 2014-10-21 Singulus Mocvd Gmbh I.Gr. Method and system for inline chemical vapor deposition
JP5828895B2 (ja) 2010-07-23 2015-12-09 ロータス アプライド テクノロジー エルエルシーLotus Applied Technology, Llc ロール・ツー・ロール薄膜堆積用の可撓性ウェブ基板の片面接触式基板輸送機構
US8133806B1 (en) 2010-09-30 2012-03-13 S.O.I.Tec Silicon On Insulator Technologies Systems and methods for forming semiconductor materials by atomic layer deposition
US8486192B2 (en) 2010-09-30 2013-07-16 Soitec Thermalizing gas injectors for generating increased precursor gas, material deposition systems including such injectors, and related methods
TWI541378B (zh) 2010-10-16 2016-07-11 奧特科技公司 原子層沉積鍍膜系統及方法
US8847232B2 (en) 2011-01-07 2014-09-30 Eastman Kodak Company Transistor including reduced channel length
US7985684B1 (en) 2011-01-07 2011-07-26 Eastman Kodak Company Actuating transistor including reduced channel length
CN103314445B (zh) 2011-01-07 2016-03-30 柯达公司 包含多重凹入外形的晶体管
US8338291B2 (en) 2011-01-07 2012-12-25 Eastman Kodak Company Producing transistor including multiple reentrant profiles
WO2012094109A1 (en) 2011-01-07 2012-07-12 Eastman Kodak Company Transistor including reduced channel length
US8383469B2 (en) 2011-01-07 2013-02-26 Eastman Kodak Company Producing transistor including reduced channel length
US8304347B2 (en) 2011-01-07 2012-11-06 Eastman Kodak Company Actuating transistor including multiple reentrant profiles
US8847226B2 (en) 2011-01-07 2014-09-30 Eastman Kodak Company Transistor including multiple reentrant profiles
US8492769B2 (en) 2011-01-07 2013-07-23 Eastman Kodak Company Transistor including multi-layer reentrant profile
US8409937B2 (en) 2011-01-07 2013-04-02 Eastman Kodak Company Producing transistor including multi-layer reentrant profile
US20120222620A1 (en) 2011-03-01 2012-09-06 Applied Materials, Inc. Atomic Layer Deposition Carousel with Continuous Rotation and Methods of Use
US20120225204A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
US20120225191A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
DE102011077833A1 (de) * 2011-06-20 2012-12-20 Gebr. Schmid Gmbh Verfahren zur Bearbeitung von Substraten und Vorrichtung dazu
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8617942B2 (en) 2011-08-26 2013-12-31 Eastman Kodak Company Producing transistor including single layer reentrant profile
US8592909B2 (en) 2011-08-26 2013-11-26 Eastman Kodak Company Transistor including single layer reentrant profile
US8637355B2 (en) 2011-08-26 2014-01-28 Eastman Kodak Company Actuating transistor including single layer reentrant profile
US8865576B2 (en) 2011-09-29 2014-10-21 Eastman Kodak Company Producing vertical transistor having reduced parasitic capacitance
US8623757B2 (en) 2011-09-29 2014-01-07 Eastmak Kodak Company Producing a vertical transistor including reentrant profile
US8273654B1 (en) 2011-09-29 2012-09-25 Eastman Kodak Company Producing a vertical transistor including reentrant profile
US8803227B2 (en) 2011-09-29 2014-08-12 Eastman Kodak Company Vertical transistor having reduced parasitic capacitance
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN102424958A (zh) * 2011-11-17 2012-04-25 陈聪茂 用于连续制造金属薄膜太阳能电池的淀积设备及方法
US20130143415A1 (en) * 2011-12-01 2013-06-06 Applied Materials, Inc. Multi-Component Film Deposition
US8618003B2 (en) 2011-12-05 2013-12-31 Eastman Kodak Company Method of making electronic devices using selective deposition
US9748125B2 (en) 2012-01-31 2017-08-29 Applied Materials, Inc. Continuous substrate processing system
US8633068B2 (en) 2012-02-22 2014-01-21 Eastman Kodak Company Vertical transistor actuation
US8698230B2 (en) 2012-02-22 2014-04-15 Eastman Kodak Company Circuit including vertical transistors with a conductive stack having reentrant profile
JP6119745B2 (ja) * 2012-05-31 2017-04-26 凸版印刷株式会社 巻き取り成膜装置
KR101435100B1 (ko) * 2012-06-20 2014-08-29 주식회사 엠티에스나노테크 원자층 증착 장치
US8791023B2 (en) 2012-08-31 2014-07-29 Eastman Kodak Company Patterned thin film dielectric layer formation
US8927434B2 (en) 2012-08-31 2015-01-06 Eastman Kodak Company Patterned thin film dielectric stack formation
US8846545B2 (en) 2012-08-31 2014-09-30 Eastman Kodak Company Method of forming patterned thin film dielectric stack
US8653516B1 (en) 2012-08-31 2014-02-18 Eastman Kodak Company High performance thin film transistor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
DE102012111484A1 (de) * 2012-11-27 2014-05-28 Aixtron Se Vorrichtung und Verfahren zum Bearbeiten streifenförmiger Substrate
US9175389B2 (en) * 2012-12-21 2015-11-03 Intermolecular, Inc. ALD process window combinatorial screening tool
US20140206137A1 (en) * 2013-01-23 2014-07-24 David H. Levy Deposition system for thin film formation
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6333941B2 (ja) 2013-03-11 2018-05-30 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高温処理チャンバリッド及びそれを備えた処理チャンバ
US20140374806A1 (en) 2013-06-19 2014-12-25 Lee W. Tutt Four terminal transistor
US8946070B2 (en) 2013-06-19 2015-02-03 Eastman Kodak Company Four terminal transistor fabrication
US8921236B1 (en) 2013-06-21 2014-12-30 Eastman Kodak Company Patterning for selective area deposition
US8937016B2 (en) 2013-06-21 2015-01-20 Eastman Kodak Company Substrate preparation for selective area deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9198283B2 (en) 2014-03-06 2015-11-24 Eastman Kodak Company Vertically spaced electrode structure
US9142647B1 (en) 2014-03-06 2015-09-22 Eastman Kodak Company VTFT formation using selective area deposition
US9331205B2 (en) 2014-03-06 2016-05-03 Eastman Kodak Company VTFT with post, cap, and aligned gate
US9093470B1 (en) 2014-03-06 2015-07-28 Eastman Kodak Company VTFT formation using capillary action
CN106068567B (zh) 2014-03-06 2019-07-16 伊斯曼柯达公司 具有聚合物芯的vtft
US9153698B2 (en) 2014-03-06 2015-10-06 Eastman Kodak Company VTFT with gate aligned to vertical structure
US9178029B2 (en) 2014-03-06 2015-11-03 Eastman Kodak Company Forming a VTFT gate using printing
US9117914B1 (en) 2014-03-06 2015-08-25 Eastman Kodak Company VTFT with polymer core
US9123815B1 (en) 2014-03-06 2015-09-01 Eastman Kodak Company VTFTs including offset electrodes
US9214560B2 (en) 2014-03-06 2015-12-15 Eastman Kodak Company VTFT including overlapping electrodes
US9202898B2 (en) 2014-03-06 2015-12-01 Eastman Kodak Company Fabricating VTFT with polymer core
US9129993B1 (en) 2014-03-06 2015-09-08 Eastman Kodak Company Forming a VTFT using printing
US9147770B1 (en) 2014-03-06 2015-09-29 Eastman Kodak Company VTFT with extended electrode
US9153445B2 (en) 2014-03-06 2015-10-06 Eastman Kodak Company Forming a VTFT with aligned gate
US9236486B2 (en) 2014-03-06 2016-01-12 Eastman Kodak Company Offset independently operable VTFT electrodes
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
EP2960059B1 (en) 2014-06-25 2018-10-24 Universal Display Corporation Systems and methods of modulating flow during vapor jet deposition of organic materials
US11220737B2 (en) * 2014-06-25 2022-01-11 Universal Display Corporation Systems and methods of modulating flow during vapor jet deposition of organic materials
US11267012B2 (en) * 2014-06-25 2022-03-08 Universal Display Corporation Spatial control of vapor condensation using convection
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9368490B2 (en) 2014-10-29 2016-06-14 Eastman Kodak Company Enhancement-depletion mode inverter with two transistor architectures
US9634145B2 (en) 2014-10-29 2017-04-25 Eastman Kodak Company TFT substrate with variable dielectric thickness
US9443887B1 (en) 2015-06-12 2016-09-13 Eastman Kodak Company Vertical and planar TFTS on common substrate
US9620501B1 (en) 2014-09-16 2017-04-11 Eastman Kodak Company Enhancement-depletion mode circuit element with differential passivation
US9368491B2 (en) 2014-10-29 2016-06-14 Eastman Kodak Company Enhancement mode inverter with variable thickness dielectric stack
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
CN104451597B (zh) * 2014-11-19 2017-08-11 上海纳米技术及应用国家工程研究中心有限公司 一种固体润滑ZnS薄膜的制备方法
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10954597B2 (en) 2015-03-17 2021-03-23 Asm Ip Holding B.V. Atomic layer deposition apparatus
US9653493B2 (en) 2015-06-12 2017-05-16 Eastman Kodak Company Bottom-gate and top-gate VTFTs on common structure
US9401430B1 (en) 2015-06-12 2016-07-26 Eastman Kodak Company VTFT with a top-gate structure
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10566534B2 (en) 2015-10-12 2020-02-18 Universal Display Corporation Apparatus and method to deliver organic material via organic vapor-jet printing (OVJP)
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9859308B1 (en) 2016-07-29 2018-01-02 Eastman Kodak Company Multiple TFTs on common vertical support element
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US9799752B1 (en) 2016-10-31 2017-10-24 Eastman Kodak Company Method for forming a thin-film transistor
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10422038B2 (en) 2017-03-14 2019-09-24 Eastman Kodak Company Dual gas bearing substrate positioning system
US20180265977A1 (en) 2017-03-14 2018-09-20 Eastman Kodak Company Deposition system with vacuum pre-loaded deposition head
US11248292B2 (en) 2017-03-14 2022-02-15 Eastman Kodak Company Deposition system with moveable-position web guides
US10895011B2 (en) 2017-03-14 2021-01-19 Eastman Kodak Company Modular thin film deposition system
US10400332B2 (en) 2017-03-14 2019-09-03 Eastman Kodak Company Deposition system with interlocking deposition heads
US10550476B2 (en) 2017-03-14 2020-02-04 Eastman Kodak Company Heated gas-bearing backer
US10501848B2 (en) 2017-03-14 2019-12-10 Eastman Kodak Company Deposition system with modular deposition heads
US10584413B2 (en) 2017-03-14 2020-03-10 Eastman Kodak Company Vertical system with vacuum pre-loaded deposition head
US10435788B2 (en) 2017-03-14 2019-10-08 Eastman Kodak Deposition system with repeating motion profile
JP6640781B2 (ja) * 2017-03-23 2020-02-05 キオクシア株式会社 半導体製造装置
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
CN107475689A (zh) * 2017-08-28 2017-12-15 常州亿晶光电科技有限公司 一种改善理想机台沉积ALOx膜均匀性的方法
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102390560B1 (ko) * 2018-11-30 2022-04-26 메이덴샤 코포레이션 산화막 형성 장치
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11802714B2 (en) 2019-05-31 2023-10-31 Hamilton Sundstrand Corporation Exhaust manifold for additive manufacturing
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6551406B2 (en) * 1999-12-28 2003-04-22 Asm Microchemistry Oy Apparatus for growing thin films
TW200301506A (en) * 2001-12-21 2003-07-01 Applied Materials Inc Chamber hardware design for titanium nitride atomic layer deposition

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
IL59065A0 (en) * 1979-01-18 1980-05-30 Beecham Group Ltd Hydantoin derivatives,their preparation pharmaceutical compositons containing them
FI57975C (fi) 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
FI100409B (fi) * 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
US6890386B2 (en) 2001-07-13 2005-05-10 Aviza Technology, Inc. Modular injector and exhaust assembly
US20050084610A1 (en) 2002-08-13 2005-04-21 Selitser Simon I. Atmospheric pressure molecular layer CVD
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US6972055B2 (en) * 2003-03-28 2005-12-06 Finens Corporation Continuous flow deposition system
US7537662B2 (en) 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6551406B2 (en) * 1999-12-28 2003-04-22 Asm Microchemistry Oy Apparatus for growing thin films
TW200301506A (en) * 2001-12-21 2003-07-01 Applied Materials Inc Chamber hardware design for titanium nitride atomic layer deposition

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI672729B (zh) * 2016-08-25 2019-09-21 Asm知識產權私人控股有限公司 排氣設備和使用其的基板處理設備

Also Published As

Publication number Publication date
EP1999296B1 (en) 2012-01-25
JP2009531548A (ja) 2009-09-03
KR20080106563A (ko) 2008-12-08
US7456429B2 (en) 2008-11-25
TW200808998A (en) 2008-02-16
WO2007126582A3 (en) 2007-12-21
EP1999296A2 (en) 2008-12-10
WO2007126582A2 (en) 2007-11-08
US20070228470A1 (en) 2007-10-04
CN101415862A (zh) 2009-04-22

Similar Documents

Publication Publication Date Title
TWI396769B (zh) 用於原子層沉積之裝置
TWI396768B (zh) 原子層沉積之方法
EP2193218B1 (en) Process for selective area deposition of inorganic materials
EP3002346B1 (en) Deposition method
EP2217740B1 (en) Process for selective area deposition of inorganic materials
TWI419992B (zh) 用於薄膜沈積之輸送裝置
JP2011506758A (ja) 有機材料を堆積する方法
US20080166880A1 (en) Delivery device for deposition
EP2191036A2 (en) Delivery device for deposition
JP2010541237A (ja) 原子層堆積による薄膜トランジスタの製造方法